TW201945087A - Rapid chamber clean using concurrent in-situ and remote plasma sources - Google Patents

Rapid chamber clean using concurrent in-situ and remote plasma sources Download PDF

Info

Publication number
TW201945087A
TW201945087A TW107113794A TW107113794A TW201945087A TW 201945087 A TW201945087 A TW 201945087A TW 107113794 A TW107113794 A TW 107113794A TW 107113794 A TW107113794 A TW 107113794A TW 201945087 A TW201945087 A TW 201945087A
Authority
TW
Taiwan
Prior art keywords
cleaning
processing chamber
processing system
rps
gas
Prior art date
Application number
TW107113794A
Other languages
Chinese (zh)
Inventor
凱斯 福克斯
強納森 喬治
詹姆斯 李
馬修 馬德洛
凱文 戈柏
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Priority to TW107113794A priority Critical patent/TW201945087A/en
Publication of TW201945087A publication Critical patent/TW201945087A/en

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

A method for cleaning a processing chamber of a substrate processing system includes supplying nitrogen trifluoride (NF3) gas to a remote plasma source (RPS); generating RPS plasma using the RPS; supplying the RPS plasma to the processing chamber; supplying NF3gas as bypass gas to the processing chamber; striking in-situ plasma in the processing chamber while the RPS plasma is supplied; and cleaning the processing chamber during a cleaning period using both the RPS plasma and the in-situ plasma.

Description

使用並行的原位及遠程電漿源之快速腔室清潔Fast chamber cleaning using parallel in-situ and remote plasma sources

本揭露內容關於基板處理系統,且更具體而言關於用以清潔基板處理系統的處理腔室之系統及方法。This disclosure relates to a substrate processing system, and more specifically to a system and method for cleaning a processing chamber of a substrate processing system.

本文提供的背景描述係針對概括性地呈現本揭露內容之背景的目的。目前所列名之發明人的工作成果(就本先前技術章節中所描述之範圍而言)、以及不可以其他方式適格為申請時之先前技術的描述內容之實施態樣,均不明示或暗示地承認為是相對本揭露內容的先前技術。The background description provided herein is for the purpose of presenting the background of this disclosure in a general way. The work results of the currently listed inventors (in terms of the scope described in this prior art section), and the implementation of the description of the prior art that may not otherwise qualify as the application, are not explicitly or implicitly Ground is recognized as prior art relative to this disclosure.

基板處理系統可用於執行蝕刻、沉積、及/或基板(例如半導體晶圓)的其他處理。可在基板上執行的例示性製程包括(但不限於):化學氣相沉積(CVD)、電漿增強化學氣相沉積 (PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、及/或其他蝕刻、沉積、及清潔製程。在基板處理系統的處理腔室中,可將基板安置在例如基座、靜電卡盤(ESC)等等之基板支撐件上。例如,在處理期間,將一氣體混合物引進處理腔室中,並可點燃電漿以增強處理腔室之內的化學反應。The substrate processing system may be used to perform etching, deposition, and / or other processing of substrates (such as semiconductor wafers). Exemplary processes that can be performed on a substrate include, but are not limited to: chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD) ), And / or other etching, deposition, and cleaning processes. In a processing chamber of a substrate processing system, a substrate may be placed on a substrate support such as a pedestal, an electrostatic chuck (ESC), or the like. For example, during processing, a gas mixture is introduced into the processing chamber, and the plasma can be ignited to enhance the chemical reaction within the processing chamber.

在若干基板已經於處理腔室之內受到處理之後,膜及/或其他反應物可能積聚在處理腔室的側壁、基板支撐件及位於處理腔室之內的其他元件上。腔室清潔製程係週期地執行以移除膜及/或其他反應物的積聚物。由於基板無法在腔室清潔製程期間受到處理,所以最小化執行腔室清潔製程所需的時間是重要的。After several substrates have been processed within the processing chamber, membranes and / or other reactants may accumulate on the sidewalls of the processing chamber, substrate supports, and other components located within the processing chamber. The chamber cleaning process is performed periodically to remove buildup of membranes and / or other reactants. Since the substrate cannot be processed during the chamber cleaning process, it is important to minimize the time required to perform the chamber cleaning process.

一種用以清潔基板處理系統的處理腔室的方法,包括:供給三氟化氮(NF3 )氣體至遠程電漿源(RPS);使用RPS產生RPS電漿;將 RPS電漿供給至處理腔室;將NF3 氣體作為旁路氣體供給至處理腔室;於處理腔室中點燃原位電漿,同時供給RPS電漿;及使用RPS電漿及原位電漿兩者在清潔時段期間清潔處理腔室。A method for cleaning a processing chamber of a substrate processing system includes: supplying nitrogen trifluoride (NF 3 ) gas to a remote plasma source (RPS); using RPS to generate an RPS plasma; and supplying the RPS plasma to the processing chamber Supply the NF 3 gas as a bypass gas to the processing chamber; ignite the in-situ plasma in the processing chamber while supplying the RPS plasma; and use both RPS and in-situ plasma to clean during the cleaning period Processing chamber.

在其他特徵中,該方法包括在清潔時段之後熄滅原位電漿以及不供給RPS電漿至處理腔室。基板處理系統執行化學氣相沉積(CVD)。基板處理系統使用氧化物前驅物氣體將二氧化矽(SiO2 )沉積在基板上。氧化物前驅物氣體包含四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)氣體。基板處理系統執行原子層沉積(ALD)。In other features, the method includes extinguishing the in-situ plasma after the cleaning period and not supplying the RPS plasma to the processing chamber. The substrate processing system performs chemical vapor deposition (CVD). The substrate processing system uses an oxide precursor gas to deposit silicon dioxide (SiO 2 ) on the substrate. The oxide precursor gas includes tetraethyl orthosilicate (TEOS) gas. The substrate processing system performs atomic layer deposition (ALD).

在其他特徵中,基板處理系統使用氧化物前驅物氣體沉積二氧化矽(SiO2 )。氧化物前驅物氣體包含四乙基正矽酸鹽(TEOS)氣體。使用在從500瓦至3000瓦的範圍中的RF功率產生原位電漿。使用在從1000瓦至2000瓦的範圍中的RF功率產生原位電漿。使用在從1400瓦至1600瓦的範圍中的RF功率產生原位電漿。In other features, the substrate processing system uses an oxide precursor gas to deposit silicon dioxide (SiO 2 ). The oxide precursor gas includes tetraethyl orthosilicate (TEOS) gas. RF power in the range from 500 Watts to 3000 Watts is used to generate in-situ plasma. RF power in the range from 1000 watts to 2000 watts is used to generate an in situ plasma. RF power in the range from 1400 watts to 1600 watts is used to generate the in situ plasma.

在其他特徵中,至RPS的氣體流率係在該方法期間使用之腔室操作參數最有效率的流率的90%至110%的範圍之內。至RPS的氣體流率係在該方法期間使用之腔室操作參數最有效率的流率的95%至105%的範圍之內。In other features, the gas flow rate to RPS is in the range of 90% to 110% of the most efficient flow rate of the chamber operating parameters used during the method. The gas flow rate to RPS is in the range of 95% to 105% of the most efficient flow rate of the chamber operating parameters used during the method.

在其他特徵中,NF3 氣體至RPS的氣體流率係在從10至12 slm的範圍中。NF3 氣體至處理腔室的氣體流率係在從3至5 slm的範圍中。In other features, the gas flow rate from NF 3 gas to RPS is in the range from 10 to 12 slm. The gas flow rate of NF 3 gas to the processing chamber is in the range from 3 to 5 slm.

在其他特徵中,該方法包括在第一時段的第一部分期間在處理腔室中維持第一壓力;以及在第一時段的第二部分期間在處理腔室中維持與第一壓力不同之第二壓力。In other features, the method includes maintaining a first pressure in the processing chamber during a first portion of the first period; and maintaining a second pressure different from the first pressure in the processing chamber during a second portion of the first period pressure.

在其他特徵中,第一壓力係在從2至4托的範圍中,且第二壓力係在從0.5至2托的範圍中。該方法包括在第一時段期間將基板支撐件的溫度維持在350℃與650℃的範圍中。該方法包括在第一時段期間將基板支撐件的溫度維持在445℃與550℃的範圍中。In other features, the first pressure is in a range from 2 to 4 Torr, and the second pressure is in a range from 0.5 to 2 Torr. The method includes maintaining the temperature of the substrate support in a range of 350 ° C and 650 ° C during the first period. The method includes maintaining the temperature of the substrate support in a range of 445 ° C and 550 ° C during the first period.

從實施方式章節、申請專利範圍,以及圖式,本揭露內容的實用性的進一步範圍將變得明顯。實施方式章節與具體的例子僅是為了描述之目的,而非欲限制本揭露內容之範疇。Further scope of the practicality of this disclosure will become apparent from the implementation section, the scope of patent applications, and the drawings. The implementation sections and specific examples are for the purpose of description only, and are not intended to limit the scope of this disclosure.

根據本揭露內容之系統與方法係用於以增加的蝕刻速率清潔在基板處理腔室的內表面上的膜及其他反應物,以減少腔室清潔循環時間。在一些範例中,腔室清潔可從約1.4微米/分鐘的蝕刻速率改善至大於5微米/分鐘的蝕刻速率。遠程電漿源(RPS)被供給三氟化氮(NF3 )氣體(或NF3 及惰性氣體的混合物),且RPS電漿係供給至處理腔室。在供給RPS電漿之時,使用旁路的NF3 氣體來點燃原位電漿,如以下將進一步描述。具有 NF3 至RPS 以及 旁路氣體至處理腔室 之雙路徑輸送,容許額外的NF3 流入處理腔室中而超過通過RPS之最大及最有效率的NF3 流率,以達成較高的蝕刻速率。The system and method according to the present disclosure are used to clean films and other reactants on the inner surface of a substrate processing chamber at an increased etch rate to reduce the chamber cleaning cycle time. In some examples, chamber cleaning may be improved from an etch rate of about 1.4 microns / minute to an etch rate greater than 5 microns / minute. The remote plasma source (RPS) is supplied with nitrogen trifluoride (NF 3 ) gas (or a mixture of NF 3 and an inert gas), and the RPS plasma is supplied to the processing chamber. When supplying the RPS plasma, a bypassed NF 3 gas is used to ignite the in-situ plasma, as will be described further below. Dual-path delivery with NF 3 to RPS and bypass gas to the processing chamber, allowing additional NF 3 to flow into the processing chamber beyond the maximum and most efficient NF 3 flow rate through RPS to achieve higher etching rate.

現參照圖1,顯示範例基板處理系統100,其用於執行基板處理,例如使用RF電漿的蝕刻或沉積。基板處理系統100包含處理腔室102,其包圍基板處理系統100的其它元件並容納RF電漿。基板處理系統100包括上電極104及基板支撐件106(例如,靜電卡盤(ESC))。在操作期間,基板108係配置於基板支撐件106上。Referring now to FIG. 1, an example substrate processing system 100 is shown for performing substrate processing, such as etching or deposition using an RF plasma. The substrate processing system 100 includes a processing chamber 102 that surrounds other components of the substrate processing system 100 and houses an RF plasma. The substrate processing system 100 includes an upper electrode 104 and a substrate support 106 (for example, an electrostatic chuck (ESC)). During operation, the substrate 108 is disposed on a substrate support 106.

僅舉例而言,上電極104可包括導入並分配製程及清潔氣體的噴淋頭109。噴淋頭109可包括柄部部分,其包括連接至處理腔室之頂部表面的一端。基部部分大致上為圓柱形,且在與處理腔室之頂部表面間隔開的位置處自柄部部分的一相反端徑向向外延伸。噴淋頭之基部部分的面向基板之表面或面板包括複數的孔,製程氣體或吹淨氣體(purge gas)係流動通過該等孔。或者,上電極104可包含導板,且製程氣體可以另一方式導入。For example only, the upper electrode 104 may include a shower head 109 that introduces and distributes a process and a cleaning gas. The shower head 109 may include a handle portion including one end connected to a top surface of the processing chamber. The base portion is substantially cylindrical and extends radially outward from an opposite end of the handle portion at a position spaced from the top surface of the processing chamber. The surface or panel of the base portion of the shower head facing the substrate includes a plurality of holes through which a process gas or a purge gas flows. Alternatively, the upper electrode 104 may include a guide plate, and the process gas may be introduced in another manner.

底板110支撐一加熱板112,其對應一陶瓷多區加熱板。基板支撐件106包括作為下電極的底板110。熱阻層114可配置在加熱板112與底板110之間。底板110可包括用以讓冷卻劑流動通過底板110的一或更多冷卻劑通道116。The bottom plate 110 supports a heating plate 112, which corresponds to a ceramic multi-zone heating plate. The substrate support 106 includes a bottom plate 110 as a lower electrode. The thermal resistance layer 114 may be disposed between the heating plate 112 and the bottom plate 110. The bottom plate 110 may include one or more coolant channels 116 to allow coolant to flow through the bottom plate 110.

RF產生系統120產生並輸出RF功率至上電極104及下電極(例如,基板支撐件106的底板110)其中一者。上電極與下電極其中另一者可為DC接地、AC接地、或浮接。僅舉例而言,RF產生系統120可包括產生RF電壓之RF電壓產生器122,該RF電壓係藉由匹配與分配網路124而供給至上電極104或底板110。在其他範例中,可感應地產生電漿。在一些範例中,RF產生系統120供給在從500瓦至3000瓦的範圍中的RF功率。在一些範例中,RF產生系統120供給在從1000瓦至2000瓦的範圍中的RF功率。在一些範例中,RF產生系統120供給在從1400瓦至1600瓦的範圍中的RF功率。例如,RF產生系統120可以1500瓦供給RF功率,但可使用其他RF功率位準。在一些範例中,RF產生系統120可操作在13.26 MHz下,但可使用其他頻率。The RF generating system 120 generates and outputs RF power to one of the upper electrode 104 and the lower electrode (for example, the bottom plate 110 of the substrate support 106). The other of the upper electrode and the lower electrode may be DC ground, AC ground, or floating. For example only, the RF generation system 120 may include an RF voltage generator 122 that generates an RF voltage that is supplied to the upper electrode 104 or the bottom plate 110 through a matching and distribution network 124. In other examples, the plasma may be induced inductively. In some examples, the RF generation system 120 supplies RF power in a range from 500 Watts to 3000 Watts. In some examples, the RF generation system 120 supplies RF power in a range from 1000 Watts to 2000 Watts. In some examples, the RF generation system 120 supplies RF power in a range from 1400 Watts to 1600 Watts. For example, the RF generation system 120 may supply RF power at 1500 watts, but other RF power levels may be used. In some examples, the RF generation system 120 may operate at 13.26 MHz, but other frequencies may be used.

第一氣體輸送系統130包括一或更多氣體來源132、133,該一或更多氣體來源132、133分別供給三氟化氮(NF3 )氣體及/或一或更多惰性氣體。氣體來源132、133藉由一或更多閥134-1、134-2及134-3連接至質量流量控制器(MFC)136-1、136-2及136-3。MFC 136-1及136-2的輸出係饋入可選的混合歧管137,該歧管137係與遠程電漿源(RPS)138呈流體連通。在一些範例中,RPS 138包括基於微波的RPS、電漿管或其他RPS。The first gas delivery system 130 includes one or more gas sources 132 and 133, the one or more gas sources 132 and 133 supplying nitrogen trifluoride (NF 3) gas, and / or one or more inert gases, respectively. The gas sources 132, 133 are connected to mass flow controllers (MFCs) 136-1, 136-2, and 136-3 through one or more valves 134-1, 134-2, and 134-3. The output of the MFCs 136-1 and 136-2 is fed into an optional hybrid manifold 137, which is in fluid communication with a remote plasma source (RPS) 138. In some examples, RPS 138 includes a microwave-based RPS, a plasma tube, or other RPS.

RPS 138產生選擇性供給至處理腔室102的遠程電漿。在一些範例中,NF3 係以在使用之製程參數下對於解離最有效率的流率供給至RPS 138。在一些範例中,NF3 係以對於解離最有效率的流率的90至110%的範圍之內的流率供給至RPS 138。通常,RPS 138基於使用之腔室參數(例如壓力、溫度及/或清潔氣體物種)來指定效率。特定配方可能需要一些實驗。在一些範例中,NF3 係以對於解離最有效率的流率的95至105%之內的流率供給至RPS 138。The RPS 138 generates a remote plasma that is selectively supplied to the processing chamber 102. In some examples, NF 3 is supplied to RPS 138 at a flow rate that is most efficient for dissociation under the process parameters used. In some examples, NF 3 is supplied to RPS 138 at a flow rate ranging from 90 to 110% of the most efficient flow rate for dissociation. Generally, the RPS 138 specifies efficiency based on the chamber parameters used, such as pressure, temperature, and / or clean gas species. Certain formulations may require some experimentation. In some examples, NF 3 is supplied to RPS 138 at a flow rate within 95 to 105% of the most efficient flow rate for dissociation.

氣體來源132藉由閥134-3及MFC 136-3連接至處理腔室102。或者,獨立的氣體來源可用於NF3 旁路氣體。換言之,MFC 136-3將旁路的NF3 氣體供給至來自RPS 138的輸出導管,或直接供給至處理腔室102。在一些範例中,啟動RPS電漿,點燃原位電漿,RPS及原位電漿兩者係在清潔時段維持,熄滅原位電漿然後停止RPS電漿。在其他範例中,RPS電漿及原位電漿在大約相同的時間啟動,在大約相同的清潔時段期間維持,以及在大約相同的時間停止/熄滅。在一些範例中,RPS電漿可分別在原位電漿點燃之前或熄滅之後維持預定的時間段。The gas source 132 is connected to the processing chamber 102 via a valve 134-3 and an MFC 136-3. Alternatively, a separate gas source can be used for the NF 3 bypass gas. In other words, the MFC 136-3 supplies the bypassed NF 3 gas to the output duct from the RPS 138, or directly to the processing chamber 102. In some examples, the RPS plasma is activated, the in-situ plasma is ignited, and both the RPS and the in-situ plasma are maintained during the cleaning period, the in-situ plasma is extinguished and then the RPS plasma is stopped. In other examples, the RPS plasma and the in-situ plasma are activated at approximately the same time, maintained during approximately the same cleaning period, and stopped / extinguished at approximately the same time. In some examples, the RPS plasma may be maintained for a predetermined period of time before the in-situ plasma is ignited or after it is extinguished, respectively.

第二氣體輸送系統141可包括一或更多閥、MFC及歧管(未顯示)以輸送其他氣體或氣體混合物,例如載體氣體、氣體前驅物及/或吹淨氣體,以供腔室清潔之前的基板處理期間使用及/或用於吹淨處理腔室。例如,氣體輸送系統141可用以供給包括矽(Si)或二氧化矽(SiO2 )前驅物氣體之前驅物。在一些範例中,前驅物氣體包括四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)且沉積二氧化矽(SiO2 )膜。The second gas delivery system 141 may include one or more valves, MFCs, and manifolds (not shown) to deliver other gases or gas mixtures, such as a carrier gas, a gas precursor, and / or a purged gas for cleaning the chamber Used during substrate processing and / or used to clean the processing chamber. For example, the gas delivery system 141 may be used to supply a precursor gas including a silicon (Si) or silicon dioxide (SiO 2 ) precursor. In some examples, the precursor gas includes tetraethyl orthosilicate (TEOS) and a silicon dioxide (SiO 2 ) film is deposited.

溫度控制器142可連接至設置在加熱板112中的複數熱控制單元(TCE)144。例如,TCE 144可包括(但不限於):個別的巨觀TCE,其對應到多區加熱板中之各個區;及/或微觀TCE之陣列,其設置遍及多區加熱板的複數區中(未顯示)。溫度控制器142可用於控制複數TCE 144,以控制基板支撐件106及基板108的溫度。The temperature controller 142 may be connected to a plurality of thermal control units (TCE) 144 provided in the heating plate 112. For example, the TCE 144 may include (but is not limited to): individual macroscopic TCEs, which correspond to each zone in the multi-zone heating plate; and / or an array of micro TCEs, which are arranged throughout the multiple zones of the multi-zone heating plate Not shown). The temperature controller 142 can be used to control the plurality of TCEs 144 to control the temperature of the substrate support 106 and the substrate 108.

溫度控制器142可與冷卻劑組件146溝通,以控制冷卻劑通道116中的冷卻劑流量。例如,冷卻劑組件146可包括冷卻劑泵浦與貯存器。溫度控制器142操作冷卻劑組件146以選擇性地使冷卻劑流經冷卻劑通道116來控制基板支撐件106的溫度。The temperature controller 142 may communicate with a coolant assembly 146 to control the coolant flow in the coolant passage 116. For example, the coolant assembly 146 may include a coolant pump and reservoir. The temperature controller 142 operates the coolant assembly 146 to selectively flow the coolant through the coolant passage 116 to control the temperature of the substrate support 106.

可使用閥150及泵浦152將反應物從處理腔室102中排空。可使用系統控制器160來控制基板處理系統100的元件。儘管被顯示為獨立的控制器,溫度控制器142可實施於系統控制器160之內。溫度控制器142可進一步配置成實施一或更多模型以根據本揭露內容的原理來估計基板支撐件106的溫度。Reactants can be evacuated from the processing chamber 102 using a valve 150 and a pump 152. The system controller 160 may be used to control the elements of the substrate processing system 100. Although shown as a separate controller, the temperature controller 142 may be implemented within the system controller 160. The temperature controller 142 may be further configured to implement one or more models to estimate the temperature of the substrate support 106 according to the principles of the present disclosure.

現參照圖2,顯示執行根據本揭露內容之腔室清潔製程的方法250。在252處,該方法判定是否執行腔室清潔。當252為「是」時,該方法依需要將基板從處理腔室移除,並且將腔室壓力設定至預定的壓力範圍。Referring now to FIG. 2, a method 250 for performing a chamber cleaning process according to the present disclosure is shown. At 252, the method determines whether to perform chamber cleaning. When 252 is YES, the method removes the substrate from the processing chamber as needed, and sets the chamber pressure to a predetermined pressure range.

在一些範例中,預定的腔室壓力係在從0.25托至6托的範圍中。在一些範例中,清潔製程期間的腔室壓力係在二或更多個不連續的壓力值之間調整。在一些範例中,第一步驟係在清潔時段的第一部分期間以在2至4托範圍的第一壓力執行,且第二步驟係在第一部分之後的清潔時段的第二部分期間以0.5至2托的壓力執行。在其他範例中,較高壓力的步驟發生在較低壓力的步驟之前。在更其他的範例中,壓力係在清潔製程期間單調減少或單調增加。In some examples, the predetermined chamber pressure is in a range from 0.25 Torr to 6 Torr. In some examples, the chamber pressure during the cleaning process is adjusted between two or more discrete pressure values. In some examples, the first step is performed during the first part of the cleaning period at a first pressure in the range of 2 to 4 Torr, and the second step is performed during the second part of the cleaning period after the first part at 0.5 to 2 Carrying out the pressure. In other examples, the higher pressure step occurs before the lower pressure step. In still other examples, the pressure is monotonically decreased or monotonically increased during the cleaning process.

在一些範例中,基板支撐件的溫度係在清潔時段期間控制至一預定溫度,該預定溫度係在350℃與650℃之間的範圍中。在一些範例中,基板支撐件的溫度係在清潔時段期間控制至一預定溫度,該預定溫度係在445℃與550℃之間的範圍中。In some examples, the temperature of the substrate support is controlled to a predetermined temperature during the cleaning period, the predetermined temperature being in a range between 350 ° C and 650 ° C. In some examples, the temperature of the substrate support is controlled to a predetermined temperature during the cleaning period, the predetermined temperature being in a range between 445 ° C and 550 ° C.

在258處,NF3 氣體係供給至RPS並產生RPS電漿。在262處,RPS電漿係供給至處理腔室。在供給RPS電漿至處理腔室之時,旁路的NF3 氣體係供給至RPS輸出導管或直接供給至處理腔室,且原位電漿係藉由供給RF功率來產生。在272處,該方法判定清潔時段是否結束。當272為「否」時,方法從258處繼續。否則,在276處熄滅原位電漿,停止RPS電漿且該方法回原。At 258, the NF 3 gas system is supplied to the RPS and an RPS plasma is generated. At 262, the RPS plasma system is supplied to the processing chamber. When supplying the RPS plasma to the processing chamber, the bypassed NF 3 gas system is supplied to the RPS output conduit or directly to the processing chamber, and the in-situ plasma is generated by supplying RF power. At 272, the method determines whether the cleaning period is over. When 272 is "No", the method continues from 258. Otherwise, the in-situ plasma is extinguished at 276, the RPS plasma is stopped and the method returns to the original.

在一些範例中,依序或在大約相同的時間分別供給及點燃RPS電漿及原位電漿,且依序或在大約相同的時間分別熄滅/停止原位電漿及RPS電漿。在其他範例中,在第一時間供給RPS電漿,在第一時間之後的預定時段發生之第二時間供給旁路氣體及點燃原位電漿。RPS電漿及原位電漿兩者係在清潔時段期間的相同時間供給。在清潔時段之後,原位電漿及RPS電漿在大約相同的時間停止/熄滅, 或者,在有或沒有中間時段的情況下依次熄滅原位電漿然後停止RPS電漿。In some examples, the RPS plasma and the in-situ plasma are respectively supplied and ignited sequentially or at about the same time, and the in-situ plasma and the RPS plasma are extinguished / stopped respectively or sequentially. In other examples, the RPS plasma is supplied at the first time, the bypass gas is supplied and the in-situ plasma is ignited at a second time that occurs at a predetermined time period after the first time. Both the RPS plasma and the in-situ plasma are supplied at the same time during the cleaning period. After the cleaning period, the in-situ plasma and the RPS plasma are stopped / extinguished at about the same time, or, with or without an intermediate period, the in-situ plasma is sequentially turned off and then the RPS plasma is stopped.

在更其他的範例中,針對RPS而啟動惰性氣體流,並產生RPS電漿。然後,RPS係切換至NF3 及一或更多惰性氣體。接著,供給NF3 旁路氣體以供原位電漿,並點燃原位電漿。在一些範例中,NF3 及惰性氣體流量可調整至最終或穩態的設定點流量。原位RF功率可調整至最終或穩態RF功率位準。腔室的RPS及原位清潔係執行達一清潔時段。當清潔時段結束時,關閉原位RF及旁路氣體流。關閉RPS NF3 氣體流及RPS電漿。In still other examples, an inert gas flow is initiated for RPS and an RPS plasma is generated. The RPS system is then switched to NF 3 and one or more inert gases. Next, a NF 3 bypass gas is supplied for the in-situ plasma, and the in-situ plasma is ignited. In some examples, NF 3 and inert gas flows can be adjusted to final or steady-state setpoint flows. The in situ RF power can be adjusted to the final or steady state RF power level. The RPS and in-situ cleaning of the chamber are performed for a cleaning period. When the cleaning period ends, the in-situ RF and bypass gas flow is turned off. Turn off the RPS NF 3 gas flow and the RPS plasma.

現參照圖3,顯示一範例清潔製程。將NF3 氣體供給至RPS,而旁路的NF3 氣體供給至處理腔室。RPS及原位電漿在清潔時段期間起始及維持。在一些範例中,腔室壓力如上所述變化。Referring now to FIG. 3, an exemplary cleaning process is shown. The NF 3 gas is supplied to the RPS, and the bypassed NF 3 gas is supplied to the processing chamber. RPS and in situ plasma are initiated and maintained during the cleaning period. In some examples, the chamber pressure varies as described above.

現參照圖4,一圖表說明在根據先前技術及本揭露內容之清潔製程期間對位於處理腔室內的樣本測量之蝕刻速率改善的範例。在300處,在沒有原位電漿的情況下使用RPS電漿清潔處理腔室。例如,NF3 氣體係以約11 slm的流率供給至RPS。在310處,在沒有原位電漿的情況下以較高的NF3 氣體流率使用RPS電漿清潔處理腔室。例如,供給至RPS的NF3 氣體係增加至約15 slm的流率。如所見的,蝕刻速率有輕微的改善。在320處,在沒有旁路的NF3 氣體的情況下使用RPS電漿及原位電漿清潔處理腔室。NF3 氣體係以約11 slm的流率供給至RPS。如所見的,相較於310蝕刻速率有輕微的改善。Referring now to FIG. 4, a diagram illustrates an example of an improvement in etching rate measured on a sample located in a processing chamber during a cleaning process according to the prior art and the present disclosure. At 300, the processing chamber was cleaned using an RPS plasma without an in-situ plasma. For example, the NF 3 gas system is supplied to the RPS at a flow rate of about 11 slm. At 310, the processing chamber is cleaned with an RPS plasma at a higher NF 3 gas flow rate without an in-situ plasma. For example, the NF 3 gas system supplied to the RPS increases to a flow rate of about 15 slm. As can be seen, there is a slight improvement in the etch rate. At 320, the processing chamber is cleaned using an RPS plasma and an in-situ plasma without a bypassed NF 3 gas. The NF 3 gas system is supplied to the RPS at a flow rate of about 11 slm. As can be seen, there is a slight improvement over the 310 etch rate.

在330處,在沒有旁路的NF3 氣體的情況下使用RPS電漿及原位電漿清潔處理腔室。NF3 氣體係以約15 slm的較高氣體流率供給至RPS。如所見的,相較於320蝕刻速率有輕微的改善。At 330, the processing chamber is cleaned using an RPS plasma and an in-situ plasma without a bypassed NF 3 gas. The NF 3 gas system is supplied to the RPS at a higher gas flow rate of about 15 slm. As can be seen, there is a slight improvement over the 320 etch rate.

在330處,在具有旁路的NF3 氣體情況下使用RPS電漿及原位電漿來清潔處理腔室。NF3 氣體係以約11 slm的流率供給至RPS,而旁路的NF3 氣體係以約4 slm的流率供給。如所見的,相較於300、310、320及330蝕刻速率有顯著的改善。相較於在300處的基準線,蝕刻速率從330處的改善80%增加至340處的改善140%。At 330, the processing chamber is cleaned using an RPS plasma and an in-situ plasma with NF 3 gas with a bypass. The NF 3 gas system is supplied to the RPS at a flow rate of about 11 slm, while the bypassed NF 3 gas system is supplied at a flow rate of about 4 slm. As can be seen, there are significant improvements over 300, 310, 320, and 330 etch rates. Compared to the baseline at 300, the etch rate increased from 80% improvement at 330 to 140% improvement at 340.

現參照圖5,在根據先前技術及本揭露內容之清潔製程300-340期間,顯示對位於處理腔室內的樣本測量之蝕刻速率百分比增長。當使用原位電漿及RPS電漿並結合旁路的NF3 氣體時,可以見到蝕刻速率百分比的顯著改善。Referring now to FIG. 5, during a cleaning process 300-340 according to the prior art and the present disclosure, the percentage increase in etch rate measured for a sample located in a processing chamber is shown. When using an in-situ plasma and an RPS plasma in combination with a bypassed NF 3 gas, a significant improvement in the etch rate percentage can be seen.

現參照圖6,此處描述之腔室清潔方法針對相等的蝕刻效能減少用於腔室清潔製程的清潔時段。在圖6中,使用原位及RPS電漿並結合旁路的NF3 氣體的製程係與基準線清潔製程300相比較。在此範例中,相較於基準線清潔製程300,清潔製程時段係減少超過40%。Referring now to FIG. 6, the chamber cleaning method described herein reduces the cleaning period for the chamber cleaning process for equal etch efficiency. In FIG. 6, a process using an in-situ and RPS plasma combined with a bypassed NF 3 gas is compared with a baseline cleaning process 300. In this example, compared to the baseline cleaning process 300, the cleaning process period is reduced by more than 40%.

在一範例中,大約90秒的清潔時段係用以移除7微米的腔室膜堆積。在其他範例中,清潔時段取決於膜堆積的量而變化。在一些範例中,清潔時段可在從20秒至10分鐘的範圍中。在其他範例中,清潔時段可在從1分鐘至5分鐘的範圍中。In one example, a cleaning period of approximately 90 seconds is used to remove a 7 micron chamber film buildup. In other examples, the cleaning period varies depending on the amount of film accumulation. In some examples, the cleaning period may be in a range from 20 seconds to 10 minutes. In other examples, the cleaning period may be in a range from 1 minute to 5 minutes.

先前敘述僅係本質上地說明,而絕非意欲限制本揭露內容、其應用或使用。本揭露內容廣泛的教示可以各式各樣的形式執行。因此,即使本揭露內容包含特定的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與以下申請專利範圍,其他修改將變得顯而易見。須了解在不改變本揭露內容的原理的情況下,能依不同的順序(或同時) 執行一方法中一或更多的步驟。再者,雖然前文中將每一實施例描述為具有某些特徵,但所述之關於本揭露內容之任一實施例的該等特徵的任一或更多者可在任何其他實施例中實行、及/或可與任何其他實施例的特徵組合,即使未明確地描述該組合。換句話說,所描述的實施例並非係互相排斥的,且一或更多實施例之間互相的置換仍屬於本發明的範疇。The foregoing description is merely illustrative in nature and is not intended to limit the disclosure, its application, or uses in any way. The extensive teachings of this disclosure can be implemented in a variety of forms. Therefore, even if the disclosure includes specific examples, the true scope of the invention should not be so limited, as other drawings will become apparent once the drawings, the description, and the scope of the following patent applications are studied. It must be understood that one or more steps in a method can be performed in a different order (or simultaneously) without changing the principles of the disclosure. Furthermore, although each embodiment has been described above as having certain features, any one or more of the features described in relation to any one of the embodiments of the disclosure may be implemented in any other embodiment , And / or may be combined with features of any other embodiment, even if the combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and the replacement of one or more embodiments still belongs to the scope of the present invention.

元件之間(例如,模組、電路元件、半導體層…等之間)空間的、及功能的關係係使用各種用語而描述,包含「連接」、「嚙合」、「耦接」、「相鄰」、「接近」、「在頂部上」、「之上」、「之下」、以及「設置」。除非明確地描述成係「直接」的,否則當在以上揭露內容中描述第一及第二元件之間的關係時,該關係可為在第一及第二元件之間沒有其他中間元件存在的直接關係,也可為在第一及第二元件之間存在一或更多中間元件(空間上、或功能上)的間接關係。如在此使用的文字「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),且不應解釋為代表「A之至少一者、B之至少一者、及C之至少一者」。The spatial and functional relationships between components (eg, between modules, circuit components, semiconductor layers, etc.) are described using various terms, including "connected," "engaged," "coupled," "adjacent." "," Close "," above "," above "," below ", and" set ". Unless explicitly described as "direct", when the relationship between the first and second elements is described in the above disclosure, the relationship may be one in which no other intermediate element exists between the first and second elements The direct relationship may also be an indirect relationship in which there are one or more intermediate elements (spatially or functionally) between the first and second elements. As used herein, the words "at least one of A, B, and C" should be interpreted as logic (A or B or C) using the non-mutually exclusive logical symbol OR, and should not be interpreted as representing "at least one of A, At least one of B and at least one of C ".

在一些實施例中,控制器為系統的一部分,其可為前述範例之一部分。此種系統可包含半導體處理設備,其包括:處理工具(或複數處理工具)、腔室(或複數腔室)、用以處理的工作台(或複數工具台)、及/或特定處理元件(例如晶圓基座、氣流系統等)。這些系統可與電子裝置結合,以在半導體晶圓或基板的處理之前、期間、與之後,控制系統的操作。該等電子設備可稱為「控制器」,其可控制系統(或複數系統)的各種元件或子部件。根據製程要求及/或系統的類型,可將控制器編程式,以控制本文中揭露之任何處理,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。In some embodiments, the controller is part of the system, which may be part of the foregoing paradigm. Such systems may include semiconductor processing equipment including: processing tools (or multiple processing tools), chambers (or multiple chambers), workbenches (or multiple tool tables) for processing, and / or specific processing elements ( (E.g. wafer base, airflow system, etc.). These systems can be combined with electronic devices to control the operation of the system before, during, and after the processing of semiconductor wafers or substrates. Such electronic devices may be referred to as "controllers", which may control various elements or sub-components of a system (or plural systems). Depending on the process requirements and / or the type of system, the controller can be programmed to control any process disclosed herein, including process gas delivery, temperature settings (such as heating and / or cooling), pressure settings, vacuum settings, power Settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positioning and operation settings, access tools and other transmission tools connected to or interfacing with a specific system, and / Or wafer transfer in load lock chamber.

廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式與控制器通訊的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。Broadly speaking, a controller can be defined as an electronic device with various integrated circuits, logic, memory, and / or software that receive instructions, send instructions, control operations, allow cleaning operations, allow endpoint measurements, and so on. The integrated circuit may include a chip in the form of firmware storing program instructions, digital signal processors (DSPs), chips defined as special application integrated circuits (ASICs), and / or one of executing program instructions (such as software) or More microprocessors or microcontrollers. Program instructions can be instructions that communicate with the controller in the form of various individual settings (or program files), which define operating parameters that are used to perform specific processes on the semiconductor wafer, or for the semiconductor wafer, or the system. In some embodiments, the operating parameter may be part of a recipe defined by a process engineer, the recipe being used for one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits And / or during the fabrication of the die of the wafer, one or more processing steps are completed.

在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。In some embodiments, the controller may be part of or connected to a computer, the computer being integrated with the system, connected to the system, or connected to the system through a network, or a combination thereof. For example, the controller can be located in the "cloud" or all or part of a fab host computer system, which can allow remote access to wafer processing. The computer can achieve remote access to the system to monitor the current process of manufacturing operations, view the history of past manufacturing operations, view trends or performance indicators from multiple manufacturing operations, change the parameters of the current process, and set the process Steps to continue the current process or start a new process. In some examples, a remote computer (such as a server) can provide process recipes to the system over a network, which can include a local area network or the Internet. The remote computer may include a user interface for entering or programming parameters and / or settings that are then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data, specifying parameters for each of the processing steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of process to be performed and the type of tool (the controller is configured to interface with or control the tool through an interface). Thus, as described above, the controllers can be decentralized, for example by including one or more separate controllers that are connected together through a network and operate toward a common goal, such as the processes and controls described herein. An example of a separate controller for such use could be one or more integrated circuits on a chamber, one or more remotely located (eg, platform level, or part of a remote computer) or Multiple integrated circuits are connected, which are combined to control the processes on the chamber.

例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。Exemplary systems may include a plasma etching chamber or module, a deposition chamber or module, a spin flushing chamber or module, a metal plating chamber or module, a clean chamber or module, a beveled etching chamber, or Modules, physical vapor deposition (PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etching (ALE) chambers, or Modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be related to or used in the manufacture and / or production of semiconductor wafers, but are not limited thereto.

如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。As mentioned above, depending on the process steps (or multiple process steps) to be performed by the tool, the controller can communicate with one or more of the following: other tool circuits or modules, other tool components, cluster tools, other tool interfaces, traction tools , Nearby tools, tools throughout the factory, main computer, another controller, or tools for material transfer that bring wafer containers to or from tool locations and / or loading ports in a semiconductor manufacturing plant.

100‧‧‧系統100‧‧‧ system

102‧‧‧處理腔室102‧‧‧Processing chamber

104‧‧‧上電極104‧‧‧up electrode

106‧‧‧基板支撐件106‧‧‧ substrate support

108‧‧‧基板108‧‧‧ substrate

109‧‧‧噴淋頭109‧‧‧Sprinkler

110‧‧‧底板110‧‧‧ floor

112‧‧‧加熱板112‧‧‧Heating plate

114‧‧‧熱阻層114‧‧‧ Thermal Resistance Layer

116‧‧‧冷卻劑通道116‧‧‧Coolant channel

120‧‧‧RF產生系統120‧‧‧RF generation system

122‧‧‧RF電壓產生器122‧‧‧RF voltage generator

124‧‧‧匹配與分配網路124‧‧‧ Matching and Distribution Network

130‧‧‧第一氣體輸送系統130‧‧‧The first gas delivery system

132‧‧‧氣體來源132‧‧‧Gas source

133‧‧‧氣體來源133‧‧‧Gas source

134-1‧‧‧閥134-1‧‧‧Valve

134-2‧‧‧閥134-2‧‧‧ Valve

134-3‧‧‧閥134-3‧‧‧ Valve

136-1‧‧‧質量流量控制器(MFC)136-1‧‧‧mass flow controller (MFC)

136-2‧‧‧MFC136-2‧‧‧MFC

136-3‧‧‧MFC136-3‧‧‧MFC

137‧‧‧混合歧管137‧‧‧mixed manifold

138‧‧‧遠程電漿源(RPS)138‧‧‧Remote Plasma Source (RPS)

141‧‧‧氣體輸送系統141‧‧‧Gas delivery system

142‧‧‧溫度控制器142‧‧‧Temperature Controller

144‧‧‧複數熱控制單元(TCE)144‧‧‧Multiple Thermal Control Unit (TCE)

146‧‧‧冷卻劑組件146‧‧‧Coolant assembly

150‧‧‧閥150‧‧‧ valve

152‧‧‧泵浦152‧‧‧Pump

160‧‧‧系統控制器160‧‧‧System Controller

250‧‧‧方法250‧‧‧ Method

252‧‧‧方塊252‧‧‧box

258‧‧‧方塊258‧‧‧box

262‧‧‧方塊262‧‧‧box

272‧‧‧方塊272‧‧‧box

276‧‧‧方塊276‧‧‧box

300‧‧‧清潔製程300‧‧‧cleaning process

310‧‧‧清潔製程310‧‧‧cleaning process

320‧‧‧清潔製程320‧‧‧cleaning process

330‧‧‧清潔製程330‧‧‧cleaning process

340‧‧‧清潔製程340‧‧‧cleaning process

從實施方式章節及隨附圖式,將能更完整地理解本揭露內容,其中:From the implementation section and accompanying drawings, this disclosure can be more fully understood, of which:

圖1為用於處理基板之基板處理系統的範例的功能性方塊圖,並可在該系統中執行根據本揭露內容之腔室清潔製程。FIG. 1 is a functional block diagram of an example of a substrate processing system for processing a substrate in which a chamber cleaning process according to the present disclosure can be performed.

圖2為說明執行根據本揭露內容之腔室清潔製程之方法的範例的流程圖。FIG. 2 is a flowchart illustrating an example of a method for performing a chamber cleaning process according to the present disclosure.

圖3為在清潔時段期間RPS電漿、原位電漿、RPS及旁路的NF3 氣體的供給以及腔室壓力之時序圖的範例。FIG. 3 is an example of a timing diagram of the supply of RPS plasma, in-situ plasma, RPS and bypassed NF 3 gas and chamber pressure during the cleaning period.

圖4為一圖表,其說明在根據先前技術及本揭露內容之清潔製程期間對位於處理腔室內的樣本測量之蝕刻速率改善的範例。FIG. 4 is a chart illustrating an example of an improvement in etch rate measured on a sample located in a processing chamber during a cleaning process according to the prior art and the present disclosure.

圖5為一圖表,其說明在根據先前技術及本揭露內容之清潔製程期間對位於處理腔室內的樣本測量之蝕刻速率百分比增長的範例。FIG. 5 is a chart illustrating an example of an increase in etch rate percentage measured on a sample located in a processing chamber during a cleaning process according to the prior art and the present disclosure.

圖6為一圖表,其說明對於根據先前技術及本揭露內容之腔室清潔製程之縮減清潔時段的範例。FIG. 6 is a diagram illustrating an example of a reduced cleaning period for a chamber cleaning process according to the prior art and the present disclosure.

在該等圖式中,參考數字可重複使用來標示相似及/或相同的元件。In the drawings, reference numbers may be reused to indicate similar and / or identical elements.

Claims (19)

一種用以清潔一基板處理系統的一處理腔室的方法,包括: 供給三氟化氮(NF3 )氣體至一遠程電漿源(RPS); 使用該RPS產生RPS電漿; 將該 RPS電漿供給至該處理腔室; 將NF3 氣體作為旁路氣體供給至該處理腔室; 於該處理腔室中點燃原位電漿,且同時供給該RPS電漿;及 使用該RPS電漿及該原位電漿兩者在一清潔時段期間清潔該處理腔室。A method for cleaning a processing chamber of a substrate processing system includes: supplying nitrogen trifluoride (NF 3 ) gas to a remote plasma source (RPS); generating an RPS plasma using the RPS; The plasma is supplied to the processing chamber; the NF 3 gas is supplied to the processing chamber as a bypass gas; the in-situ plasma is ignited in the processing chamber, and the RPS plasma is simultaneously supplied; and the RPS plasma is used and Both the in-situ plasma cleans the processing chamber during a cleaning period. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,更包含在該清潔時段之後熄滅該原位電漿以及不供給該RPS電漿至該處理腔室。For example, the method of claim 1 for cleaning a processing chamber of a substrate processing system further comprises extinguishing the in-situ plasma after the cleaning period and not supplying the RPS plasma to the processing chamber. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中該基板處理系統執行化學氣相沉積(CVD)。For example, the method of claim 1 for cleaning a processing chamber of a substrate processing system, wherein the substrate processing system performs chemical vapor deposition (CVD). 如申請專利範圍第3項之用以清潔一基板處理系統的一處理腔室的方法,其中該基板處理系統使用氧化物前驅物氣體將二氧化矽(SiO2 )沉積在一基板上。For example, a method for cleaning a processing chamber of a substrate processing system according to item 3 of the patent application, wherein the substrate processing system uses an oxide precursor gas to deposit silicon dioxide (SiO 2 ) on a substrate. 如申請專利範圍第4項之用以清潔一基板處理系統的一處理腔室的方法,其中該氧化物前驅物氣體包含四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)氣體。For example, the method of claim 4 for cleaning a processing chamber of a substrate processing system, wherein the oxide precursor gas includes tetraethyl orthosilicate (TEOS) gas. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中該基板處理系統執行原子層沉積(ALD)。For example, the method of claim 1 for cleaning a processing chamber of a substrate processing system, wherein the substrate processing system performs atomic layer deposition (ALD). 如申請專利範圍第6項之用以清潔一基板處理系統的一處理腔室的方法,其中該基板處理系統使用氧化物前驅物氣體沉積二氧化矽(SiO2 )。For example, the method of claim 6 for cleaning a processing chamber of a substrate processing system, wherein the substrate processing system uses an oxide precursor gas to deposit silicon dioxide (SiO 2 ). 如申請專利範圍第7項之用以清潔一基板處理系統的一處理腔室的方法,其中該氧化物前驅物氣體包含四乙基正矽酸鹽(tetraethyl orthosilicate,TEOS)氣體。For example, the method of claim 7 for cleaning a processing chamber of a substrate processing system, wherein the oxide precursor gas includes tetraethyl orthosilicate (TEOS) gas. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中使用在從500瓦至3000瓦的範圍中的RF功率產生該原位電漿。For example, the method for cleaning a processing chamber of a substrate processing system in the first scope of the patent application, wherein the in-situ plasma is generated using RF power in a range from 500 Watts to 3000 Watts. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中使用在從1000瓦至2000瓦的範圍中的RF功率產生該原位電漿。For example, the method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application range, wherein the in-situ plasma is generated using RF power in a range from 1000 Watts to 2000 Watts. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中使用在從1400瓦至1600瓦的範圍中的RF功率產生該原位電漿。For example, a method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application range, wherein the in-situ plasma is generated using RF power in a range from 1400 watts to 1600 watts. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中至該RPS的氣體流率係在針對在該方法期間之腔室操作參數最有效率的流率的90%至110%的範圍之內。For example, the method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application range, wherein the gas flow rate to the RPS is at the most efficient flow rate for the operating parameters of the chamber during the method Within the range of 90% to 110%. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中至該RPS的氣體流率係在針對在該方法期間之腔室操作參數最有效率的流率的95%至105%的範圍之內。For example, the method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application range, wherein the gas flow rate to the RPS is at the most efficient flow rate for the operating parameters of the chamber during the method 95% to 105%. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中NF3 氣體至該RPS的氣體流率係在從10至12 slm的範圍中。For example, the method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application, wherein the gas flow rate of the NF 3 gas to the RPS is in a range from 10 to 12 slm. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,其中NF3 氣體至該處理腔室的氣體流率係在從3至5 slm的範圍中。For example, the method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application, wherein the gas flow rate of the NF 3 gas to the processing chamber is in a range from 3 to 5 slm. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,更包含: 在該清潔時段的一第一部分期間在該處理腔室中維持一第一壓力;及 在該清潔時段的一第二部分期間在該處理腔室中維持與該第一壓力不同之一第二壓力。The method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application, further comprising: maintaining a first pressure in the processing chamber during a first portion of the cleaning period; and A second pressure different from the first pressure is maintained in the processing chamber during a second part of the cleaning period. 如申請專利範圍第16項之用以清潔一基板處理系統的一處理腔室的方法,其中該第一壓力係在從2至4托的範圍中,且該第二壓力係在從0.5至2托的範圍中。For example, the method of claim 16 for cleaning a processing chamber of a substrate processing system, wherein the first pressure is in a range from 2 to 4 Torr, and the second pressure is in a range from 0.5 to 2 In the range of care. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,更包含在該清潔時段期間將基板支撐件的溫度維持在350℃與650℃的範圍中。For example, the method for cleaning a processing chamber of a substrate processing system according to item 1 of the patent application scope further includes maintaining the temperature of the substrate support in the range of 350 ° C. and 650 ° C. during the cleaning period. 如申請專利範圍第1項之用以清潔一基板處理系統的一處理腔室的方法,更包含在該清潔時段期間將基板支撐件的溫度維持在445℃與550℃的範圍中。For example, the method of claim 1 for cleaning a processing chamber of a substrate processing system further includes maintaining the temperature of the substrate support in the range of 445 ° C and 550 ° C during the cleaning period.
TW107113794A 2018-04-24 2018-04-24 Rapid chamber clean using concurrent in-situ and remote plasma sources TW201945087A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW107113794A TW201945087A (en) 2018-04-24 2018-04-24 Rapid chamber clean using concurrent in-situ and remote plasma sources

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW107113794A TW201945087A (en) 2018-04-24 2018-04-24 Rapid chamber clean using concurrent in-situ and remote plasma sources

Publications (1)

Publication Number Publication Date
TW201945087A true TW201945087A (en) 2019-12-01

Family

ID=69582864

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113794A TW201945087A (en) 2018-04-24 2018-04-24 Rapid chamber clean using concurrent in-situ and remote plasma sources

Country Status (1)

Country Link
TW (1) TW201945087A (en)

Similar Documents

Publication Publication Date Title
TWI687539B (en) Systems and methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
KR102525777B1 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
KR102598863B1 (en) Rapid chamber cleaning using simultaneous in-situ and remote plasma sources
TWI777975B (en) Systems and methods for reducing effluent build-up in a pumping exhaust system
EP3207558A1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
TWI791492B (en) Ultrahigh selective nitride etch to form finfet devices
TWI775814B (en) Helium plug design to reduce arcing
TWI717336B (en) Deposition of metal dielectric film for hardmasks
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR102620610B1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
JP2017166065A (en) CONSTITUTING MEMBER FOR PLASMA PROCESSING SYSTEM SUCH AS EDGE RING HAVING HIGH-PURITY CHEMICAL VAPOR DEPOSITION (CVD) DIAMOND COATING CONTAINING sp3 BOND
KR102088596B1 (en) Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
TW202104643A (en) High etch selectivity, low stress ashable carbon hard mask
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
TW201945087A (en) Rapid chamber clean using concurrent in-situ and remote plasma sources
JP2020530210A (en) Systems and methods for plasmaless dehalogenation
US20220181128A1 (en) Apparatus for cleaning plasma chambers
TW201945587A (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
TW202324489A (en) In-situ back side plasma treatment for residue removal from substrates
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage