TW201908508A - Method of depositing a barrier layer on a metal surface - Google Patents

Method of depositing a barrier layer on a metal surface

Info

Publication number
TW201908508A
TW201908508A TW107124472A TW107124472A TW201908508A TW 201908508 A TW201908508 A TW 201908508A TW 107124472 A TW107124472 A TW 107124472A TW 107124472 A TW107124472 A TW 107124472A TW 201908508 A TW201908508 A TW 201908508A
Authority
TW
Taiwan
Prior art keywords
substrate
metal surface
barrier layer
exposing
alkyne
Prior art date
Application number
TW107124472A
Other languages
Chinese (zh)
Other versions
TWI722301B (en
Inventor
巴斯卡爾喬帝 布洋
馬克 薩利
蘭卡摩C 卡路塔瑞奇
湯瑪士 尼斯里
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201908508A publication Critical patent/TW201908508A/en
Application granted granted Critical
Publication of TWI722301B publication Critical patent/TWI722301B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Methods of enhancing selective deposition are described. In some embodiments, a blocking layer is deposited on a metal surface before deposition of a dielectric. In some embodiments, a metal surface is functionalized to enhance or decrease its reactivity.

Description

在金屬表面上沉積阻擋層的方法Method for depositing barrier layer on metal surface

本案揭露內容之實施例關於用於在金屬表面上沉積阻擋層的方法。更特定而言,本案揭露內容之實施例涉及在金屬表面上沉積阻擋層以助於僅在介電表面上沉積氮化矽的方法。The examples disclosed in this case relate to a method for depositing a barrier layer on a metal surface. More specifically, embodiments disclosed herein relate to a method of depositing a barrier layer on a metal surface to help deposit silicon nitride only on a dielectric surface.

半導體工業在追求元件微型化時面臨許多挑戰,元件微型化涉及奈米等級的特徵的快速規模縮小。這樣的問題包括,引入複雜的製造步驟,諸如多重微影步驟,以及高性能材料的整合。為了維持元件微型化的步調,選擇性沉積已顯現前景,因為該選擇性沉積有潛力透過簡化整合方案而移除高昂的微影步驟。The semiconductor industry faces many challenges in pursuing component miniaturization, which involves the rapid downsizing of nanometer-level features. Such problems include the introduction of complex manufacturing steps, such as multiple lithographic steps, and the integration of high-performance materials. In order to maintain the pace of component miniaturization, selective deposition has emerged as it has the potential to remove costly lithographic steps by simplifying integration schemes.

能夠以各式各樣的方式完成材料的選擇性沉積。化學前驅物可選擇性與一個表面反應(相對於另一表面而言)(金屬或介電質)。可調控諸如壓力、基板溫度、前驅物分壓、及/或氣體流量之類的製程參數,以調控特定表面反應的化學動力。另一種可能的方案涉及表面前處理,能夠使用該表面前處理以活化或去活化引入的膜沉積前驅物所針對的表面。The selective deposition of materials can be accomplished in a variety of ways. Chemical precursors can selectively react with one surface (relative to the other) (metal or dielectric). Process parameters such as pressure, substrate temperature, precursor partial pressure, and / or gas flow can be adjusted to regulate the chemical dynamics of specific surface reactions. Another possible solution involves surface preparation, which can be used to activate or deactivate the surface to which the introduced film deposition precursor is directed.

本技術中持續需要改善沉積選擇性的方法。There is a continuing need in the art for improved deposition selectivity.

本案揭露內容之一或多個實施例關於選擇性沉積阻擋層之方法。該方法包括,將具有金屬表面與介電表面的基板暴露至矽烷,而在該金屬表面上選擇性形成阻擋層,該矽烷包括至少一種化合物,該化合物之通式為SiH3 R,其中R是選自C4至C20的烷基、全氟化烷基、烯基、或炔基基團。This case discloses one or more embodiments regarding a method for selectively depositing a barrier layer. The method includes exposing a substrate having a metal surface and a dielectric surface to a silane, and selectively forming a barrier layer on the metal surface. The silane includes at least one compound, the general formula of the compound is SiH 3 R, where R is An alkyl, perfluorinated alkyl, alkenyl, or alkynyl group selected from C4 to C20.

本案揭露內容之額外實施例關於選擇性沉積阻擋層的方法。該方法包括,將具有金屬表面與介電表面的基板暴露至炔烴及氮反應物,而在該金屬表面上選擇性形成阻擋層,該氮反應物包括疊氮化物或腈氧化物。Additional embodiments disclosed herein relate to a method for selectively depositing a barrier layer. The method includes exposing a substrate having a metal surface and a dielectric surface to an alkyne and a nitrogen reactant, and selectively forming a barrier layer on the metal surface, the nitrogen reactant including an azide or a nitrile oxide.

本案揭露內容之進一步實施例關於選擇性沉積阻擋層的方法。該方法包括,將具有金屬表面與介電表面的基板暴露至環氧化物,而在該金屬表面上選擇性形成阻擋層。A further embodiment of the present disclosure relates to a method for selectively depositing a barrier layer. The method includes exposing a substrate having a metal surface and a dielectric surface to an epoxide, and selectively forming a barrier layer on the metal surface.

本案揭露內容之實施例提供用於在金屬表面上沉積阻擋層的多種方法。本案揭露內容之實施例驗明可分別使用或協同使用的用於沉積阻擋層的多種方法。The embodiments disclosed in this case provide various methods for depositing a barrier layer on a metal surface. The examples disclosed in this case verify multiple methods for depositing barrier layers that can be used separately or in concert.

本案揭露內容之實施例提供用於有利地在介電表面上沉積介電材料(例如SiN)的方法,這是藉由下述方式達成:透過沉積在金屬表面上的阻擋層阻擋金屬表面上介電材料的沉積。The embodiments disclosed in this case provide a method for favorably depositing a dielectric material (such as SiN) on a dielectric surface, which is achieved by blocking a metal surface via a barrier layer deposited on the metal surface Deposition of electrical materials.

如本文所用的「基板表面」是指,上面執行膜處理的在基板上所形成的材料表面的部分或是基板的任何部分。舉例而言,上面能夠執行處理的基板表面包括下述材料,諸如:矽、氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電材料,視應用而定。基板包括(而非限制)半導體晶圓。基板可暴露至前處理製程,以研磨、蝕刻、還原、氧化、氫氧化、退火、UV固化、電子束固化、及/或烘烤該基板表面。除了直接在基板本身表面上進行膜處理之外,在本案揭露內容中,所揭露的膜處理步驟之任一者也可在下層上執行,該下層形成於基板上,在下文中會更詳細揭露,且希望術語「基板表面」包括如上下文所指的此類下層。因此,舉例而言,在膜/層或部分的膜/層已沉積於基板表面上時,新沉積的膜/層之暴露表面變成該基板表面。基板可具有各種尺寸,諸如200mm或300mm直徑的晶圓,以及矩形或方形板。一些實施例中,基板包括剛性的分立材料。The "substrate surface" as used herein refers to a portion of a surface of a material formed on a substrate or any portion of a substrate on which film processing is performed. For example, the surface of a substrate on which processing can be performed includes materials such as: silicon, silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metal, metal Nitride, metal alloy, and other conductive materials, depending on the application. The substrate includes, but is not limited to, a semiconductor wafer. The substrate may be exposed to a pre-processing process to grind, etch, reduce, oxidize, oxidize, anneal, UV cure, electron beam cure, and / or bake the substrate surface. In addition to performing film processing directly on the surface of the substrate itself, in the disclosure of this case, any of the disclosed film processing steps can also be performed on the lower layer, which is formed on the substrate, which will be disclosed in more detail below. And it is desirable that the term "substrate surface" includes such an underlying layer as the context indicates. Thus, for example, when a film / layer or a portion of a film / layer has been deposited on a substrate surface, the exposed surface of the newly deposited film / layer becomes the substrate surface. The substrate may have various sizes, such as a wafer having a diameter of 200 mm or 300 mm, and a rectangular or square plate. In some embodiments, the substrate comprises a rigid discrete material.

如本文所用的「原子層沉積」或「循環式沉積」是指包括將兩種或更多種反應性化合物依序暴露而在基板表面上沉積材料層的製程。如在此說明書及所附之申請專利範圍中所用,術語「反應性化合物」、「反應性氣體」、「反應性物種」、「前驅物」、「處理氣體」、及類似術語可互換地使用,以意味一物質有能夠在表面反應中與基板表面或基板表面上之材料反應的物種,該表面反應例如化學吸附、氧化、還原、環加成。該基板(或該基板的部分)依序暴露至該兩種或更多種反應性化合物,該等反應性化合物被引入處理腔室之反應區域中。As used herein, "atomic layer deposition" or "cyclic deposition" refers to a process that includes sequentially exposing two or more reactive compounds to deposit a material layer on a substrate surface. As used in this specification and the scope of the accompanying patent applications, the terms "reactive compound", "reactive gas", "reactive species", "precursor", "processing gas", and similar terms are used interchangeably It means that a substance has a species capable of reacting with a substrate surface or a material on the substrate surface in a surface reaction such as chemical adsorption, oxidation, reduction, cycloaddition. The substrate (or a portion of the substrate) is sequentially exposed to the two or more reactive compounds, which are introduced into a reaction area of the processing chamber.

本案揭露內容之實施例有利地提供用於表面前處理之方法,該表面前處理諸如選擇性阻擋金屬表面(包括但不限於銅、鈷、鎢、鉭、氮化鉭、氧化鉭、鈦、氧化鈦、氮化鈦、釕、氧化釕和銥等)。一些實施例有利地提供在介電表面上選擇性生長介電材料的方法,該等介電材料諸如SiO2 、SiN、SiCON、SiCO等。一些實施例有利地提供使用環氧化物表面反應選擇性阻擋表面沉積的方法。The embodiments disclosed in this case advantageously provide a method for surface pretreatment such as selective blocking of metal surfaces (including but not limited to copper, cobalt, tungsten, tantalum, tantalum nitride, tantalum oxide, titanium, oxide Titanium, titanium nitride, ruthenium, ruthenium oxide, iridium, etc.). Some embodiments advantageously provide a method for selectively growing dielectric materials, such as SiO 2 , SiN, SiCON, SiCO, and the like, on a dielectric surface. Some embodiments advantageously provide a method for selectively blocking surface deposition using an epoxide surface reaction.

一些實施例中,金屬矽化物選擇性形成於金屬表面上勝於在介電表面上。如在此說明書中及所附之申請專利範圍中所用,詞彙「選擇性……勝於」或類似詞彙是意味所述材料沉積在所陳述的表面上達到的程度大於另一表面。一些實施例中,「選擇性」意味所述材料以一速率形成於該選擇性表面上,該速率大於或等於約非所選之表面上的形成速率的約10倍、15倍、20倍、25倍、30倍、35倍、40倍、45倍、或50倍。一些實施例中,含有長烷基鏈的三氫化矽烷(trihydridosilane)(RSiH3 ,其中R=C4-C20)用作阻擋分子,且在溶液或氣相與金屬表面(包括但不限於Cu、Co、W、及TiN)反應。一些實施例中,在與阻擋分子反應前先清潔金屬表面。透過矽烷頭部基團,有機矽烷選擇性與金屬表面反應勝於介電表面(例如SiO2 )。矽烷的有機部分作為疏水保護層,而阻擋金屬上有後續介電層(例如SiN)生長,而實現在介電表面上選擇性沉積介電質。In some embodiments, the metal silicide is selectively formed on the metal surface rather than on the dielectric surface. As used in this specification and the scope of the accompanying patent application, the term "selectivity ... is better than" or similar means that the material is deposited to a greater extent on the stated surface than on another surface. In some embodiments, "selectivity" means that the material is formed on the selective surface at a rate greater than or equal to about 10 times, 15 times, 20 times, the rate of formation on a non-selected surface, 25 times, 30 times, 35 times, 40 times, 45 times, or 50 times. In some embodiments, trihydridosilane (RSiH 3 , where R = C4-C20) containing a long alkyl chain is used as a blocking molecule, and is in solution or gas phase with metal surfaces (including but not limited to Cu, Co , W, and TiN) reactions. In some embodiments, the metal surface is cleaned before reacting with the blocking molecules. Through silane head groups, organic silanes react more selectively with metal surfaces than with dielectric surfaces (such as SiO 2 ). The organic part of the silane acts as a hydrophobic protective layer, while blocking the growth of subsequent dielectric layers (such as SiN) on the metal, to achieve selective deposition of a dielectric on the dielectric surface.

本案揭露內容的一或多個實施例涉及在基板之金屬表面上選擇性沉積阻擋層的方法,該基板具有金屬表面與介電表面。該方法包括,將基板暴露至矽烷,該矽烷包括至少一種化合物,該化合物之通式為SiH3 R,其中R是選自C4至C20的烷基、全氟化烷基、烯基、或炔基基團。如以此方式所用,字母「C」之後跟隨著數值(例如,「C4」)是意味,該取代基包括指定數目的碳原子(例如,C4包括四個碳原子)。一些實施例中,該取代基可為直鏈基團(例如正丁基)、分支基團(例如第三丁基)或環狀基團(例如環己基)。One or more embodiments disclosed herein relate to a method for selectively depositing a barrier layer on a metal surface of a substrate having a metal surface and a dielectric surface. The method includes exposing the substrate to a silane, the silane comprising at least one compound, the general formula of which is SiH 3 R, wherein R is an alkyl group, a perfluorinated alkyl group, an alkenyl group, or an alkyne group selected from C4 to C20 Group. As used in this manner, the letter "C" followed by a numerical value (eg, "C4") means that the substituent includes a specified number of carbon atoms (eg, C4 includes four carbon atoms). In some embodiments, the substituent may be a linear group (such as n-butyl), a branched group (such as a third butyl group), or a cyclic group (such as cyclohexyl).

該基板的介電表面可包括任何適合的介電材料。適合的介電材料包括(但不限於)氧化物(例如矽氧化物)及高k介電質。一些實施例中,該介電表面基本上由氧化矽組成。如以此方式所用,術語「基本上由……組成」意味在面積的基礎上,該表面為具有大於或等於約95%、98%、或99%的所述材料。The dielectric surface of the substrate may include any suitable dielectric material. Suitable dielectric materials include, but are not limited to, oxides (such as silicon oxide) and high-k dielectrics. In some embodiments, the dielectric surface consists essentially of silicon oxide. As used in this manner, the term "consisting essentially of" means that the surface is, on an area basis, the material having greater than or equal to about 95%, 98%, or 99%.

該基板之金屬表面可包括任何適合的金屬材料。適合的金屬材料包括(但不限於)金屬、金屬氮化物、金屬合金、及其他導電材料。一些實施例中,該金屬表面包括鈷、鎢、或氮化鈦之一或多者。一些實施例中,該金屬表面基本上由鈷組成。一些實施例中,該金屬表面基本上由鎢組成。一些實施例中,該金屬表面基本上由氮化鈦組成。The metal surface of the substrate may include any suitable metal material. Suitable metal materials include, but are not limited to, metals, metal nitrides, metal alloys, and other conductive materials. In some embodiments, the metal surface includes one or more of cobalt, tungsten, or titanium nitride. In some embodiments, the metal surface consists essentially of cobalt. In some embodiments, the metal surface consists essentially of tungsten. In some embodiments, the metal surface consists essentially of titanium nitride.

暴露至基板的矽烷可包括任何適合的三氫化矽烷。一些實施例中,該矽烷包括至少一種化合物,該化合物之通式為SiH3 R,其中R是選自C4至C20的烷基、全氟化烷基、烯基、或炔基基團。一些實施例中,C4至C20烷基基團基本上由一個矽碳鍵、多個碳碳單鍵、及多個碳氫鍵所組成。一些實施例中,C4至C20全氟化烷基基團基本上由一個矽碳鍵、多個碳碳單鍵、及多個碳氟鍵所組成。一些實施例中,C4至C20烯基基團基本上由一個矽碳鍵、多個碳碳單鍵、至少一個碳碳雙鍵、及多個碳氫鍵所組成。一些實施例中,C4至C20炔基基團基本上由一個矽碳鍵、多個碳碳單鍵、至少一個碳碳三鍵、及多個碳氫鍵所組成。一些實施例中,該C4至C20基團包括一或多個鹵素原子及/或疏水性部分(hydrophobic moiety)。The silane exposed to the substrate may include any suitable trihydrosilane. In some embodiments, the silane includes at least one compound having a general formula SiH 3 R, wherein R is an alkyl group, a perfluorinated alkyl group, an alkenyl group, or an alkynyl group selected from C4 to C20. In some embodiments, the C4 to C20 alkyl group consists essentially of a silicon-carbon bond, multiple carbon-carbon single bonds, and multiple carbon-hydrogen bonds. In some embodiments, the C4 to C20 perfluorinated alkyl group consists of one silicon-carbon bond, multiple carbon-carbon single bonds, and multiple carbon-fluorine bonds. In some embodiments, the C4 to C20 alkenyl group consists of one silicon-carbon bond, multiple carbon-carbon single bonds, at least one carbon-carbon double bond, and multiple carbon-hydrogen bonds. In some embodiments, the C4 to C20 alkynyl group consists essentially of a silicon-carbon bond, multiple carbon-carbon single bonds, at least one carbon-carbon triple bond, and multiple carbon-hydrogen bonds. In some embodiments, the C4 to C20 group includes one or more halogen atoms and / or a hydrophobic moiety.

一些實施例中,矽烷包括C4至C20烷基基團。一些實施例中,該矽烷包括十二烷基矽烷(C12 H25 SiH3 )。一些實施例中,該矽烷基本上由十二烷基矽烷組成。In some embodiments, the silane includes a C4 to C20 alkyl group. In some embodiments, the silane includes dodecylsilane (C 12 H 25 SiH 3 ). In some embodiments, the silane consists essentially of dodecylsilane.

一些實施例中,該矽烷基團在沉積後彼此交聯。一些實施例中,該阻擋層實質上不含有矽烷基團之間的交聯。如在這方面所用,術語「實質上不含有……交聯」意味,在表面積的基礎上,有少於或等於約5%、2%、或1%的交聯。In some embodiments, the silane groups are crosslinked to each other after deposition. In some embodiments, the barrier layer is substantially free of cross-linking between silane groups. As used in this regard, the term "substantially free of ..." means that there is less than or equal to about 5%, 2%, or 1% of crosslinks on a surface area basis.

一些實施例中,在將該基板暴露至矽烷之前先清潔該基板。一些實施例中,僅有基板的金屬表面在該基板暴露至矽烷之前清潔。一些實施例中,以氫電漿清潔該基板的金屬表面或者是該基板。一些實施例中,該氫電漿是傳導耦合電漿(CCP)。一些實施例中,該氫電漿是感應耦合電漿(ICP)。一些實施例中,該氫電漿包括H2 的電漿。In some embodiments, the substrate is cleaned before the substrate is exposed to silane. In some embodiments, only the metal surface of the substrate is cleaned before the substrate is exposed to silane. In some embodiments, the metal surface of the substrate or the substrate is cleaned with a hydrogen plasma. In some embodiments, the hydrogen plasma is a conductive coupling plasma (CCP). In some embodiments, the hydrogen plasma is an inductively coupled plasma (ICP). In some embodiments, the hydrogen plasma includes a H 2 plasma.

一些實施例中,在沉積該阻擋層之後,介電層選擇性沉積在介電表面上。一些實施例中,該介電層包括氮化矽。沉積氮化矽可透過任何適合的製程執行。適合的製程可包括將該基板暴露至鹵化矽及氨。適合的鹵化矽包括(但不限於)二氯矽烷(DCS)、三氯矽烷(TCS)、四氯矽烷(SiCl4 )、四溴矽烷(SiBr4 )、四碘矽烷(SiI4 )、及六氯乙矽烷(HCDS)。In some embodiments, after the barrier layer is deposited, a dielectric layer is selectively deposited on the dielectric surface. In some embodiments, the dielectric layer includes silicon nitride. Deposition of silicon nitride can be performed by any suitable process. A suitable process may include exposing the substrate to silicon halide and ammonia. Suitable silicon halides include, but are not limited to, dichlorosilane (DCS), trichlorosilane (TCS), tetrachlorosilane (SiCl 4 ), tetrabromosilane (SiBr 4 ), tetraiodosilane (SiI 4 ), and six Chloroethylsilane (HCDS).

一些實施例中,在沉積介電層之後重複將該基板暴露至該矽烷,而再生該阻擋層。一些實施例中,於再生該阻擋層之後,再度沉積該介電層。一些實施例中,重複將該基板暴露至矽烷及沉積氮化矽層,直到該氮化矽層已經達到預定厚度為止。In some embodiments, the substrate is repeatedly exposed to the silane after the dielectric layer is deposited to regenerate the barrier layer. In some embodiments, the dielectric layer is deposited again after the barrier layer is regenerated. In some embodiments, the substrate is repeatedly exposed to silane and a silicon nitride layer is deposited until the silicon nitride layer has reached a predetermined thickness.

在一定數目的沉積循環之後,或是形成膜厚度之後,可執行一次或重複對表面阻擋化學條件的暴露,或是阻擋層的再生。一些實施例中,阻擋層再生前,氮化矽層沉積成厚度在約10Å至約50Å的範圍,或是在約12Å至約35Å的範圍,或在約15Å至約20Å的範圍。一些實施例中,重複將該基板暴露至該矽烷且沉積氮化矽,直到氮化矽層具有大於或等於50Å、75Å、100Å、或150Å的厚度為止。範例 After a certain number of deposition cycles, or after the film thickness is formed, the surface barrier chemical conditions may be exposed once or repeatedly, or the barrier layer may be regenerated. In some embodiments, the silicon nitride layer is deposited to a thickness ranging from about 10 Å to about 50 Å, or from about 12 Å to about 35 Å, or from about 15 Å to about 20 Å before the barrier layer is regenerated. In some embodiments, the substrate is repeatedly exposed to the silane and silicon nitride is deposited until the silicon nitride layer has a thickness greater than or equal to 50 Å, 75 Å, 100 Å, or 150 Å. example

使用2至10分鐘、100瓦的氫電漿清潔金屬表面,以減少原生氧化物濃度。在200°C之基板溫度沉積矽烷。一開始以水接觸角(WCA)測量法探究金屬矽化物之形成。較高的接觸角指示疏水性表面(即,矽化物形成)。WCA指示,SiO2 並未被十二烷基矽烷(DDS,R=C12)所阻擋,而金屬表面(也就是Co、W、及TiN)被阻擋。用於表面清潔的氫電漿處理助於金屬矽化物形成。Clean the metal surface with a 100 watt hydrogen plasma for 2 to 10 minutes to reduce the native oxide concentration. Silane was deposited at a substrate temperature of 200 ° C. Initially, the formation of metal silicide was investigated by water contact angle (WCA) measurement. A higher contact angle indicates a hydrophobic surface (ie, silicide formation). WCA indicates that SiO 2 is not blocked by dodecylsilane (DDS, R = C12), and the metal surface (ie Co, W, and TiN) is blocked. Hydrogen plasma treatment for surface cleaning facilitates metal silicide formation.

熱及化學穩定性測試結果指出,金屬上的DDS阻擋對於W及TiN而言至200°C都是穩定的,且在Co上多達330°C也穩定。DDS自組裝單層(SAM)可耐受鹵化矽(二氯矽烷(DCS)、三氯矽烷(TCS)、四氯矽烷(SiCl4 )、四溴矽烷(SiBr4 )、四碘矽烷(SiI4 ))及NH3 ,上述兩者皆用於SiN的ALD。Thermal and chemical stability test results indicate that the DDS barrier on metals is stable up to 200 ° C for W and TiN, and is stable up to 330 ° C on Co. DDS self-assembled monolayer (SAM) can withstand silicon halide (dichlorosilane (DCS), trichlorosilane (TCS), tetrachlorosilane (SiCl 4 ), tetrabromosilane (SiBr 4 ), tetraiodosilane (SiI 4 )) And NH 3 , both of which are used for SiN ALD.

於DDS SAM上沉積的不同厚度的SiN之WCA研究顯示,當15-20Å的SiN生長之後SAM再生時,在Co、W、及TiN表面上多達約50Å的最小厚度都能夠達到選擇性。透過重複SiN形成之間的再生循環數目,而能夠延長選擇性。觀察到,DDS處理過的基板幾乎不具有SiN生長(由於空氣暴露造成該SiN氧化成SiO2 ),然而未以DDS處理過的基板具有約40-50Å的SiN生長。WCA studies of SiN deposited on DDS SAM with different thicknesses have shown that when SAM is regenerated after 15-20Å SiN growth, the minimum thickness of up to about 50Å on Co, W, and TiN surfaces can achieve selectivity. By repeating the number of regeneration cycles between SiN formations, the selectivity can be extended. It was observed that DDS-treated substrates had almost no SiN growth (the SiN was oxidized to SiO 2 due to air exposure), whereas substrates not treated with DDS had SiN growth of about 40-50 Å.

一些實施例中,取代的疊氮化物或腈氧化物及炔烴在銅表面的存在下反應而形成阻擋層。此反應形成表面鍵結物種,該表面鍵結物種能夠具有潛力以使新官能化的銅表面變得惰性,或是促進反應性朝向引入的膜沉積前驅物。舉例而言,疊氮化物或腈氧化物在銅金屬存在下與炔烴反應,而形成三唑(triazole)或是異噁唑(isooxazole)(分別在疊氮化物或腈氧化物的情況中形成)。一些實施例中,三甲基矽基疊氮化物(trimethylsilyl azide)及三甲基矽基乙炔(trimethylsilyl acetylene)在銅金屬表面的存在下反應,而形成所得的表面鍵結三唑。一些實施例中,取代的疊氮化物及炔烴前驅物以氣相依序引至基板。In some embodiments, a substituted azide or nitrile oxide and an alkyne react in the presence of a copper surface to form a barrier layer. This reaction forms a surface-bonded species that can have the potential to make the newly functionalized copper surface inert, or to promote reactivity toward the introduced film deposition precursor. For example, an azide or nitrile oxide reacts with an alkyne in the presence of copper metal to form a triazole or isooxazole (formed in the case of an azide or nitrile oxide, respectively) ). In some embodiments, trimethylsilyl azide and trimethylsilyl acetylene react in the presence of a copper metal surface to form the resulting surface-bonded triazole. In some embodiments, the substituted azide and alkyne precursors are sequentially introduced to the substrate in the gas phase.

一些實施例中,在金屬表面存在下取代的疊氮化物或腈氧化物及取代的炔烴反應。每一分子(疊氮化物、腈氧化物及炔烴)上反應性取代基的數目N可在1至4個反應性基團之範圍。一些實施例中,反應性基團之數目大於1。In some embodiments, a substituted azide or nitrile oxide and a substituted alkyne are reacted in the presence of a metal surface. The number N of reactive substituents on each molecule (azide, nitrile oxide, and alkyne) may be in the range of 1 to 4 reactive groups. In some embodiments, the number of reactive groups is greater than one.

參考圖1,針對疊氮化物及炔烴的可能數目或反應性基團顯示一系列的通常結構。將基團保持在一起的波浪線可以是任何將反應性基團保持在一起的分子鏈,該等反應性基團為基於碳、基於矽、或甚至類似硼、磷、氮、氧、及硫之類的其他元素。Referring to FIG. 1, a series of general structures are shown for possible numbers or reactive groups of azides and alkynes. The wavy lines that hold the groups together can be any molecular chain that holds reactive groups together, such reactive groups being carbon-based, silicon-based, or even similar to boron, phosphorus, nitrogen, oxygen, and sulfur And other elements.

不受理論所限制,當這些單體是在金屬表面存在下時,相信這些部分會歷經環化反應,而在金屬表面(而不會在介電表面)上形成聚合物網絡,如圖2所說明。相信聚合物網絡對金屬表面的鍵結的發生是透過聚合物表面上的氮取代基及雜環的π電子與金屬表面的交互作用。Without being limited by theory, when these monomers are in the presence of a metal surface, it is believed that these parts will undergo a cyclization reaction, and a polymer network is formed on the metal surface (not on the dielectric surface), as shown in Figure 2 Instructions. It is believed that the bonding of the polymer network to the metal surface occurs through the interaction of the nitrogen substituents on the polymer surface and the π electrons of the heterocycle with the metal surface.

圖3顯示根據本案揭露內容之一些實施例的用於實現選擇性沉積的大致製程流程。流程開始於,將基於乙炔化物(例如炔烴)及基於疊氮化物的單體透過溶液相、純液相(neat)、或氣相方法在約20°C至約600°C的範圍的溫度引至基板。一些實施例中,金屬表面是純淨金屬表面而在該表面上沒有任何氧化物。引入單體後,聚合物網絡開始透過金屬催化的三唑形成而在金屬表面上形成。金屬催化的聚合完成之後,可透過以溶劑(若在溶液相進行)清洗該等表面或使用反應器內側的惰性氣體沖洗,移除未反應的單體。之後可沉積僅在介電質上成核的膜。FIG. 3 shows a general process flow for implementing selective deposition according to some embodiments of the disclosure. The process begins by passing acetylide-based (eg, alkyne) and azide-based monomers through a solution phase, neat, or gas phase process at a temperature in the range of about 20 ° C to about 600 ° C Lead to the substrate. In some embodiments, the metal surface is a pure metal surface without any oxide on the surface. After the monomers were introduced, the polymer network began to form on the metal surface through metal-catalyzed triazole formation. After the metal-catalyzed polymerization is completed, the unreacted monomers can be removed by washing the surfaces with a solvent (if performed in a solution phase) or by flushing with an inert gas inside the reactor. A film that nucleates only on the dielectric can then be deposited.

製程流程完成之後,能夠使用選擇性蝕刻製程移除聚合物層。已知基於氧及基於氟的蝕刻會蝕刻基於碳的膜,類似在此沉積的阻擋層。圖4顯示透過基於氧的遠端電漿移除聚合物的範例。在此範例中,透過基於氧的遠端電漿蝕刻移除聚合物,該蝕刻移除該聚合物但氧化該金屬表面。為了回復原始的金屬表面,能夠將金屬氧化物還原回到金屬。一些實施例中,還原包括暴露至H2 及NH3 電漿及/或H2 及NH3 熱退火。After the process flow is completed, the polymer layer can be removed using a selective etching process. Oxygen-based and fluorine-based etching is known to etch carbon-based films, similar to the barrier layer deposited here. Figure 4 shows an example of polymer removal through an oxygen-based remote plasma. In this example, the polymer is removed through an oxygen-based remote plasma etch, which removes the polymer but oxidizes the metal surface. In order to restore the original metal surface, the metal oxide can be reduced back to the metal. In some embodiments, the reduction includes exposure to H 2 and NH 3 plasma and / or H 2 and NH 3 thermal annealing.

一些實施例中,該膜的一些沉積能夠發生在阻擋層上,而可能導致缺陷(如圖5中的瘤所標示)。一或多個實施例中,伴隨缺陷一併移除聚合物,且該聚合反應再度重新開始,而繼續選擇性生長。In some embodiments, some deposition of the film can occur on the barrier layer, which may cause defects (as indicated by the nodules in FIG. 5). In one or more embodiments, the polymer is removed along with the defects, and the polymerization reaction restarts again, and the selective growth continues.

本案揭露內容的一或多個實施例涉及在具有金屬表面及介電表面的基板的金屬表面上選擇性沉積阻擋層的方法。該方法包括,將該基板暴露至炔烴及氮反應物,而在金屬表面上選擇性形成阻擋層,該氮反應物包括疊氮化物或腈氧化物。One or more embodiments disclosed herein relate to a method for selectively depositing a barrier layer on a metal surface of a substrate having a metal surface and a dielectric surface. The method includes exposing the substrate to an alkyne and a nitrogen reactant, and selectively forming a barrier layer on a metal surface, the nitrogen reactant including an azide or a nitrile oxide.

一些實施例中,該金屬表面包括銅。一些實施例中,該金屬表面基本上由銅組成。In some embodiments, the metal surface includes copper. In some embodiments, the metal surface consists essentially of copper.

一些實施例中,炔烴及氮反應物同時暴露至基板。一些實施例中,該暴露是透過基板對溶液的溶液相暴露而執行,該溶液包括炔烴及氮反應物二者。一些實施例中,該暴露是透過基板對氣體的氣相暴露而執行,該氣體包括炔烴及氮反應物二者。In some embodiments, the alkyne and nitrogen reactants are simultaneously exposed to the substrate. In some embodiments, the exposing is performed by exposing the solution phase of the solution through the substrate, the solution including both alkyne and nitrogen reactants. In some embodiments, the exposing is performed through a gas phase exposure of a gas through the substrate, the gas including both alkynes and nitrogen reactants.

一些實施例中,炔烴及氮反應物依序暴露至基板。一些實施例中,炔烴先暴露至基板。一些實施例中,氮反應物先暴露至基板。一些實施例中,該暴露是透過基板對溶液的溶液相暴露而執行,該溶液包括炔烴或氮反應物。一些實施例中,該暴露是透過基板對氣體的氣相暴露而執行,該氣體包括炔烴或氮反應物。一些實施例中,一個暴露是在溶液相,而另一個暴露是在氣相。一些實施例中,該基板經沖洗(氣相)或清洗(溶液相)去除前一反應物,之後再暴露至另一反應物。In some embodiments, the alkyne and nitrogen reactants are sequentially exposed to the substrate. In some embodiments, the alkyne is first exposed to the substrate. In some embodiments, the nitrogen reactant is first exposed to the substrate. In some embodiments, the exposing is performed by exposing the solution phase of the solution through the substrate, the solution including an alkyne or nitrogen reactant. In some embodiments, the exposing is performed through a gas phase exposure of a gas through the substrate, the gas including an alkyne or a nitrogen reactant. In some embodiments, one exposure is in the solution phase and the other exposure is in the gas phase. In some embodiments, the substrate is washed (gas phase) or cleaned (solution phase) to remove the previous reactant, and then exposed to another reactant.

一些實施例中,該炔烴包括兩種或更多種炔烴部分。一些實施例中,該炔烴包括兩個、或三個、或四個、或更多個炔烴部分。一些實施例中,該炔烴包括至少一種化合物,該化合物的通式為SiR4 ,其中每一R獨立地選自C1至C18烷基、芳基、或炔基基團,條件是至少一個R是炔基。In some embodiments, the alkyne includes two or more alkyne moieties. In some embodiments, the alkyne includes two, or three, or four, or more alkyne moieties. In some embodiments, the alkyne includes at least one compound, the general formula of which is SiR 4 , wherein each R is independently selected from C1 to C18 alkyl, aryl, or alkynyl groups, provided that at least one R Is alkynyl.

一些實施例中,該炔烴包括下述化合物之一或多者:其中R獨立地選自C1至C18烷基或芳基基團。In some embodiments, the alkyne includes one or more of the following compounds: Wherein R is independently selected from a C1 to C18 alkyl or aryl group.

一些實施例中,該氮反應物包括疊氮化物。一些實施例中,該氮反應物基本上由疊氮化物組成。如以此方式所用,該術語「基本上由疊氮化物組成」意味該氮反應物的反應性成分(例如,不包括惰性成分)在分子基礎上為大於或等於約95%、98%、或99%的疊氮化物。一些實施例中,該氮反應物包括腈氧化物。一些實施例中,該氮反應物基本上由腈氧化物組成。如以此方式所用,該術語「基本上由腈氧化物組成」意味該氮反應物的反應性成分(例如,不包括惰性成分)在分子基礎上為大於或等於約95%、98%、或99%的腈氧化物。一些實施例中,該氮反應物實質上不包括腈氧化物。如以此方式所用,術語「實質上不包括腈氧化物」意味,在分子基礎上該氮反應物具有小於或等於約5%、2%、或1%的腈氧化物。In some embodiments, the nitrogen reactant includes an azide. In some embodiments, the nitrogen reactant consists essentially of an azide. As used in this manner, the term "consisting essentially of azide" means that the reactive component (eg, excluding inert components) of the nitrogen reactant is greater than or equal to about 95%, 98%, or 99% azide. In some embodiments, the nitrogen reactant includes a nitrile oxide. In some embodiments, the nitrogen reactant consists essentially of a nitrile oxide. As used in this manner, the term "consisting essentially of a nitrile oxide" means that the reactive component (eg, excluding inert components) of the nitrogen reactant is greater than or equal to about 95%, 98%, or 99% nitrile oxide. In some embodiments, the nitrogen reactant does not substantially include a nitrile oxide. As used in this manner, the term "substantially excludes nitrile oxide" means that the nitrogen reactant has a nitrile oxide of less than or equal to about 5%, 2%, or 1% on a molecular basis.

一些實施例中,該疊氮化物包括兩種或更多種疊氮化物部分。一些實施例中,該疊氮化物包括兩種、或三種、或四種、或更多種疊氮化物部分。一些實施例中,該疊氮化物包括至少一種化合物,該化合物的通式為SiR4 ,其中每一R獨立地選自C1至C18烷基、芳基、或疊氮化物基團,條件是至少一個R是疊氮化物。In some embodiments, the azide includes two or more azide moieties. In some embodiments, the azide includes two, or three, or four, or more azide moieties. In some embodiments, the azide includes at least one compound having a general formula of SiR 4 , wherein each R is independently selected from C1 to C18 alkyl, aryl, or azide groups, provided that at least One R is an azide.

一些實施例中,該疊氮化物包括下述化合物之一或多者:其中R獨立地選自C1至C18烷基或芳基基團。In some embodiments, the azide includes one or more of the following compounds: Wherein R is independently selected from a C1 to C18 alkyl or aryl group.

一些實施例中,在將該基板暴露至炔烴或氮反應物之前,先清潔該基板。一些實施例中,在將該基板暴露至炔烴或氮反應物之前,僅先清潔該基板之金屬表面。一些實施例中,該基板之金屬表面或該基板是以氫電漿清潔。一些實施例中,該氫電漿為傳導耦合電漿(CCP)。一些實施例中,該氫電漿為感應耦合電漿(ICP)。一些實施例中,該氫電漿包括H2 之電漿。In some embodiments, the substrate is cleaned before the substrate is exposed to an alkyne or nitrogen reactant. In some embodiments, only the metal surface of the substrate is cleaned before the substrate is exposed to an alkyne or nitrogen reactant. In some embodiments, the metal surface of the substrate or the substrate is cleaned with a hydrogen plasma. In some embodiments, the hydrogen plasma is a conductive coupling plasma (CCP). In some embodiments, the hydrogen plasma is an inductively coupled plasma (ICP). In some embodiments, the hydrogen plasma includes a H 2 plasma.

一些實施例中,沉積阻擋層後,介電層選擇性沉積在介電表面上。一些實施例中,該介電層包括氮化矽。沉積氮化矽可透過任何適合的製程執行。適合的製程可包括將該基板暴露至鹵化矽及氨。適合的鹵化矽包括(但不限於)二氯矽烷(DCS)、三氯矽烷(TCS)、四氯矽烷(SiCl4 )、四溴矽烷(SiBr4 )、四碘矽烷(SiI4 )、及六氯乙矽烷(HCDS)。In some embodiments, the dielectric layer is selectively deposited on the dielectric surface after the barrier layer is deposited. In some embodiments, the dielectric layer includes silicon nitride. Deposition of silicon nitride can be performed by any suitable process. A suitable process may include exposing the substrate to silicon halide and ammonia. Suitable silicon halides include, but are not limited to, dichlorosilane (DCS), trichlorosilane (TCS), tetrachlorosilane (SiCl 4 ), tetrabromosilane (SiBr 4 ), tetraiodosilane (SiI 4 ), and six Chloroethylsilane (HCDS).

一些實施例中,從基板移除阻擋層。該阻擋層可透過任何適合的選擇性蝕刻製程移除。適合的選擇性蝕刻製程包括(但不限於)使用氧電漿及氟電漿。一些實施例中,當使用氧電漿移除阻擋層時,金屬氧化物層形成於該金屬表面上。一些實施例中,形成於該金屬表面上的金屬氧化物透過使用還原製程移除。適合的還原製程包括(但不限於)使用包括氫或氨的電漿及包括氫或氨的熱退火。一些實施例中,可獨立地遠端或內部生成該氧電漿、氟電漿、氫電漿、及氨電漿,且該等電漿可為傳導耦合或感應耦合。In some embodiments, the barrier layer is removed from the substrate. The barrier layer can be removed by any suitable selective etching process. Suitable selective etching processes include, but are not limited to, the use of oxygen plasma and fluorine plasma. In some embodiments, when the barrier layer is removed using an oxygen plasma, a metal oxide layer is formed on the metal surface. In some embodiments, the metal oxide formed on the metal surface is removed by using a reduction process. Suitable reduction processes include, but are not limited to, using a plasma including hydrogen or ammonia and thermal annealing including hydrogen or ammonia. In some embodiments, the oxygen plasma, fluorine plasma, hydrogen plasma, and ammonia plasma can be generated remotely or internally independently, and these plasmas can be conductively coupled or inductively coupled.

一些實施例中,在氮化矽層沉積之後,藉由將基板依序暴露至氧電漿及氫電漿移除阻擋層,該基板暴露至炔烴及氮反應物以選擇性阻擋金屬表面,而氮化矽膜選擇性沉積於介電表面上。可重複阻擋層的移除、基板的暴露、及氮化矽膜的沉積,直到形成預定厚度的氮化矽膜為止。In some embodiments, after the silicon nitride layer is deposited, the barrier layer is removed by sequentially exposing the substrate to an oxygen plasma and a hydrogen plasma, and the substrate is exposed to alkyne and nitrogen reactants to selectively block the metal surface. A silicon nitride film is selectively deposited on the dielectric surface. The removal of the barrier layer, the exposure of the substrate, and the deposition of the silicon nitride film may be repeated until a silicon nitride film of a predetermined thickness is formed.

一些實施例中,鈷表面可具有增強的表面反應性或致使呈惰性。一些實施例中,鈷可在環氧化物的存在下參與催化,而形成新的官能化表面。該新形成的表面可用於進一步的處理。In some embodiments, the cobalt surface may have enhanced surface reactivity or render it inert. In some embodiments, cobalt can participate in catalysis in the presence of an epoxide to form a new functionalized surface. This newly formed surface is available for further processing.

本案揭露內容之一或多個實施例涉及在具有金屬表面及介電表面之基板的金屬表面上選擇性沉積阻擋層的方法。該方法包括,將該基板暴露至環氧化物,以選擇性在金屬表面上形成阻擋層。一些實施例中,該金屬表面包括鈷。一些實施例中,該金屬表面基本上由鈷組成。One or more embodiments disclosed herein relate to a method for selectively depositing a barrier layer on a metal surface of a substrate having a metal surface and a dielectric surface. The method includes exposing the substrate to an epoxide to selectively form a barrier layer on a metal surface. In some embodiments, the metal surface includes cobalt. In some embodiments, the metal surface consists essentially of cobalt.

一些實施例中,該暴露是透過基板對包括環氧化物的溶液的溶液相暴露而執行。一些實施例中,該暴露是透過基板對包括環氧化物的氣體的氣相暴露而執行。In some embodiments, the exposing is performed by exposing the solution phase of the solution including the epoxide through the substrate. In some embodiments, the exposing is performed through a substrate to a gas phase exposure of a gas including an epoxide.

一些實施例中,該環氧化物包括兩種或更多種環氧化物部分。一些實施例中,該環氧化物為經取代。一些實施例中,該環氧化物包括兩種、或三種、或四種、或更多種環氧化物部分。一些實施例中,該環氧化物包括下述化合物的一或多者:其中R獨立地選自C1至C4烷基基團。In some embodiments, the epoxide includes two or more epoxide moieties. In some embodiments, the epoxide is substituted. In some embodiments, the epoxide includes two, or three, or four, or more epoxide moieties. In some embodiments, the epoxide includes one or more of the following compounds: Wherein R is independently selected from a C1 to C4 alkyl group.

一些實施例中,該環氧化物包括各別與基板表面反應的兩種或更多種環氧化物部分。一些實施例中,該環氧化物包括兩種或更多種環氧化物部分,其中僅一者與基板表面反應。In some embodiments, the epoxide includes two or more epoxide moieties that each react with a substrate surface. In some embodiments, the epoxide includes two or more epoxide moieties, only one of which reacts with a substrate surface.

一些實施例中,在將該基板暴露至環氧化物之前先清潔該基板。一些實施例中,在將該基板暴露至環氧化物之前,僅先清潔該基板的金屬表面。一些實施例中,該基板之金屬表面或該基板是以氫電漿清潔。一些實施例中,該氫電漿為傳導耦合電漿(CCP)。一些實施例中,該氫電漿為感應耦合電漿(ICP)。一些實施例中,該氫電漿包括H2 之電漿。In some embodiments, the substrate is cleaned before the substrate is exposed to an epoxide. In some embodiments, only the metal surface of the substrate is cleaned before the substrate is exposed to the epoxide. In some embodiments, the metal surface of the substrate or the substrate is cleaned with a hydrogen plasma. In some embodiments, the hydrogen plasma is a conductive coupling plasma (CCP). In some embodiments, the hydrogen plasma is an inductively coupled plasma (ICP). In some embodiments, the hydrogen plasma includes a H 2 plasma.

一些實施例中,沉積阻擋層後,介電層選擇性沉積在介電表面上。一些實施例中,該介電層包括氮化矽。沉積氮化矽可透過任何適合的製程執行。適合的製程可包括將該基板暴露至鹵化矽及氨。適合的鹵化矽包括(但不限於)二氯矽烷(DCS)、三氯矽烷(TCS)、四氯矽烷(SiCl4 )、四溴矽烷(SiBr4 )、四碘矽烷(SiI4 )、及六氯乙矽烷(HCDS)。In some embodiments, the dielectric layer is selectively deposited on the dielectric surface after the barrier layer is deposited. In some embodiments, the dielectric layer includes silicon nitride. Deposition of silicon nitride can be performed by any suitable process. A suitable process may include exposing the substrate to silicon halide and ammonia. Suitable silicon halides include, but are not limited to, dichlorosilane (DCS), trichlorosilane (TCS), tetrachlorosilane (SiCl 4 ), tetrabromosilane (SiBr 4 ), tetraiodosilane (SiI 4 ), and six Chloroethylsilane (HCDS).

一些實施例中,從基板移除阻擋層。該阻擋層可透過任何適合的選擇性蝕刻製程移除。適合的選擇性蝕刻製程包括(但不限於)使用氧電漿及氟電漿。一些實施例中,當使用氧電漿移除阻擋層時,金屬氧化物層形成於該金屬表面上。一些實施例中,形成於該金屬表面上的金屬氧化物層透過使用還原製程移除。適合的還原製程包括(但不限於)使用包括氫或氨的電漿及包括氫或氨的熱退火。一些實施例中,可獨立地遠端或內部生成該氧電漿、氟電漿、氫電漿、及氨電漿,且該等電漿可為傳導耦合或感應耦合。In some embodiments, the barrier layer is removed from the substrate. The barrier layer can be removed by any suitable selective etching process. Suitable selective etching processes include, but are not limited to, the use of oxygen plasma and fluorine plasma. In some embodiments, when the barrier layer is removed using an oxygen plasma, a metal oxide layer is formed on the metal surface. In some embodiments, the metal oxide layer formed on the metal surface is removed by using a reduction process. Suitable reduction processes include, but are not limited to, using a plasma including hydrogen or ammonia and thermal annealing including hydrogen or ammonia. In some embodiments, the oxygen plasma, fluorine plasma, hydrogen plasma, and ammonia plasma can be generated remotely or internally independently, and these plasmas can be conductively coupled or inductively coupled.

矽基鹵化物(silyl halide)前驅物通常不會化學吸附於SiO2 表面上,這是因為表面Si-OH基團在氣相ALD條件下不會與Si-Cl反應。例如,ALD SiO2 膜在一般的ALD條件下不會從SiCl4 /H2 O製程形成。然而,發明人已經發現,將鈦前驅物添加至ALD方案(SiCl4 /H2 O/TiCl4 /H2 O)可造成Tix Siy Oz 膜形成。Silyl halide precursors usually do not chemically adsorb on the surface of SiO 2 because the surface Si-OH groups do not react with Si-Cl under gas-phase ALD conditions. For example, an ALD SiO 2 film is not formed from a SiCl 4 / H 2 O process under normal ALD conditions. However, the inventors have found that adding a titanium precursor to an ALD scheme (SiCl 4 / H 2 O / TiCl 4 / H 2 O) can cause Ti x Si y O z film formation.

不受理論所限制,相信儘管Si-OH不與Si-Cl反應,但由於Ti比Si有更低的電負度所以Ti-OH與Si-Cl反應,且Ti-OH比起Si-OH對Si-Cl更具反應性。一些實施例中,此反應性差異用於阻擋TiN而不阻擋SiO2Without being limited by theory, it is believed that although Si-OH does not react with Si-Cl, Ti-OH reacts with Si-Cl because Ti has a lower electronegativity than Si, and Ti-OH is more reactive than Si-OH. Si-Cl is more reactive. In some embodiments, this difference in reactivity is used to block TiN without blocking SiO 2 .

TiN表面一旦暴露至空氣即氧化,且該表面會具有Ti-OH基團。當於空氣中脈衝RSiCl3 (SAM)至暴露的TiN及SiO2 表面時,Si-Cl鍵會與表面Ti-OH反應而非與Si-OH反應。The TiN surface oxidizes once exposed to air, and the surface will have Ti-OH groups. When RSiCl 3 (SAM) is pulsed in the air to the exposed TiN and SiO 2 surfaces, the Si-Cl bond will react with the surface Ti-OH instead of the Si-OH.

本案揭露內容的一些實施例涉及於氣相及/或溶液相由三氯矽基碳氫化合物阻擋TiN、W、Cu、或Co之方法。一些實施例中,使用多種化合物阻擋TiN、W、Cu、或Co表面,該等化合物之通式為SiX3 R,其中X是鹵素,R是C1至C18烷基、芳基、及烷基胺。Some embodiments disclosed in this case relate to a method for blocking TiN, W, Cu, or Co by a trichlorosilyl hydrocarbon in the gas phase and / or solution phase. In some embodiments, TiN, W, Cu, or Co surfaces are blocked by a variety of compounds. The general formula of these compounds is SiX 3 R, where X is halogen, and R is C1 to C18 alkyl, aryl, and alkylamine. .

本案揭露內容之一或多個實施例涉及在具有金屬表面與介電表面的基板之金屬表面上選擇性沉積阻擋層的方法。該方法包括,將基板暴露至三鹵矽基碳氫化物而選擇性在金屬表面上形成阻擋層,該三鹵矽基碳氫化物包括至少一種化合物,該化合物之通式為SiX3 R,其中X是鹵素,R是C1至C18烷基、芳基、及烷胺基。One or more embodiments disclosed herein relate to a method for selectively depositing a barrier layer on a metal surface of a substrate having a metal surface and a dielectric surface. The method includes exposing a substrate to a trihalosilyl hydrocarbon to selectively form a barrier layer on a metal surface. The trihalosilyl hydrocarbon includes at least one compound, the general formula of the compound is SiX 3 R, wherein X is halogen, and R is C1 to C18 alkyl, aryl, and alkylamino.

一些實施例中,對三鹵矽基碳氫化物的暴露是透過基板對包括三鹵矽基碳氫化物的溶液的溶液相暴露而執行。一些實施例中,對三鹵矽基碳氫化物的暴露是透過基板對包括三鹵矽基碳氫化物的氣體的氣相暴露而執行。In some embodiments, the exposure to the trihalosilyl hydrocarbon is performed through the substrate to the solution phase of the solution including the trihalosilyl hydrocarbon. In some embodiments, the exposure to the trihalosilyl hydrocarbon is performed through the substrate to the gas phase of the gas including the trihalosilyl hydrocarbon.

一些實施例中,該三鹵矽基碳氫化物包括下述化合物之一或多者:R=Cl、Br、I R1 =tBu、Me、Et、iPr、從C3至C18之碳氫化物、NMe2 、Net2 、苯基、苄基In some embodiments, the trihalosilyl hydrocarbon includes one or more of the following compounds: R = Cl, Br, IR 1 = tBu, Me, Et, iPr, hydrocarbons from C3 to C18, NMe 2 , Net 2 , phenyl, benzyl

一些實施例中,R1 是任何C1-C18烷基、芳基、烷胺基、或苄基。In some embodiments, R 1 is any C1-C18 alkyl, aryl, alkylamino, or benzyl.

一些實施例中,該三鹵矽基碳氫化物包括至少一種化合物,該化合物之通式為SiX3 R,其中R是C1至C18烷基、芳基、及烷胺基。如在此方面所用,「烷胺基」是表示有烷類取代基的胺基。換言之,烷胺基取代基具有-NR2 之通式,其中每一R獨立地為H、C1至C6烷基、或芳基。例如,-N(CH3 )2 及-N(CH2 CH3 )2In some embodiments, the trihalosilyl hydrocarbon includes at least one compound, the general formula of the compound is SiX 3 R, wherein R is a C1 to C18 alkyl group, an aryl group, and an alkylamine group. As used herein, "alkylamino" means an amine group having an alkyl substituent. In other words, the alkylamino substituent has the general formula of -NR 2 where each R is independently H, C1 to C6 alkyl, or aryl. For example, -N (CH 3 ) 2 and -N (CH 2 CH 3 ) 2 .

一些實施例中,在該基板暴露至三鹵矽基碳氫化物之前,該基板先暴露至空氣。一些實施例中,在該基板暴露至三鹵矽基碳氫化物之前,僅該基板之金屬表面暴露至空氣。範例 In some embodiments, the substrate is exposed to air before the substrate is exposed to a trihalosilyl hydrocarbon. In some embodiments, only the metal surface of the substrate is exposed to the air before the substrate is exposed to the trihalosilyl hydrocarbon. example

藉由ODTS(十八烷基三氯矽烷,octadecyltrichlorosilane)阻擋TiN表面Blocking the TiN surface by ODTS (octadecyltrichlorosilane)

ODTS溶解於甲苯中,且在室溫將試樣浸泡於溶液達一段設定時間。浸泡後,以甲苯清洗樣本,且以氮氣乾燥。藉由測量水接觸角(WCA)監視SAM形成。1秒浸泡中,SAM的形成充滿於TiN上(但不在SiO2 上)。隨著浸泡時間增加,TiN上的WCA維持固定但SiO2 上的WCA增加。ODTS was dissolved in toluene, and the sample was immersed in the solution at room temperature for a set period of time. After soaking, the samples were washed with toluene and dried with nitrogen. SAM formation was monitored by measuring water contact angle (WCA). During the 1 second immersion, the formation of SAM was filled on TiN (but not on SiO 2 ). As the immersion time increased, the WCA on TiN remained constant but the WCA on SiO 2 increased.

低溫ALD SiON沉積在SAM處理過的基板上,以評估選擇性介電質沉積。基板維持在200°C,且執行六氯乙矽烷(HCDSO)及NH3 的交替脈衝。SiON的膜厚度是透過橢圓儀測量。150個循環後觀察到5倍選擇性。隨著循環數目增加而選擇性降低。Low-temperature ALD SiON was deposited on a SAM-treated substrate to evaluate selective dielectric deposition. The substrate was maintained at 200 ° C, and alternating pulses of hexachloroethanesilane (HCDSO) and NH 3 were performed. The film thickness of SiON is measured by an ellipsometry. 5-fold selectivity was observed after 150 cycles. As the number of cycles increases, the selectivity decreases.

藉由OTS(辛基丙矽烷)阻擋TiN表面Blocking the TiN surface by OTS (octyl propyl silane)

OTS溶解於甲苯中,且在室溫將試樣浸泡於溶液達一段設定時間。浸泡後,以甲苯清洗樣本,且以氮氣乾燥。藉由測量水接觸角(WCA)監視SAM形成。WCA改變顯示與ODTS實驗類似的趨勢。OTS is dissolved in toluene, and the sample is immersed in the solution at room temperature for a set period of time. After soaking, the samples were washed with toluene and dried with nitrogen. SAM formation was monitored by measuring water contact angle (WCA). WCA changes show a similar trend to ODTS experiments.

各種基板表面上沉積的SAM的熱穩定性是透過WCA測量評估。OTS SAM是在溶液相與氣相中沉積。有SAM沉積的試樣在從200°C至350°C的溫度退火達1小時,且WCA是在退火前及退火後測量。發現到TiN及W上的SAM在多達250°C是穩定的,但在超過該溫度則劣化。相反地,到大於350°C於SiO2 上的SAM是穩定的。比起溶液相,氣相SAM沉積給予更多彈性以達成TiN與SiO2 之間更高的WCA改變。The thermal stability of SAM deposited on the surface of various substrates was evaluated by WCA measurement. OTS SAM is deposited in a solution phase and a gas phase. The samples with SAM deposition were annealed at a temperature from 200 ° C to 350 ° C for 1 hour, and the WCA was measured before and after annealing. It was found that the SAMs on TiN and W were stable up to 250 ° C, but deteriorated beyond this temperature. In contrast, SAM on SiO 2 is stable to more than 350 ° C. Compared with the solution phase, vapor phase SAM deposition gives more flexibility to achieve higher WCA changes between TiN and SiO 2 .

透過在SiON沉積中所用的前驅物(HCDSO及NH3 )中於200°C退火SAM沉積的試樣達一小時,而評估SAM的化學穩定性。儘管SAM在ALD前驅物中於200°C穩定,但仍有一些劣化。The chemical stability of SAM was evaluated by annealing an SAM-deposited sample at 200 ° C for one hour in the precursors (HCDSO and NH 3 ) used in SiON deposition. Although SAM is stable at 200 ° C in the ALD precursor, there is still some degradation.

該方法的一或多個實施例使用原子層沉積(ALD)製程提供阻擋層。在時域(time-domain)ALD製程中,對每一反應性化合物的暴露是透過時間延遲而分開,以容許每一化合物於基板表面上附著及/或反應,然後從處理腔室沖洗該等化合物。透過在後續暴露之間將該等反應性氣體從處理腔室沖洗移除,而防止該等反應性氣體混合。One or more embodiments of the method use an atomic layer deposition (ALD) process to provide a barrier layer. In a time-domain ALD process, the exposure to each reactive compound is separated by a time delay to allow each compound to attach and / or react on the substrate surface, and then rinse these from the processing chamber. Compound. The reactive gases are prevented from being mixed by flushing the reactive gases from the processing chamber between subsequent exposures.

在空間ALD製程中,反應性氣體流進處理腔室內的不同處理區域。該不同的處理區域與相鄰的處理區域分開,使得反應性氣體不會混合。該基板可在該等處理區域之間移動,以分別將該基板暴露至處理氣體。基板移動期間,基板表面的不同部分(或基板表面上的材料)暴露至該兩種或更多種反應性化合物,使得基板上任何給定的點實質上不同時暴露至超過一種反應性化合物。如熟悉本技術之人士所理解,有可能因為氣體在處理腔室內擴散而基板的一小部分可同時暴露至多種反應性氣體,而該同時的暴露並非刻意,除非另有指定。During the spatial ALD process, reactive gases flow into different processing areas within the processing chamber. The different processing regions are separated from adjacent processing regions so that the reactive gases do not mix. The substrate can be moved between the processing regions to separately expose the substrate to a processing gas. During substrate movement, different portions of the substrate surface (or materials on the substrate surface) are exposed to the two or more reactive compounds such that any given point on the substrate is substantially not simultaneously exposed to more than one reactive compound. As understood by those skilled in the art, it is possible that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to gas diffusion within the processing chamber, and the simultaneous exposure is not intentional unless otherwise specified.

時域ALD製程的一個態樣中,第一反應性氣體(即,第一前驅物或化合物A)脈衝輸送至反應區,之後跟隨著第一時間延遲。接著,第二前驅物或化合物B脈衝輸送至反應區中,之後跟隨著第二延遲。在每一時間延遲期間,諸如氬氣之類的沖洗氣體引入處理腔室中,以沖洗反應區,或若不然則從反應區移除任何殘餘的反應性化合物或反應產物或副產物。作為替代方案,該沖洗氣體可在整個沉積製程連續流動,使得在反應性化合物的脈衝之間的時間延遲期間僅有沖洗氣體流動。反應性化合物交替脈衝輸送,直到基板表面上形成預定的膜或膜厚度為止。在任一情境中,脈衝輸送化合物A、沖洗氣體、化合物B及沖洗氣體的ALD製程為一循環。循環可開始於化合物A或化合物B,繼續該循環之各別順序,直到達成有預定厚度的膜為止。In one aspect of the time-domain ALD process, the first reactive gas (ie, the first precursor or compound A) is pulsed to the reaction zone, followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone, followed by a second delay. During each time delay, a flushing gas, such as argon, is introduced into the processing chamber to flush the reaction zone, or otherwise remove any remaining reactive compounds or reaction products or by-products from the reaction zone. Alternatively, the flushing gas may flow continuously throughout the deposition process so that only the flushing gas flows during the time delay between pulses of the reactive compound. The reactive compounds are alternately pulsed until a predetermined film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsed compound A, flushing gas, compound B, and flushing gas is one cycle. The cycle may begin with compound A or compound B, and the respective sequences of the cycle are continued until a film with a predetermined thickness is reached.

空間ALD製程的實施例中,將第一反應性氣體與第二反應性氣體同時遞送至反應區,但由惰性氣簾及/或真空簾所分開。該氣簾可為進入處理腔室的惰性氣流與離開該處理腔室的真空流之流動的組合。基板相對於氣體遞送設備移動,使得基板上任何給定的點暴露至第一反應性氣體與第二反應性氣體。In the embodiment of the spatial ALD process, the first reactive gas and the second reactive gas are simultaneously delivered to the reaction zone, but are separated by an inert gas curtain and / or a vacuum curtain. The air curtain may be a combination of an inert gas flow entering the processing chamber and a vacuum flow leaving the processing chamber. The substrate is moved relative to the gas delivery device such that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.

如本文所用的「脈衝」或「配劑」是指來源氣體量間歇式或不連續地引入處理腔室的量。每一脈衝內的特定化合物的量可隨時間變化,取決於脈衝持續時間。特定的處理氣體可包括單一化合物或兩種或更多種化合物之混合物/組合。"Pulse" or "dispensing" as used herein refers to the amount of source gas introduced intermittently or discontinuously into the processing chamber. The amount of a particular compound within each pulse can vary over time, depending on the duration of the pulse. A particular process gas may include a single compound or a mixture / combination of two or more compounds.

每一脈衝/配劑的持續時間是可變的,且可經調整以適應例如處理腔室之體積容量以及與處理腔室耦接的真空系統的容量。此外,處理氣體的配劑時間可根據下述因子而變化:處理氣體的流速、處理氣體的溫度、控制閥的類型、運用的處理腔室的類型、以及處理氣體之成分吸附至基板表面上的能力。配劑時間也可根據形成的層的類型及形成的元件的幾何而變化。配劑時間應該夠長,以提供足以吸附/化學吸附至實質上基板整體表面上且在上面形成處理氣體成分層的化合物體積。The duration of each pulse / dispensing is variable and can be adjusted to, for example, the volume capacity of the processing chamber and the capacity of the vacuum system coupled to the processing chamber. In addition, the dosing time of the processing gas may vary according to the following factors: the flow rate of the processing gas, the temperature of the processing gas, the type of the control valve, the type of the processing chamber used, and the component of the processing gas adsorbed on the surface of the substrate ability. The dosing time may also vary depending on the type of layer formed and the geometry of the element formed. The formulation time should be long enough to provide a volume of compound sufficient to adsorb / chemically adsorb onto the substantially entire surface of the substrate and form a processing gas component layer thereon.

雖然上文所述之處理方法的實施例僅包括兩個脈衝的反應性氣體,但會了解這僅為示範,且可使用額外脈衝的處理氣體。該等脈衝可用其整體或部分重複。可重複該循環以形成具預定厚度的阻擋層。一些實施例中,重複該循環以形成具下述厚度的阻擋層:在約5 Å至約40 Å的範圍內、或在約10 Å至約30 Å的範圍內、或在約15 Å至約20 Å的範圍內。Although the embodiment of the processing method described above includes only two pulses of reactive gas, it will be understood that this is merely exemplary and that additional pulses of processing gas may be used. These pulses may be repeated in whole or in part. This cycle can be repeated to form a barrier layer having a predetermined thickness. In some embodiments, the cycle is repeated to form a barrier layer having a thickness in a range of about 5 Å to about 40 Å, or in a range of about 10 Å to about 30 Å, or in a range of about 15 Å to about Within 20 Å.

一旦已達到預定厚度,該方法可視情況任選地包括進一步處理(例如介電膜的主體沉積)。一些實施例中,該進一步處理可為ALD製程。例如,一些實施例中,可執行ALD製程,以將氮化矽層主體沉積至目標厚度。Once the predetermined thickness has been reached, the method optionally includes further processing, such as bulk deposition of a dielectric film, as appropriate. In some embodiments, the further processing may be an ALD process. For example, in some embodiments, an ALD process may be performed to deposit a body of silicon nitride layer to a target thickness.

儘管在本文已參考特定實施例描述本案揭露內容,但應瞭解這些實施例僅為說明本案揭露內容的原理與應用。對於熟悉此技術者而言,很明顯可對本案揭露內容之方法與設備製作各種修飾形態與變化形態,但不可背離本案揭露內容之精神與範疇。因此,希望本案揭露內容包括落在所附的申請專利範圍之範疇內的修飾形態及變化形態以及他們的等效例。Although the disclosure in this case has been described herein with reference to specific embodiments, it should be understood that these embodiments are merely illustrative of the principles and applications of the disclosure in this case. For those familiar with this technology, it is obvious that various modifications and changes can be made to the methods and equipment disclosed in this case, but the spirit and scope of the contents disclosed in this case cannot be deviated. Therefore, it is hoped that the contents disclosed in this case include modified forms and changed forms falling within the scope of the attached patent application and their equivalents.

no

透過參考實施例(其中一些實施例示於附圖說明),可得到上文簡要總結的本案揭露內容的更特定的描述,而得以詳細瞭解本案揭露內容的上文所記載之特徵。然而,應注意,附圖僅說明本案揭露內容之典型實施例,因此不應將該等附圖視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。By referring to the embodiments (some of which are shown in the description of the drawings), a more specific description of the disclosure of the present case, which is briefly summarized above, can be obtained, and the above-mentioned features of the disclosure of the present case can be understood in detail. It should be noted, however, that the drawings only illustrate typical embodiments of the disclosure in this case, so these drawings should not be considered as limiting the scope of the disclosure in this case, as the disclosure in this case allows other equivalent embodiments.

圖1顯示根據本案揭露內容之一或多個實施例的關於疊氮化物及炔烴阻擋劑所用的可能數目或反應性基團的一系列通常結構;Figure 1 shows a series of general structures regarding the possible number or reactive groups used for azide and alkyne blockers according to one or more embodiments disclosed in this case;

圖2顯示根據本案揭露內容之一或多個實施例的在金屬表面及環化反應存在下在金屬表面上產生聚合網絡的單體的反應示意圖;FIG. 2 is a schematic diagram of a reaction of a monomer that generates a polymerization network on a metal surface in the presence of a metal surface and a cyclization reaction according to one or more embodiments disclosed in this case; FIG.

圖3顯示根據本案揭露內容之一或多個實施例的實現在金屬表面上選擇性沉積聚合物阻擋層及在介電表面上選擇性沉積介電膜的大致製程流程;FIG. 3 shows a general process flow for selectively depositing a polymer barrier layer on a metal surface and selectively depositing a dielectric film on a dielectric surface according to one or more embodiments disclosed in the present case;

圖4顯示根據本案揭露內容之一或多個實施例的透過使用基於氧之遠端電漿及基於氫之遠端電漿移除聚合物阻擋層的製程範例;及4 shows an example of a process for removing a polymer barrier layer by using an oxygen-based remote plasma and a hydrogen-based remote plasma according to one or more embodiments disclosed in this case; and

圖5顯示根據本案揭露內容之一或多個實施例的用於選擇性沉積製程的製程流程。FIG. 5 shows a process flow for a selective deposition process according to one or more embodiments of the disclosure.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in order of hosting institution, date, and number) None

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Information on foreign deposits (please note in order of deposit country, institution, date, and number) None

Claims (20)

一種選擇性沉積阻擋層之方法,該方法包括:將具有一金屬表面與一介電表面的基板暴露至一矽烷,而在該金屬表面上選擇性形成一阻擋層,該矽烷包括至少一種化合物,該化合物之通式為SiH3 R,其中R是選自C4至C20的烷基、全氟化烷基、烯基、或炔基基團。A method for selectively depositing a barrier layer, the method comprising: exposing a substrate having a metal surface and a dielectric surface to a silane, and selectively forming a barrier layer on the metal surface, the silane including at least one compound, The general formula of the compound is SiH 3 R, where R is an alkyl, perfluorinated alkyl, alkenyl, or alkynyl group selected from C4 to C20. 如請求項1所述之方法,其中該金屬表面包括鈷、鎢、或氮化鈦。The method of claim 1, wherein the metal surface comprises cobalt, tungsten, or titanium nitride. 如請求項1所述之方法,其中該矽烷包括十二烷基矽烷(C12 H25 SiH3 )。The method of claim 1, wherein the silane comprises dodecylsilane (C 12 H 25 SiH 3 ). 如請求項1所述之方法,進一步包括:在將該基板暴露至該矽烷之前,以一氫電漿清潔該金屬表面。The method of claim 1, further comprising: cleaning the metal surface with a hydrogen plasma before exposing the substrate to the silane. 如請求項1所述之方法,進一步包括:在該介電表面上選擇性沉積一氮化矽層。The method according to claim 1, further comprising: selectively depositing a silicon nitride layer on the dielectric surface. 如請求項5所述之方法,進一步包括:重複將該基板暴露至一矽烷及沉積一氮化矽層,直到該氮化矽層已達到預定厚度為止。The method according to claim 5, further comprising: repeatedly exposing the substrate to a silane and depositing a silicon nitride layer until the silicon nitride layer has reached a predetermined thickness. 一種選擇性沉積阻擋層的方法,該方法包括:將具有一金屬表面與一介電表面的一基板暴露至一炔烴(alkyne)及一氮反應物,而在該金屬表面上選擇性形成一阻擋層,該氮反應物包括一疊氮化物或一腈氧化物。A method for selectively depositing a barrier layer includes: exposing a substrate having a metal surface and a dielectric surface to an alkyne and a nitrogen reactant, and selectively forming a metal surface on the metal surface. A barrier layer, the nitrogen reactant comprising an azide or a nitrile oxide. 如請求項7所述之方法,其中該金屬表面包括銅。The method of claim 7, wherein the metal surface comprises copper. 如請求項7所述之方法,進一步包括:在將該基板暴露至該炔烴或氮反應物之前,以一氫電漿清潔該金屬表面。The method according to claim 7, further comprising: cleaning the metal surface with a hydrogen plasma before exposing the substrate to the alkyne or nitrogen reactant. 如請求項7所述之方法,其中該炔烴包括兩種或更多種炔烴部分(alkyne moiety)。The method of claim 7, wherein the alkyne comprises two or more alkyne moieties. 如請求項7所述之方法,其中該炔烴包括至少一種化合物,該化合物之通式為SiR4 ,其中每一R獨立地選自C1至C18烷基、芳基、或炔基基團,條件是至少一個R是炔基。The method of claim 7, wherein the alkyne comprises at least one compound, the general formula of which is SiR 4 , wherein each R is independently selected from C1 to C18 alkyl, aryl, or alkynyl groups, Provided that at least one R is alkynyl. 如請求項7所述之方法,其中該疊氮化物包括兩種或更多種疊氮化物部分。The method of claim 7, wherein the azide includes two or more azide moieties. 如請求項7所述之方法,其中該疊氮化物包括至少一種化合物,該化合物之通式為SiR4 ,其中每一R獨立地選自C1至C18烷基、芳基、或疊氮化物基團,條件是至少一個R是疊氮化物。The method of claim 7, wherein the azide includes at least one compound having a general formula of SiR 4 , wherein each R is independently selected from C1 to C18 alkyl, aryl, or azide groups Group, provided that at least one R is an azide. 如請求項7所述之方法,其中該氮反應物實質上不包括腈氧化物。The method of claim 7, wherein the nitrogen reactant does not substantially include a nitrile oxide. 如請求項7所述之方法,進一步包括:在該介電表面上選擇性沉積一氮化矽層。The method according to claim 7, further comprising: selectively depositing a silicon nitride layer on the dielectric surface. 如請求項15所述之方法,進一步包括:重複移除該阻擋層及將該基板暴露至該炔烴及氮反應物,而選擇性阻擋該金屬表面,以及在該介電表面上選擇性沉積一氮化矽膜,而形成具有預定厚度的一氮化矽膜,其中藉由將該基板依序暴露至一氧電漿及一氫電漿而將該阻擋層從該基板移除。The method of claim 15, further comprising: repeatedly removing the barrier layer and exposing the substrate to the alkyne and nitrogen reactants, selectively blocking the metal surface, and selectively depositing on the dielectric surface A silicon nitride film is formed to form a silicon nitride film with a predetermined thickness, wherein the barrier layer is removed from the substrate by sequentially exposing the substrate to an oxygen plasma and a hydrogen plasma. 一種選擇性沉積阻擋層的方法,該方法包括:將具有一金屬表面與一介電表面的一基板暴露至一環氧化物,而在該金屬表面上選擇性形成一阻擋層。A method for selectively depositing a barrier layer includes: exposing a substrate having a metal surface and a dielectric surface to an epoxide, and selectively forming a barrier layer on the metal surface. 如請求項17所述之方法,其中該金屬表面包括鈷。The method of claim 17, wherein the metal surface includes cobalt. 如請求項17所述之方法,其中該環氧化物為經取代。The method of claim 17, wherein the epoxide is substituted. 如請求項17所述之方法,其中該環氧化物含有超過一個環氧化物部分。The method of claim 17, wherein the epoxide contains more than one epoxide moiety.
TW107124472A 2017-07-18 2018-07-16 Methods for depositing blocking layers on metal material surfaces TWI722301B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762533890P 2017-07-18 2017-07-18
US62/533,890 2017-07-18

Publications (2)

Publication Number Publication Date
TW201908508A true TW201908508A (en) 2019-03-01
TWI722301B TWI722301B (en) 2021-03-21

Family

ID=65015493

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110105223A TWI762194B (en) 2017-07-18 2018-07-16 Methods for depositing blocking layers on metal material surfaces
TW107124472A TWI722301B (en) 2017-07-18 2018-07-16 Methods for depositing blocking layers on metal material surfaces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110105223A TWI762194B (en) 2017-07-18 2018-07-16 Methods for depositing blocking layers on metal material surfaces

Country Status (6)

Country Link
US (2) US11417515B2 (en)
JP (2) JP7159285B2 (en)
KR (2) KR102434954B1 (en)
CN (2) CN117418211A (en)
TW (2) TWI762194B (en)
WO (1) WO2019018379A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791985B (en) * 2019-05-05 2023-02-11 美商應用材料股份有限公司 Selective deposition on non-metallic surfaces

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762194B (en) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 Methods for depositing blocking layers on metal material surfaces
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR102141547B1 (en) * 2019-09-25 2020-09-14 솔브레인 주식회사 Method for forming thin film
KR102156663B1 (en) * 2019-09-25 2020-09-21 솔브레인 주식회사 Method for forming thin film
JP7227122B2 (en) 2019-12-27 2023-02-21 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
JP7257949B2 (en) * 2019-12-27 2023-04-14 東京エレクトロン株式会社 Film forming method and film forming apparatus
WO2021145077A1 (en) * 2020-01-15 2021-07-22 東京エレクトロン株式会社 Film forming method, film forming device, and method for manufacturing semiconductor device
JP7072012B2 (en) * 2020-02-27 2022-05-19 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing device, and program
JP7254044B2 (en) * 2020-03-25 2023-04-07 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
KR102406174B1 (en) * 2020-09-08 2022-06-08 주식회사 이지티엠 Selectivity material and method of selective formation of thin film using selectivity material
JP2022050198A (en) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 Deposition method and deposition device
CN115803472A (en) * 2020-09-25 2023-03-14 朗姆研究公司 Selective deposition of metal oxides using silanes as inhibitors
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
US11569088B2 (en) * 2020-10-27 2023-01-31 Applied Materials, Inc. Area-selective atomic layer deposition of passivation layers
US20220127717A1 (en) * 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
TW202248443A (en) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 Surface treatment for selective deposition
US11702733B2 (en) * 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
KR20230126792A (en) * 2022-02-24 2023-08-31 주성엔지니어링(주) Method for Processing Substrate
JP2023143463A (en) * 2022-03-25 2023-10-06 株式会社Screenホールディングス Substrate processing method and substrate processing device

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6331329B1 (en) 1999-05-17 2001-12-18 University Of Massachusetts Surface modification using hydridosilanes to prepare monolayers
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6641899B1 (en) * 2002-11-05 2003-11-04 International Business Machines Corporation Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
JP2005210076A (en) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc Deposition method of silicon nitride film, and manufacturing method of semiconductor device using the deposition method
CN101014730A (en) * 2004-06-15 2007-08-08 阿维扎技术公司 System and method for forming multi-component dielectric films
WO2006020565A2 (en) * 2004-08-09 2006-02-23 Blue29, Llc Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
NO20045674D0 (en) * 2004-12-28 2004-12-28 Uni I Oslo Thin films prepared with gas phase deposition technique
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7718548B2 (en) * 2006-12-06 2010-05-18 Applied Materials, Inc. Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
US7994640B1 (en) * 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7759262B2 (en) * 2008-06-30 2010-07-20 Intel Corporation Selective formation of dielectric etch stop layers
US8524858B2 (en) * 2010-01-08 2013-09-03 The Hong Kong University Of Science And Technology Preparation of hyperbranched poly(triazole)s by in situ click polymerization and adhesive containing the same
WO2011085150A1 (en) * 2010-01-11 2011-07-14 Isp Investments Inc. Reactive monomer for coating and/or reactive coating
KR101380835B1 (en) * 2011-07-22 2014-04-04 성균관대학교산학협력단 Atomic layer etching method of graphene
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
KR101633039B1 (en) * 2015-03-10 2016-06-23 한국과학기술원 Copper interconnect device including surface functionalized graphene capping layer and fabrication method thereof
TWI694167B (en) 2015-05-01 2020-05-21 美商應用材料股份有限公司 Selective deposition of thin film dielectrics using surface blocking chemistry
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
WO2017048911A1 (en) * 2015-09-19 2017-03-23 Applied Materials, Inc. Surface-selective atomic layer deposition using hydrosilylation passivation
US10121919B2 (en) * 2017-01-06 2018-11-06 Nanoclear Technologies Inc. Control of surface properties by deposition of particle monolayers
TWI762194B (en) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 Methods for depositing blocking layers on metal material surfaces

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791985B (en) * 2019-05-05 2023-02-11 美商應用材料股份有限公司 Selective deposition on non-metallic surfaces

Also Published As

Publication number Publication date
JP2020527866A (en) 2020-09-10
US20220384176A1 (en) 2022-12-01
KR20210130853A (en) 2021-11-01
KR102320089B1 (en) 2021-10-29
KR20200019271A (en) 2020-02-21
US11417515B2 (en) 2022-08-16
WO2019018379A1 (en) 2019-01-24
TWI722301B (en) 2021-03-21
JP7159285B2 (en) 2022-10-24
JP7423724B2 (en) 2024-01-29
JP2022191379A (en) 2022-12-27
KR102434954B1 (en) 2022-08-19
TWI762194B (en) 2022-04-21
TW202136559A (en) 2021-10-01
CN110892508A (en) 2020-03-17
CN117418211A (en) 2024-01-19
US20200234943A1 (en) 2020-07-23
CN110892508B (en) 2023-09-15

Similar Documents

Publication Publication Date Title
TWI762194B (en) Methods for depositing blocking layers on metal material surfaces
JP7135187B2 (en) Formation of SiOCN thin film
JP7300032B2 (en) Deposition of oxide thin films
US11114294B2 (en) Structure including SiOC layer and method of forming same
JP6813983B2 (en) Selective deposition of materials containing aluminum and nitrogen
JP6923355B2 (en) Formation of SiOC thin film
TWI426547B (en) Treatment processes for a batch ald reactor
CN111356785A (en) Method for ALD of metal oxides on metal surfaces
TW201843734A (en) Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
TW202041705A (en) Atomic layer deposition of oxides and nitrides
JP2023507326A (en) Silicon Carbonitride Gap Fill with Adjustable Carbon Content
CN112567071A (en) Method for increasing the deposition rate of an ALD process
TW202016342A (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
US11370669B2 (en) Amorphous silicon doped yttrium oxide films and methods of formation
US20240183035A1 (en) Area selective deposition through surface silylation
TW202418368A (en) Selective deposition for sub 20 nm pitch euv patterning