TW201802574A - Method of simultaneous lithography and etch correction flow - Google Patents

Method of simultaneous lithography and etch correction flow

Info

Publication number
TW201802574A
TW201802574A TW105120900A TW105120900A TW201802574A TW 201802574 A TW201802574 A TW 201802574A TW 105120900 A TW105120900 A TW 105120900A TW 105120900 A TW105120900 A TW 105120900A TW 201802574 A TW201802574 A TW 201802574A
Authority
TW
Taiwan
Prior art keywords
lithography
mask
etched
model
process model
Prior art date
Application number
TW105120900A
Other languages
Chinese (zh)
Other versions
TWI631415B (en
Inventor
韓更
史考特M 曼菲爾德
玉 多明尼克 阮
唐納德J 山姆思
瑞亞 維斯瓦納坦
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Priority to TW105120900A priority Critical patent/TWI631415B/en
Publication of TW201802574A publication Critical patent/TW201802574A/en
Application granted granted Critical
Publication of TWI631415B publication Critical patent/TWI631415B/en

Links

Abstract

A method of mask correction where two independent process models are analyzed and co-optimized simultaneously. In the method, a first lithographic process model simulation is run on a computer system that results in generating a first mask size in a first process window. Simultaneously, a second hard mask open etch process model simulation is run resulting in generating a second mask size in a second process window. Each first lithographic process model and second hard mask open etch process model simulations are analyzed in a single iterative loop and a common process window (PW) optimized between lithography and etch is obtained such that said first mask size and second mask size are centered between said common PW. Further, an etch model form is generated that accounts for differences in an etched pattern due to variation in three-dimensional photoresist profile, the model form including both optical and density terms that directly relate to an optical image.

Description

同時微影及蝕刻校正流程之方法 Simultaneous lithography and etching correction process

本揭露係關於半導體製造,尤其係關於用於進行同時微影及蝕刻製程校正流程之系統及方法。 The present disclosure relates to semiconductor fabrication, and more particularly to systems and methods for performing simultaneous lithography and etch process calibration processes.

在一實施例中,「下線(tape out)」流程即實施資料處理方法與模擬以建置單一遮罩及/或校正半導體層設計微影誤差的流程,在一項具體實施例中,本方法能夠移動(例如,推移)個別遮罩多邊形以顧及例如,光學鄰近校正(OPC)步驟中或期間任何預測之覆蓋誤差。OPC係藉由進行模擬、微影製程建模、以及例如以用於最佳化遮罩尺寸(例如,變更遮罩尺寸)之校正建模為基礎,而用以校正微影非線性度。進行OPC製程因此產出遮罩「形狀」,而(此形狀之)遮罩資料係用於形成(印刷)微影製程中用以形成半導體特徵之遮罩及印刷遮罩。 In one embodiment, the "tape out" process is a process of implementing a data processing method and simulation to construct a single mask and/or correcting the lithographic error of the semiconductor layer design. In a specific embodiment, the method The individual mask polygons can be moved (eg, shifted) to account for, for example, any predicted overlay error during or during the optical proximity correction (OPC) step. OPC is used to correct lithographic nonlinearity by performing simulation, lithography process modeling, and, for example, calibration modeling for optimizing mask size (eg, changing mask size). The OPC process is performed to produce a mask "shape", and the mask data is used to form (print) a mask and a printed mask for forming semiconductor features in the lithography process.

關鍵尺寸在超越22nm之技術節點持續縮小,各製程步驟之製程窗口從而縮減。結果是,在使用OPC設計遮罩進行微影印刷製程之後,看到若在例如反應性離子蝕刻(RIE)之微影之後使用蝕刻製程,則所產生的蝕刻步 驟會在多種關鍵設計組態中顯著失效。 The critical dimensions continue to shrink at technology nodes that exceed 22nm, and the process window for each process step is reduced. As a result, after the lithographic printing process is performed using the OPC design mask, it is seen that if an etching process is used after lithography such as reactive ion etching (RIE), the resulting etching step The crash will significantly fail in a variety of critical design configurations.

因此,OPC提供用於建置遮罩之遮罩形狀,而校正微影之使用將會印刷特徵。然而,由於RIE蝕刻階段處理的關係,基材上的印刷特徵正逐漸失效。 Thus, OPC provides the shape of the mask used to create the mask, and the use of the corrected lithography will print the feature. However, due to the RIE etching stage processing, the printed features on the substrate are gradually failing.

在理想的情況下,有一組沒有發現到故障的製程條件。這組條件為製程窗口(PW),亦即可印刷出無故障之晶圓的焦點及劑量變異範圍。所建立的遮罩必須對這些製程變異具有容限。舉例而言,正如已知,焦點曝照矩陣(focus exposure matrix)主控晶圓製程,為了顧及製造程序變數,有加入一些變異。進行微影時,微影中的主要變數是焦點及曝照劑量(亦即,焦點如何妥適保持、以及光量(曝照劑量))。焦點及曝照與劑量變異是以矩陣形式來產生,而晶圓係透過焦點及劑量變異之圖案來曝照以產生此矩陣。此等圖案係於所有製程及曝照條件下測得。 In the ideal case, there is a set of process conditions where no fault is found. This set of conditions is the process window (PW), which also prints the focus of the trouble-free wafer and the range of dose variation. The masks created must have tolerance for these process variations. For example, as is known, the focus exposure matrix masters the wafer process, with some variations added to account for manufacturing process variables. When lithography is performed, the main variables in the lithography are the focus and the exposure dose (i.e., how well the focus is maintained, and the amount of light (exposure dose)). Focus and exposure and dose variation are produced in a matrix, and the wafer is exposed through a pattern of focus and dose variations to produce the matrix. These patterns are measured under all process and exposure conditions.

進行圖案化時,於「製程窗口」(PW)裡,微影與蝕刻的邊界一直彼此衝突,並且導致最佳化,各該邊界將會獨立使另一邊界產生硬失效(hard fails),這種情況隨著技術邁向22nm及更先進節點尤其顯著。 When patterning, in the "Process Window" (PW), the boundaries of lithography and etching always collide with each other and lead to optimization, each of which will independently cause another boundary to hard fail. This is especially true as technology moves toward 22nm and more advanced nodes.

舉一特定實施例來說,一種過度判定故障類型為在硬遮罩開口(HMO)(HMO蝕刻製程)步驟進行蝕刻時的阻劑頂損(resist top loss)誘發型失效。 In one particular embodiment, an over-determined failure type is a resist top loss induced failure when etching in a hard mask opening (HMO) (HMO etch process) step.

第1圖展示一例示性光阻材料頂損現象、以及透過蝕刻之失效的圖案轉移。俯視圖展示一對遮罩邊緣12A、12B,其界定用於在晶圓上沉積阻劑層18的間隙15, 如圖所示。如第1圖所示,圖解13展示正規化阻劑厚度,其為對阻劑層施光(曝照)的函數。如圖所示,低劑量曝照無材料損耗。如隨附之圖解13所示,當曝照之後,在理想的情況下,光阻層不會損耗任何材料,直到隨著理想曝光量施加此一夠大的劑量(例如:關鍵劑量)為止。然而,由於這種阻劑特性的關係,當散射的光子撞擊未曝露區時,厚度/體積從原始位準11開始損耗,亦即阻劑頂損(阻劑高度位準降低)。這導致圖案夾止,並且無法透過蝕刻來轉移。不同的設計組態導致不同位準的隱蔽(阻劑)強度19,而阻劑高度產生程度舉例如圖所示,可能改變相同關鍵尺寸(CD)的阻劑特徵18A、18B或18C。也就是說,只有阻劑的高度受到影響,CD並未受到影響,亦即,底端尺寸不受影響(原因在於焦點及/或劑量之變異(製程變異)、遮罩設計形狀等)。 Figure 1 shows an exemplary photo-resist material top-loss phenomenon and pattern transfer through failure of the etch. The top view shows a pair of mask edges 12A, 12B that define a gap 15 for depositing a resist layer 18 on the wafer, as the picture shows. As shown in Figure 1, Scheme 13 shows the normalized resist thickness as a function of the light (exposure) of the resist layer. As shown, low dose exposure has no material loss. As shown in the accompanying diagram 13, after exposure, in the ideal case, the photoresist layer does not lose any material until such a large dose (e.g., critical dose) is applied with the desired amount of exposure. However, due to this resistive property, when scattered photons hit the unexposed areas, the thickness/volume begins to deplete from the original level 11, i.e., the resist top loss (resistance level is lowered). This causes the pattern to be pinched and cannot be transferred by etching. Different design configurations result in different levels of concealment (resistance) strength 19, while the degree of resist height generation is illustrated as shown, possibly changing the same critical dimension (CD) resist feature 18A, 18B or 18C. That is, only the height of the resist is affected, and the CD is not affected, that is, the bottom size is not affected (due to focus and/or dose variation (process variation), mask design shape, etc.).

在所運用的微影建模中,對底端關鍵尺寸(CD)施作SEM測量以測量阻劑底端的寬度及/或空間。因為只有阻劑高度變更,微影模型並不知道阻劑高度變更。 In the lithographic modeling used, SEM measurements were taken on the bottom critical dimension (CD) to measure the width and/or space of the bottom end of the resist. Because only the resist height is changed, the lithography model does not know the resist height change.

由於阻劑特性(第1圖的圖解13)的關係,當散射的光子撞擊未曝露區時,曝照阻劑損耗其厚度/體積。這導致圖案夾止,並且無法透過蝕刻來轉移,造成蝕刻因HMO失效而跟著失效。 Due to the relationship of the resist properties (scheme 13 of Figure 1), when the scattered photons hit the unexposed areas, the exposed resist loses its thickness/volume. This causes the pattern to be pinched and cannot be transferred by etching, causing the etch to fail due to HMO failure.

第2圖展示產生之晶圓影像10,其繪示電子束檢驗(EBI)工具結果,在晶圓20的多個遮罩曝照/晶粒30上展示多個後RIE蝕刻製程失效25(在一組特定之集合與 劑量製程條件下)。特別的是,第2圖展示例示性22nm處理過程,其在「Mx」金屬階有誤差。在這裡,後HMO處的EBI檢驗展示無PW。微影建模及ORC(光學規則檢查)兩者都不可預測因FBI導致的晶圓失效25。此ORC為經套用在晶圓期間尋找問題點(潛在故障)之遮罩及檢查(測量)的模擬。沒有預測到所示晶圓失效25後蝕刻,亦即,正常微影模型不可預測此類型的故障機制。 2 shows a resulting wafer image 10 showing electron beam inspection (EBI) tool results showing multiple post RIE etch process failures 25 on multiple mask exposures/dies 30 of wafer 20 (in a specific set of Under the dosage process conditions). In particular, Figure 2 shows an exemplary 22 nm process with errors in the "Mx" metal steps. Here, the EBI test at the post HMO shows no PW. Both lithography modeling and ORC (optical rule inspection) are unpredictable for wafer failure due to FBI25. This ORC is a simulation of the mask and inspection (measurement) of finding a problem point (potential failure) during application to the wafer. The etch after the wafer failure 25 is not predicted, that is, the normal lithography model cannot predict this type of failure mechanism.

情況為,習用的OPC校正流程無法擷取校正失效機制,並且無法將遮罩尺寸驅使到介於微影與蝕刻之間的最佳化公用製程中心。 In the case, the conventional OPC correction process does not capture the correction failure mechanism and does not drive the mask size to an optimized common process center between lithography and etching.

再者,缺乏良好的蝕刻模型會導致圖案化失效,舉例來說,光阻底端CD可能在規格內,但如所述,後蝕刻會失效。此等失效與光阻頂損相關,但頂損或3D阻劑外形難以直接測量並且準確建模。此外,任何所運用的蝕刻模型傾向於不準確,並且已導致不可製造的微影條件。舉例而言,蝕刻模型缺乏「製程窗口」模擬能力:1)原因在於假設蝕刻偏差僅取決於圖案密度條件;以及2)與微影圖案逼真度或3D阻劑外形沒有關係。 Furthermore, the lack of a good etch model can result in patterning failure. For example, the bottom CD of the photoresist may be within specifications, but as noted, post-etching may fail. These failures are related to the top damage of the photoresist, but the top loss or 3D resist profile is difficult to measure directly and accurately model. Moreover, any applied etch model tends to be inaccurate and has resulted in unmanufactured lithography conditions. For example, the etch model lacks the "process window" simulation capability: 1) the reason is that the etch bias is only dependent on the pattern density condition; and 2) it has nothing to do with the lithography pattern fidelity or the 3D resist profile.

此外,儘管3D阻劑模擬昂貴且不適用於全晶片分析,但此一阻劑模擬仍可用於建置更實體且更準確的蝕刻模型。 Furthermore, while 3D resist simulations are expensive and not suitable for full wafer analysis, this resist simulation can still be used to build more physically and accurately etched models.

此外,圖案化製程在建模時,通常對光學光微影製程及蝕刻製程建置不同模型。此光微影模型涉及描述曝照工具中之光形成的光學模型、以及描述光阻之曝照 與顯影的光阻模型。這些模型通常係校準成使用CD-SEM在後顯影光阻中所取得之單組測量結果。CD-SEM測量通常是在光阻底端施作,而測量人為誤差(artifact)係透過應用於底端CD測量之SEM對實體偏差校正(SEM-to-physical bias correction)來移除。此蝕刻製程通常係建模為介於後顯影與後蝕刻測量之間的可變偏差。發現此可變偏差為與後顯影圖案之圖案密度有關之參數的函數。光微影的品質若適當,則可使用微影設計目標之圖案密度作為圖案化光阻的代理,導致模擬效率提升。 In addition, in the modeling process, different models are usually established for the optical photolithography process and the etching process. This photolithography model involves describing an optical model of light formation in an exposure tool and exposing the exposure of the photoresist A photoresist model with development. These models are typically calibrated to a single set of measurements taken in a post-developed photoresist using a CD-SEM. CD-SEM measurements are typically applied at the bottom end of the photoresist, while measurement artifacts are removed by SEM-to-physical bias correction applied to the bottom CD measurement. This etch process is typically modeled as a variable deviation between post-development and post-etch measurements. This variable deviation was found to be a function of the parameters related to the pattern density of the post-developing pattern. If the quality of the photolithography is appropriate, the pattern density of the lithographic design target can be used as a proxy for the patterned photoresist, resulting in an increase in simulation efficiency.

然而,此方法未完全顧及3維光阻外形、CD-SEM測量功能與光阻圖案透過蝕刻製程轉移成膜件堆疊之間的複雜交互作用。由於蝕刻轉移可能取決於光阻的3維外形,另外還取決於蝕刻模型中諸如局部圖案密度等傳統考量的其它因素,所以建立實體蝕刻模型時考慮全阻劑外形是合理的。 However, this method does not fully take into account the complex interaction between the 3-dimensional photoresist profile, the CD-SEM measurement function, and the photoresist pattern transfer through the etching process to the film stack. Since the etch transfer may depend on the 3-dimensional profile of the photoresist, and depending on other factors of conventional considerations such as local pattern density in the etch model, it is reasonable to consider the full resist profile when creating a solid etch model.

然而,3D阻劑外形模擬昂貴又耗時,因此,不適用於全晶片蝕刻建模。 However, 3D resist profile simulations are expensive and time consuming, and therefore are not suitable for full wafer etch modeling.

由於習用的OPC校正流程無法擷取校正失效機制,也無法將遮罩尺寸驅進到介於微影與蝕刻之間的最佳化公用製程中心,因而提供一種藉由將該遮罩尺寸驅使到居中於微影/蝕刻兩製程之間用於將OPC校正流程中之微影及蝕刻(例如:硬遮罩開口(HMO))製程共最佳化(co-optimizing)的系統及方法。 Since the conventional OPC correction process cannot capture the correction failure mechanism and cannot drive the mask size into the optimized common process center between lithography and etching, it provides a way to drive the mask size to A system and method for co-optimizing lithography and etching (eg, hard mask opening (HMO)) processes in an OPC calibration process centered between lithography/etching processes.

因此,提供一種在微影與蝕刻製程之間最佳化公用製程窗口的方法。舉例而言,穩健的微影模型加上HMO模型會防範缺陷,並且改善微影製程控制/計量。 Accordingly, a method of optimizing a common process window between lithography and etching processes is provided. For example, a robust lithography model coupled with an HMO model will prevent defects and improve lithography process control/metering.

再者,提供一種用以快速近似3D阻劑外形特徵促成經轉移之蝕刻圖案的方法。 Furthermore, a method for rapidly approximating the 3D resist profile characteristics to facilitate the transferred etch pattern is provided.

因此,根據第一態樣,提供有一種蝕刻遮罩校正之系統及方法。本方法包含:在電腦系統上執行第一微影製程模型模擬,導致在第一製程窗口中產生遮罩之線路或空間特徵;在該電腦系統上執行第二硬遮罩開口蝕刻製程模型模擬,導致在第二製程窗口中產生該遮罩之線路或空間特徵;判斷執行各該第一製程模型模擬與第二製程模型模擬所產生之線路特徵或空間特徵是否在各別目標規格內;以及修改反覆迴圈程序之單一迭代裡之遮罩設計,使得線路特徵規格或空間特徵規格在各個各別目標規格內,並且致使獲得微影與蝕刻之間最佳化之公用製程窗口(PW),其中該微影與蝕刻遮罩製程模型係於反覆迴圈處理過程中同時共最佳化。 Thus, in accordance with a first aspect, a system and method for etching mask correction is provided. The method includes: performing a first lithography process model simulation on a computer system, resulting in a line or space feature of the mask in the first process window; performing a second hard mask opening etching process model simulation on the computer system, Resulting in generating a line or space feature of the mask in the second process window; determining whether performing line features or spatial features generated by each of the first process model simulation and the second process model simulation are within respective target specifications; and modifying The mask design in a single iteration of the loopback procedure causes the line feature specification or spatial feature specification to be within each individual target specification and results in a common process window (PW) optimized between lithography and etching, wherein The lithography and etch mask process models are simultaneously optimized during the repeated loop processing.

在進一步態樣中,提供有一種用於硬遮罩開口蝕刻製程之校準系統及方法。該校準方法包含:獲得因三維光阻外形變異而顧及已蝕刻圖案之蝕刻模型形式,該模型形式包括與光學影像直接有關之光學與密度兩參數,該校準方法包含:執行光學成像模型以基於遮罩設計規格產生該等光學與密度參數;以及在反覆處理迴圈中,在每一個第一微影製程模型模擬中輸入該等光學影像參數,並 且使用第二硬遮罩開口蝕刻製程模型模擬中之該等光學影像參數作為3D阻劑外形之代理,其中產生的是已蝕刻圖案之有效率且準確的模擬。 In a further aspect, a calibration system and method for a hard mask open etch process is provided. The calibration method includes: obtaining an etched model form that takes into account an etched pattern due to a three-dimensional photoresist shape variation, the model form including two optical and density parameters directly related to the optical image, the calibration method comprising: performing an optical imaging model to mask The cover design specification produces the optical and density parameters; and in the reverse processing loop, the optical image parameters are entered in each of the first lithography process model simulations, and The optical image parameters in the second hard mask opening etch process model simulation are used as a proxy for the 3D resist profile, which produces an efficient and accurate simulation of the etched pattern.

10‧‧‧晶圓影像 10‧‧‧ wafer imagery

11‧‧‧原始位準 11‧‧‧ original level

12A‧‧‧遮罩邊緣 12A‧‧‧mask edge

12B‧‧‧遮罩邊緣 12B‧‧‧mask edge

13‧‧‧圖解 13‧‧‧Illustration

15‧‧‧間隙 15‧‧‧ gap

18‧‧‧阻劑層 18‧‧‧Resist layer

18A‧‧‧阻劑特徵 18A‧‧‧Resistance characteristics

18B‧‧‧阻劑特徵 18B‧‧‧Resistance characteristics

18C‧‧‧阻劑特徵 18C‧‧‧Resistance characteristics

19‧‧‧隱蔽(阻劑)強度 19‧‧‧Concealed (resistance) strength

20‧‧‧晶圓 20‧‧‧ wafer

25‧‧‧晶圓失效 25‧‧‧ wafer failure

30‧‧‧遮罩曝照/晶粒 30‧‧‧mask exposure/grain

50‧‧‧製程窗口範圍 50‧‧‧Process window range

53‧‧‧微影CD變異 53‧‧‧ lithography CD variation

55‧‧‧HMO/蝕刻處理窗口 55‧‧‧HMO/etching processing window

56‧‧‧後微影關鍵尺寸CD變異 56‧‧‧After lithography key size CD variation

57‧‧‧HMO蝕刻CD變異 57‧‧‧HMO etching CD variation

60‧‧‧阻劑特徵印刷 60‧‧‧Resistive feature printing

63‧‧‧CD特徵 63‧‧‧CD features

64‧‧‧CD特徵 64‧‧‧CD features

65‧‧‧阻劑特徵印刷 65‧‧‧Resist feature printing

66‧‧‧CD特徵 66‧‧‧CD features

70‧‧‧概念性疊加 70‧‧‧Conceptual superposition

80‧‧‧製程窗口範圍 80‧‧‧Process window range

83‧‧‧跨越製程窗口之HMO CD變異 83‧‧‧ HMO CD variation across process windows

85‧‧‧微影處理窗口 85‧‧‧ lithography processing window

86‧‧‧中心線 86‧‧‧ center line

87‧‧‧微影CD變異 87‧‧‧ lithography CD variation

88‧‧‧目標 88‧‧‧ Target

90‧‧‧阻劑特徵印刷 90‧‧‧Resistive feature printing

93‧‧‧高度準確的已印刷特徵 93‧‧‧Highly accurate printed features

96‧‧‧線路特徵遭夾止處 96‧‧‧The line features are pinched

100‧‧‧OPC處理流程模擬 100‧‧‧OPC process simulation

110‧‧‧製程窗口 110‧‧‧Process window

200‧‧‧流程 200‧‧‧ Process

204‧‧‧步驟 204‧‧‧Steps

210‧‧‧步驟 210‧‧‧Steps

250‧‧‧步驟 250‧‧‧ steps

275‧‧‧步驟 275‧‧‧Steps

300‧‧‧步驟 300‧‧‧Steps

302‧‧‧步驟 302‧‧‧Steps

305‧‧‧步驟 305‧‧‧Steps

310‧‧‧步驟 310‧‧‧Steps

325‧‧‧OPC遮罩製作方法 325‧‧‧OPC mask making method

327~353‧‧‧步驟 327~353‧‧‧Steps

350‧‧‧晶圓 350‧‧‧ Wafer

360‧‧‧例示性表格 360‧‧‧Executive Form

362‧‧‧第一行 362‧‧‧ first line

364‧‧‧第二行 364‧‧‧ second line

366‧‧‧第三行 366‧‧‧ third line

368‧‧‧第四行 368‧‧‧ fourth line

370‧‧‧第五行 370‧‧‧5rd line

375‧‧‧晶圓 375‧‧‧ wafer

377‧‧‧例示性關係圖 377‧‧‧Executive relationship diagram

380‧‧‧例示性關係圖 380‧‧‧Executive relationship diagram

383‧‧‧例示性關係圖 383‧‧‧Executive relationship diagram

390‧‧‧例示性關係圖 390‧‧‧Executive relationship diagram

392‧‧‧運算的差值 392‧‧‧ difference in calculation

394‧‧‧HMO偏差 394‧‧‧HMO deviation

400‧‧‧運算系統 400‧‧‧ computing system

411‧‧‧中央處理單元 411‧‧‧Central Processing Unit

412‧‧‧系統匯流排 412‧‧‧System Bus

414‧‧‧隨機存取記憶體 414‧‧‧ random access memory

416‧‧‧唯讀記憶體 416‧‧‧Read-only memory

418‧‧‧輸出入(I/O)配接器 418‧‧‧Input/Output (I/O) Adapter

421‧‧‧碟片單元 421‧‧‧ disc unit

422‧‧‧使用者介面配接器 422‧‧‧User Interface Adapter

424‧‧‧鍵盤 424‧‧‧ keyboard

426‧‧‧滑鼠 426‧‧‧ Mouse

428‧‧‧揚聲器 428‧‧‧Speaker

432‧‧‧麥克風 432‧‧‧ microphone

434‧‧‧通訊配接器 434‧‧‧Communication adapter

436‧‧‧顯示配接器 436‧‧‧Display adapter

438‧‧‧顯示裝置 438‧‧‧ display device

439‧‧‧印表機 439‧‧‧Printer

440‧‧‧磁帶機 440‧‧‧ tape drive

本揭露之特徵及優點經由依循其說明性具體實施例之詳細描述將變為顯而易知,此等說明性具體實施例須搭配附圖來閱讀。由於說明內容是為了清楚描述以協助所屬技術領域中具有通常知識者搭配詳細說明來理解本揭露,圖式的各個特徵因而未按照比例。在圖式中:第1圖展示一例示性光阻材料頂損現象、以及導致透過蝕刻之圖案轉移失效;第2圖展示產生之晶圓影像,其繪示電子束檢驗(EBI)工具結果,在晶圓的多個遮罩曝照上展示多個後RIE蝕刻製程失效(在一組特定之焦點與劑量製程條件下);第3A圖展示用於給定之劑量及遮罩定位錨的慣例,其中微影製程窗口光學鄰近校正(PWOPC)製程係用於最佳化遮罩尺寸以放大微影PW,但不用知道或覺察任何潛在的HMO蝕刻偏差問題;第3B圖展示使用根據第3A圖之最佳化微影PWOPC製程窗口所設計光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷;第3C圖展示給定第3A圖之劑量與遮罩定位錨及微影製程窗口OPC(PWOPC)製程而產生的HMO/蝕刻 處理窗口;第3D圖展示使用根據第3A圖之最佳化PWOPC製程窗口以及第3C圖之產生的HMO/蝕刻製程窗口所設計光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷;第3E圖繪示第3A圖之已設計微影製程窗口(PWOPC)及條件與例如例示性PWOPC微影窗口內印刷之其產生之CD特徵的概念性疊加,與HMO/蝕刻製程無關;第3F圖繪示第3C圖之已設計微影製程窗口(PWOPC)及條件與例如進行HMO/蝕刻製程後之例示性PWOPC微影窗口期間的條件下印刷之其產生之CD特徵的概念性疊加;第4A圖展示用於給定之劑量及遮罩定位錨的慣例,其中HMO(硬遮罩開口)/蝕刻製程窗口HMOPWOPC製程係用於最佳化遮罩尺寸以放大HMO PW,然而,不用知道或覺查任何潛在的微影處理問題;第4B圖展示使用根據最佳化HMO PWOPC製程所設計光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷係用於最佳化使用根據第4A圖之最佳化PWOPC製程窗口所設計光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷;第4C圖展示給定第4A圖之劑量與遮罩定位錨及HMO OPC製程窗口(PWOPC)製程而產生的微影處理窗口,舉例而言,係用於最佳化印刷金屬線用之HMO蝕刻處理窗口;第4D圖展示使用根據第4A圖之最佳化HMO PWOPC製程窗口所設計光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷;第5圖繪示一種在一項具體實施例中,藉由驅使遮罩尺寸居中於微影/蝕刻兩製程之間,用以在OPC校正流程中共最佳化微影與蝕刻(HMO)兩者的方法。第6圖繪示在OPC中用以共最佳化微影與蝕刻(HMO)兩者之方法流程;第7圖展示因第6圖之最佳化迴圈處理所產生之具有HMO蝕刻校正之晶圓之生產;第8A圖展示HMO蝕刻偏差(Y軸)與已顯影阻劑關鍵尺寸(X軸)之例示性關係圖;第8B圖展示HMO蝕刻偏差(Y軸)與間距之例示性關係圖;第8C圖展示HMO蝕刻偏差(Y軸)與工作週期之例示性關係圖;第9圖展示繪示各個實體晶片位置之HMO偏差蝕刻與阻劑斜率相關性的例示性關係圖;第10圖展示在電腦系統上執行用於在給定指定之微影及HMO關鍵尺寸下,使用微影及HMO模型兩者共最佳化遮罩尺寸的方法;第11圖展示在一項具體實施例中,指定如何在判定產生之所模擬輸出PW微影/HMO輪廓不在其目標規格內時修改遮罩尺寸或設計的例示性表格;以及第12圖繪示一項具體實施例中用於進行諸 如第6及10圖所述方法之例示性硬體組態。 The features and advantages of the present invention will become more apparent from the detailed description of the exemplary embodiments. The features of the drawings are not to scale unless the description of the disclosure In the drawings: Figure 1 shows an example of the top-loss phenomenon of the photoresist material and the pattern transfer failure that results in the transmission of the etch; Figure 2 shows the resulting wafer image, which shows the results of the electron beam inspection (EBI) tool. Multiple post RIE etch process failures (under a specific set of focus and dose process conditions) are exhibited on multiple mask exposures of the wafer; Figure 3A shows the convention for positioning anchors for a given dose and mask, The lithography process window optical proximity correction (PWOPC) process is used to optimize the mask size to amplify the lithography PW, but does not need to know or perceive any potential HMO etch bias problems; Figure 3B shows the use of Figure 3A. Optimize the resistive feature printing of the key feature size of the photoresist mask designed by the lithography PWOPC process window; Figure 3C shows the dose and mask positioning anchor and lithography process window OPC (PWOPC) given in Figure 3A ) HMO / etching generated by the process Processing window; FIG. 3D shows resistive feature printing using the optimized feature size of the photoresist mask designed for the HMO/etch process window generated according to the optimized PWOPC process window of FIG. 3A and FIG. 3C; 3E illustrates a conceptual superposition of the designed lithography process window (PWOPC) and conditions of FIG. 3A and the CD features produced by, for example, printing in an exemplary PWOPC lithography window, independent of the HMO/etch process; A conceptual superposition of the designed lithography process window (PWOPC) and conditions and the CD features produced by the printing under the conditions of an exemplary PWOPC lithography window after the HMO/etch process, for example, is shown; The figure shows the convention for a given dose and mask positioning anchor, where the HMO (hard mask opening) / etch process window HMOPWOPC process is used to optimize the mask size to amplify the HMO PW, however, without knowing or perceiving Any potential lithography processing problem; Figure 4B shows the use of resistive features for the generation of key feature sizes for photoresist masks designed according to the optimized HMO PWOPC process for optimal use according to Figure 4A Jiahua PWOPC The resist feature printing of the key feature size of the photoresist mask designed in the process window; Figure 4C shows the lithography produced by the dose and mask positioning anchor and the HMO OPC process window (PWOPC) process given in Figure 4A. Processing window, for example, is used to optimize the HMO etch processing window for printed metal lines; Figure 4D shows the use of optimized HMO according to Figure 4A The resist feature printing of the key feature size of the photoresist mask designed by the PWOPC process window; Figure 5 illustrates, in one embodiment, by displacing the mask size in the lithography/etching process. A method for optimizing both lithography and etching (HMO) in the OPC correction process. Figure 6 shows the flow of the method for co-optimizing both lithography and etching (HMO) in OPC; Figure 7 shows the HMO etch correction resulting from the optimized loop processing of Figure 6. Wafer production; Figure 8A shows an exemplary relationship between HMO etch bias (Y-axis) and developed resist critical dimension (X-axis); Figure 8B shows an exemplary relationship between HMO etch bias (Y-axis) and pitch Figure 8C shows an exemplary relationship between the HMO etch bias (Y-axis) and the duty cycle; Figure 9 shows an exemplary relationship between the HMO deviation etch and the resist slope of each physical wafer position; The figure shows a method for performing a total optimization of the mask size using both lithography and HMO models for a given specified lithography and HMO critical dimensions on a computer system; Figure 11 shows a particular embodiment , an exemplary table specifying how to modify the mask size or design when the resulting simulated output PW lithography/HMO profile is not within its target specification; and FIG. 12 depicts An exemplary hardware configuration of the method as described in Figures 6 and 10.

本揭露現將參照以下本申請案隨附之論述及圖式予以更加詳述。本申請案之圖式是為了說明性目的而提供,下文有較為詳細的說明。 The disclosure will now be described in more detail with reference to the following description and drawings accompanying this application. The drawings of the present application are provided for illustrative purposes and are described in greater detail below.

第3A圖展示用於給定之劑量及遮罩定位錨的慣例,其中光微影(「微影」)製程窗口OPC(PWOPC)製程50係用於最佳化遮罩尺寸以放大微影PW,但不用知道或覺察HMO製程中的任何潛在問題。在這裡,虛線53表示舉例而言,透過給定金屬空間目標之聚焦及劑量的微影CD變異。如第3A圖所示,一個目標是要確保可印刷關鍵尺寸特徵的最大微影製程窗口,舉例來說,但不用擔心HMO(硬遮罩開口)製程窗口裡正在發生的事情。在一項具體實施例中,接近製程窗口範圍50之中心線56的處理條件會導致符合CD要求之更準確的特徵。 Figure 3A shows a convention for positioning anchors for a given dose and mask, in which a photolithography ("lithography") process window OPC (PWOPC) process 50 is used to optimize the mask size to magnify the lithography PW, But you don't need to know or be aware of any potential problems in the HMO process. Here, dashed line 53 represents, for example, the lithography CD variation of the focus and dose through a given metal space target. As shown in Figure 3A, one goal is to ensure that the largest lithography process window for critical dimension features can be printed, for example, without worrying about what is happening in the HMO (hard mask opening) process window. In one embodiment, processing conditions near the centerline 56 of the process window range 50 result in more accurate features that meet the CD requirements.

第3B圖展示使用根據第3A圖之最佳化微影PWOPC製程窗口50所設計之光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷60。如圖所示,在這項所示實施例中,PWOPC製程窗口50裡的製程變異導致已印刷的微影特徵60,其包括具有所示最大化微影空間CD可印刷性之高度準確已印刷且相隔的特徵63。 Figure 3B shows resist feature printing 60 using the resulting feature size of the photoresist mask designed in accordance with the optimized lithography PWOPC process window 50 of Figure 3A. As shown, in the illustrated embodiment, process variations in the PWOPC process window 50 result in a printed lithography feature 60 that includes highly accurate printed with maximized lithography CD printability as shown. And spaced apart features 63.

第3E圖繪示第3A圖之已設計的微影製程窗口(PWOPC)50及條件53與例如例示性PWOPC微影窗口50內印刷之其產生之CD特徵60的概念性疊加70,與HMO/ 蝕刻製程無關。 FIG. 3E illustrates a conceptual overlay 70 of the designed lithography process window (PWOPC) 50 and condition 53 of FIG. 3A and the resulting CD feature 60 printed in, for example, the exemplary PWOPC lithography window 50, with HMO/ The etching process is irrelevant.

第3C圖展示給定第3A圖之劑量與遮罩定位錨及微影製程窗口OPC(PWOPC)製程50而產生的HMO/蝕刻處理窗口55,舉例而言,用來最佳化印刷金屬線用之微影處理窗口。在這裡,嘗試放大微影PW時,導致非最佳化HMO蝕刻窗口條件。也就是說,虛線57表示跨越製程窗口條件之給定目標之產生的HMO蝕刻CD變異,在此期間,要進行微影製程以獲得關鍵特徵微影製程窗口之已印刷遮罩。然而,因為受到微影PWOPC製程50驅動,目標係印刷於最大微影PW,但相同的目標58未於其HMO製程窗口之中心被圖案化。事實上,它被推往第3C圖之HMO製程窗口55之邊緣處或附近,導致此圖案在HMO步驟殘存的機會非常小。 Figure 3C shows an HMO/etch processing window 55 produced for a given dose and mask positioning anchor and lithography process window OPC (PWOPC) process 50 of Figure 3A, for example, for optimizing printed metal lines The lithography processing window. Here, an attempt to magnify the lithography PW results in a non-optimized HMO etch window condition. That is, dashed line 57 represents the HMO etched CD variation across a given target of the process window condition during which a lithography process is performed to obtain a printed mask of the key feature lithography process window. However, because driven by the lithography PWOPC process 50, the target is printed on the largest lithography PW, but the same target 58 is not patterned at the center of its HMO process window. In fact, it is pushed to or near the edge of the HMO process window 55 of Figure 3C, resulting in a very small chance of this pattern remaining in the HMO step.

第3D圖展示使用根據第3A圖之最佳化PWOPC製程窗口50所設計之遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷65、以及用以在HMO步驟導致關鍵特徵尺寸之特徵印刷65之第3C圖之產生的HMO/蝕刻製程窗口55。然而,如第3D圖所繪示之這項實施例中所示,HMO/蝕刻用之PWOPC製程窗口55裡之製程變異在64處的已印刷及已蝕刻特徵與(尤其是)線路特徵合併之66處的硬失效故障印刷之間導致更緊密的邊界,但是所印刷的微影特徵63更準確,在接近第3C圖之製程窗口範圍55之線路58的處理條件下,製程窗口之邊緣附近所示的CD特徵最大。在這裡,RIE蝕刻製程已在對應於線路58的條件下, 遭推往其理想處理窗口幾乎外側的位置。 Figure 3D shows the resist feature print 65 produced using the key feature sizes of the mask designed in accordance with the optimized PWOPC process window 50 of Figure 3A, and the feature print 65 to cause key feature sizes in the HMO step. The HMO/etch process window 55 produced by Figure 3C. However, as shown in this embodiment of FIG. 3D, the process variation in the PMOOPC process window 55 for HMO/etching combines the printed and etched features at 64 with (especially) line features. The hard failure fault printing at 66 results in a tighter boundary, but the printed lithography feature 63 is more accurate, near the edge of the process window under the processing conditions of line 58 near the process window range 55 of Figure 3C. The CD features shown are the largest. Here, the RIE etching process is already under the condition corresponding to the line 58, Pushed to the position just outside its ideal processing window.

第3F圖繪示第3C圖之已設計的HMO製程窗口(PWOPC)55及條件57與例如進行HMO/蝕刻製程後之例示性PWOPC HMO窗口55期間的條件下印刷之其產生之CD特徵63、64、66的概念性疊加75。 FIG. 3F is a diagram showing the CD features 63 of the designed HMO process window (PWOPC) 55 and condition 57 of FIG. 3C and the conditions of the exemplary PWOPC HMO window 55 after performing the HMO/etch process, for example. The conceptual superposition of 64, 66 is 75.

第4A圖展示用於給定之劑量及遮罩定位錨的慣例,其中HMO(硬遮罩開口)/蝕刻製程窗口HMO PWOPC製程80係用於最佳化遮罩尺寸以放大HMO PW,然而,不用知道或覺查任何潛在微影處理問題。在這裡,虛線83表示跨越製程窗口之HMO CD變異,其中舉例而言,將會針對金屬空間印刷各種程度的遮罩關鍵特徵尺寸。如第4A圖所示,一個目標在於確保可在最大製程窗口中蝕刻關鍵尺寸特徵(硬遮罩開口)。在一項具體實施例中,接近製程窗口範圍80之中心線86的處理條件會導致符合CD要求之更準確的已蝕刻特徵。 Figure 4A shows a convention for positioning anchors for a given dose and mask, where the HMO (hard mask opening) / etch process window HMO PWOPC process 80 is used to optimize the mask size to magnify the HMO PW, however, Know or detect any potential lithography issues. Here, dashed line 83 represents the HMO CD variation across the process window, where, for example, various levels of mask key feature sizes will be printed for the metal space. As shown in Figure 4A, one goal is to ensure that critical dimension features (hard mask openings) can be etched in the maximum process window. In one embodiment, processing conditions near the centerline 86 of the process window range 80 result in more accurate etched features that meet the CD requirements.

第4B圖展示使用根據最佳化HMO PWOPC製程80所設計之光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷90係用於最佳化使用根據第4A圖之最佳化PWOPC製程窗口80所設計之光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷90。如圖所示,在所繪示的這項實施例中,PWOPC製程窗口80裡的製程變異導致微影已印刷及已蝕刻特徵90,其包括具有所示最大化CD製程窗口之高度準確的已印刷特徵93。 Figure 4B shows a resist feature print 90 using the generation of key feature sizes of the photoresist mask designed according to the optimized HMO PWOPC process 80 for optimizing the use of the optimized PWOPC process window according to Figure 4A. The resist feature print 90 produced by the key feature size of the 80-designed photoresist mask. As shown, in the illustrated embodiment, process variations in the PWOPC process window 80 result in lithographically printed and etched features 90, including highly accurate having the maximized CD process window shown. Print feature 93.

第4C圖展示給定第4A圖之劑量與遮罩定位 錨及HMO OPC製程窗口(PWOPC)製程80而產生的微影處理窗口85,舉例而言,係用於最佳化印刷金屬空間用之HMO蝕刻處理窗口。在這裡,獨自嘗試最佳化HMO蝕刻窗口條件時,導致非最佳化微影PW。也就是說,虛線87表示跨越製程窗口條件給定目標之產生的微影CD變異,在此期間,要進行HMO蝕刻製程以獲得關鍵特徵HMO CD製程窗口之已印刷遮罩。然而,因為受到HMO PWOPC製程80驅動,目標88係於最大HMO PW被圖案化,但相同的目標未印刷於其微影製程窗口之中心。事實上,它被推往第4C圖之微影製程窗口85之邊緣處或附近,導致此圖案無法在微影步驟印刷。 Figure 4C shows the dose and mask positioning given in Figure 4A The lithography processing window 85 produced by the anchor and HMO OPC Process Window (PWOPC) process 80, for example, is an HMO etch process window for optimizing the printed metal space. Here, when attempting to optimize the HMO etch window conditions alone, it leads to a non-optimized lithography PW. That is, dashed line 87 represents the lithographic CD variation produced by a given target across the process window condition during which an HMO etch process is performed to obtain a printed mask of the key feature HMO CD process window. However, because of the HMO PWOPC process 80 drive, the target 88 is patterned at the maximum HMO PW, but the same target is not printed at the center of its lithography process window. In fact, it is pushed to or near the edge of the lithography process window 85 of Figure 4C, causing the pattern to not be printed in the lithography step.

第4D圖展示使用根據第4A圖之最佳化HMO PWOPC製程窗口80所設計的光阻遮罩之關鍵特徵尺寸之產生的阻劑特徵印刷95、以及用以在後微影時導致關鍵特徵尺寸之阻劑特徵印刷95之第4C圖之產生的,微影製程窗口85。然而,如第4D圖所繪示之這項實施例中所示,微影用之HMO PWOPC製程窗口85裡之製程變異在線路特徵遭夾止之96處導致硬失效故障,但是所印刷的微影特徵93更準確,在接近第4C圖之製程窗口範圍85之線路88的處理條件下,製程窗口之邊緣附近所示的CD特徵最大。在這裡,RIE蝕刻製程已居中於製程窗口裡;然而,微影製程已在對應於線路88的條件下,遭推往理想微影處理窗口幾乎外側的位置。 Figure 4D shows the resist feature print 95 produced using the key feature sizes of the photoresist mask designed in accordance with the optimized HMO PWOPC process window 80 of Figure 4A, and to cause key feature sizes in the post-lithography The lithography process window 85 is produced by the fourth feature of the resist feature printing 95. However, as shown in this embodiment of FIG. 4D, the process variation in the HMO PWOPC process window 85 for lithography causes a hard failure failure at 96 where the line features are pinched, but the printed micro The shadow feature 93 is more accurate, with the CD feature shown near the edge of the process window being the largest under the processing conditions of line 88 near the process window range 85 of Figure 4C. Here, the RIE etch process is already centered in the process window; however, the lithography process has been pushed to the position just outside the ideal lithography processing window under conditions corresponding to line 88.

第5圖概念性繪示一種藉由驅使遮罩尺寸居 中於微影與蝕刻兩製程之間,用以在OPC校正流程中共最佳化微影與蝕刻(HMO)兩者的方法。在第5圖中,OPC處理流程模擬100達到微影與蝕刻之間最佳化的公用製程窗口(PW)110。在第5圖之方法100中,用以達到對應於如第4A圖所提之針對HMO PWOPC條件而最佳化PW之最佳化所產生的蝕刻/HMO PWOPC製程窗口80的模擬處理係於相同的處理最佳化迴圈中,與用以達到對應於如第4C圖所提之針對HMO PWOPC條件而最佳化PW之最佳化HMO PWOPC微影製程窗口85的模擬處理組合,用來為提供微影/HMO共最佳化而產生共最佳化微影/HMO公用窗口110。如圖所示,共最佳化微影/HMO公用窗口110提供經最佳化包括後HMO蝕刻CD變異86之後微影關鍵尺寸CD變異56的居中範圍。在這裡,遮罩尺寸遭驅往用以容納微影與HMO/蝕刻兩製程之最佳位置。可對全晶片實施這些模擬。 Figure 5 conceptually illustrates a mode by which the size of the mask is driven Between the lithography and etching processes, a method for optimizing both lithography and etching (HMO) in the OPC correction process. In Figure 5, the OPC process flow simulation 100 achieves a common process window (PW) 110 optimized between lithography and etching. In the method 100 of FIG. 5, the analog processing for achieving the etch/HMO PWOPC process window 80 corresponding to the optimization of the PW optimized for the HMO PWOPC condition as set forth in FIG. 4A is the same. The processing optimization optimization loop is combined with the analog processing for achieving the optimized HMO PWOPC lithography process window 85 corresponding to the HMO PWOPC condition for optimizing the PW as proposed in FIG. 4C. A lithography/HMO co-optimization is provided to produce a co-optimized lithography/HMO common window 110. As shown, the co-optimized lithography/HMO common window 110 provides a centered range of lithographic critical dimension CD variations 56 after optimization including post HMO etched CD variations 86. Here, the mask size is driven to the best position for lithography and HMO/etch two processes. These simulations can be performed on a full wafer.

第6圖繪示用以在OPC中共最佳化微影與蝕刻兩者(例如:硬遮罩開口或HMO)的流程200。儘管本文中的方法係描述成與硬遮罩開口蝕刻製程有關,但應了解的是,本文中的方法適用於遭蝕刻的任何類型材料,例如:氮化物蝕刻、氧化物蝕刻製程等。在第一設計步驟204中,產生有使用所屬技術領域中已知技術的電路設計。設計可分成子設計區域或次晶片(chiplet)設計,舉例而言,用以避免一次性處理整個分劃板場(reticle field)。此流程於210持續以進行下一個虛設填充,其為一種形貌填充,於 此加入形狀以提供圖案均勻的布局或避免形貌問題。此虛設填充步驟可針對各次晶片以局部化的基礎(亦即次晶片接著次晶片)或針對全晶片來實施。舉例來說,這些顧及形貌校正的設計係以局部化(即次晶片接著次晶片)或全晶片為基礎,於典型的重定(retargeting)步驟210中進一步進行處理。接著的這數個步驟實施微影/HMO共最佳化技術300以獲得微影與HMO蝕刻兩者的最佳化製程窗口。在這裡,於302,基於模型之次解析輔助特徵步驟係先根據搭配305之PWOPC微影用最佳化之已知技術來進行,產生第5圖所示的輸出輪廓56,然而,現進行的是,與最佳化PWHMO蝕刻310結合進行以產生第5圖所示的輸出輪廓86。輸出250為基於處理迴圈300而針對遮罩所運算之最佳特徵尺寸,並且經受進一步的光學規則檢查程序275。在處理迴圈300中,於各迭代裡,亦即採同時方式,進行微影PWOPC與HMO PWOPC兩最佳化製程,藉由驅使遮罩設計(例如:遮罩尺寸)輪廓居中於微影/蝕刻兩製程之間,共最佳化OPC校正流程中的微影與蝕刻(HMO)。舉例而言,在迴圈300中產生OPC碼時,輸入有微影PWOPC模型及HMO PWOPC模型資料,還可選用MBSRAF資料,以便使用相同處理迴圈300裡之計算來調整兩模型以設定指示是否需要如判定來增加、或減少微影CD的規格;以及使用相同處理迴圈300裡的計算以設定是否需要如判定來增加、或減少HMO蝕刻CD的規格。接著,使用出自兩製程CD模擬之結合資訊來導引遮罩尺寸變更,致使其將會 在最佳化結束時,符合微影CD與HMO CD兩特徵規格。 Figure 6 illustrates a flow 200 for co-optimizing both lithography and etching (e.g., hard mask openings or HMOs) in an OPC. Although the methods herein are described in relation to a hard mask opening etch process, it should be understood that the methods herein are applicable to any type of material being etched, such as nitride etch, oxide etch processes, and the like. In a first design step 204, a circuit design is generated using techniques known in the art. The design can be divided into sub-design areas or chiplet designs, for example, to avoid processing the entire reticle field at once. This process continues at 210 for the next dummy fill, which is a topographic fill, This adds shape to provide a uniform pattern layout or to avoid topography issues. This dummy fill step can be implemented on a localized basis for each wafer (ie, a sub-wafer followed by a sub-wafer) or for a full wafer. For example, these designs that take into account the topographical correction are further processed in a typical retargeting step 210 based on localization (ie, sub-wafer followed by sub-wafer) or full wafer. Subsequent steps perform a lithography/HMO co-optimization technique 300 to obtain an optimized process window for both lithography and HMO etch. Here, at 302, the model-based secondary analysis auxiliary feature step is first performed according to the known technique of optimizing the PWOPC lithography of the 305, and the output contour 56 shown in FIG. 5 is generated. Yes, in conjunction with the optimized PWHMO etch 310 to produce the output profile 86 shown in FIG. The output 250 is the optimal feature size computed for the mask based on the processing loop 300 and is subject to a further optical rule checking procedure 275. In the processing loop 300, two optimization processes of lithography PWOPC and HMO PWOPC are performed in each iteration, that is, in the simultaneous manner, by driving the outline of the mask design (for example, mask size) to be immersed in the lithography/ Between the two processes of etching, the lithography and etching (HMO) in the OPC correction process is optimized. For example, when the OPC code is generated in the loop 300, the lithography PWOPC model and the HMO PWOPC model data are input, and the MBSRAF data can also be selected, so that the calculations in the same processing loop 300 can be used to adjust the two models to set whether the indication is It is desirable to increase or decrease the specifications of the lithography CD as determined; and use the same processing loop 300 calculations to determine if it is necessary to increase or decrease the HMO etched CD specifications as determined. Next, use the combination of the two-process CD simulation to guide the mask size change, so that it will At the end of the optimization, the two specifications of the lithography CD and HMO CD are met.

因此,在方法200中,達到同時分析並且共最佳化兩個獨立製程模型之遮罩校正。微影PWOPC與HMO PWOPC兩製程模型在(相同迴圈裡的)各迭代中同時執行,導致避免各模型獨立失效的遮罩解決方案。此最佳化的遮罩尺寸將會驅使製程停留在最佳化時規格裡起自獨立製程之路徑中。舉例而言,在一項具體實施例中,透過微影與HMO模擬建模,處理步驟包括:判定確保微影CD特徵成功之最小微影CD的第一規格;以及同樣地,判定確保蝕刻CD特徵成功之最小HMO蝕刻CD的第二規格。此等第一規格與第二規格係輸入到迴圈處理過程300,並且係用於判定遮罩尺寸以試著符合各規格之限制。取決於是否符合兩規格,這兩個HMO(製程窗口RIE)與微影CD製程之間的加權係使得遮罩尺寸從而變更,為的是要加速收斂以獲得符合第一與第二兩規格的遮罩尺寸解決方案。 Thus, in method 200, mask correction for simultaneous analysis and co-optimization of two independent process models is achieved. The lithography PWOPC and HMO PWOPC two-process models are executed simultaneously in each iteration (in the same loop), resulting in a mask solution that avoids independent failure of each model. This optimized mask size will drive the process to stay in the path of the independent process in the optimized specification. For example, in one embodiment, through lithography and HMO simulation modeling, the processing steps include: determining a first specification of a minimum lithography CD that ensures lithographic CD features are successful; and, similarly, determining to ensure etching of the CD The second specification of the minimum HMO etched CD with feature success. These first and second specifications are input to the loop processing 300 and are used to determine the mask size to try to meet the limits of each specification. Depending on whether the two specifications are met, the weighting between the two HMOs (Process Window RIE) and the lithography CD process causes the mask size to be changed in order to accelerate convergence to obtain the first and second specifications. Mask size solution.

因此,第6圖、第7圖之處理過程200及最佳化迴圈處理過程300展示具有HMO蝕刻校正之晶圓375之生產。相較於第2圖之先前技術遮罩與晶圓處理過程,根據第2圖之最佳化架構200所產生的晶圓350實際上未出現阻劑頂損。 Accordingly, process 200 of FIG. 6, FIG. 7, and optimized loop process 300 demonstrate the production of wafer 375 with HMO etch correction. Compared to the prior art mask and wafer processing of FIG. 2, the wafer 350 produced according to the optimized architecture 200 of FIG. 2 does not actually exhibit a resist top loss.

因此,係為一種得以同時分析並且共最佳化兩個獨立製程模型之遮罩校正方法。兩製程模型在各迭代中同時執行,導致避免各模型獨立失效的遮罩解決方案。(亦即,各別或循序執行遮罩最佳化的情況。)此最佳化 的遮罩尺寸將會驅使製程停留在最佳化時規格裡起自獨立製程之路徑中。 Therefore, it is a mask correction method that can simultaneously analyze and jointly optimize two independent process models. The two-process model is executed simultaneously in each iteration, resulting in a masking solution that avoids the independent failure of each model. (ie, the case where mask optimization is performed individually or sequentially.) This optimization The size of the mask will drive the process to stay in the path of the independent process in the optimized specification.

在進一步具體實施例中,本文中的系統及方法使用光學影像作為3D阻劑外形的代理,以及用以使用此影像建立已蝕刻圖案之有效率且準確的模型的方法。正如此光學影像可當作可在光阻中準確預測邊緣置放之光阻曝照與顯影模型的輸入,一光學影像係當作將會準確預測已蝕刻圖案的邊緣置放之蝕刻製程模型的輸入。在建立已蝕刻圖案之有效率且準確的模型時:1)使用的只有導致處理更快的光學器件及蝕刻模型;以及2)最終的蝕刻邊緣模擬因近似3D阻劑效應而更準確。 In further embodiments, the systems and methods herein use optical images as a proxy for 3D resist profiles and methods for creating efficient and accurate models of etched patterns using such images. Just as this optical image can be used as an input to accurately predict the edge exposure of the photoresist and the development model in the photoresist, an optical image is used as an etch process model that will accurately predict the edge placement of the etched pattern. Input. In establishing an efficient and accurate model of the etched pattern: 1) only the optics and etch models that result in faster processing are used; and 2) the final etched edge simulation is more accurate due to the approximate 3D resist effect.

因此,在一項具體實施例中,提供一種第6圖之PWHMO處理過程330所用之更準確的蝕刻模型形式,其擷取3D阻劑資訊作為影像。光學影像條件結合「阻劑」模型條件當作3D阻劑外形之代理使用。第6圖之處理過程330需要用以蝕刻資料之校準經驗模型,其包括:建模蝕刻CD,非蝕刻偏差,用以導致具有更純淨資料之更容易的測量方法。 Thus, in one embodiment, a more accurate etch model form for the PWHMO process 330 of FIG. 6 is provided which takes 3D resist information as an image. The optical imaging conditions were combined with the "resistance" model conditions as a proxy for the 3D resist profile. The process 330 of Figure 6 requires a calibration experience model for etching the data, including: modeling the etched CD, non-etching bias, to result in an easier measurement method with cleaner data.

在這裡,鑑於第8A至8C圖,繪製的是與HMO偏差有關之各種關係圖的實施例,亦即由後顯影至後蝕刻之變更與局部已印刷圖案密度相關之各種參數的關係。在第8A至8C圖之各者中,HMO偏差係隨著光阻之測量尺寸變更至硬遮罩測量(導因於蝕刻製程)而加以運算。舉例而言,第8A圖展示HMO蝕刻偏差(Y軸)與已顯影阻劑 關鍵尺寸(X軸)(即阻劑特徵尺寸)之例示性關係圖377,第8B圖展示HMO蝕刻偏差(Y軸)與間距(即特徵之光阻CD圖案之週期性)之例示性關係圖380,以及第8C圖展示HMO蝕刻偏差(Y軸)與圖案化特徵之工作週期的例示性關係圖383。這些關係圖377、380及383各展示圖案密度條件未擷取之顯著系統變異。也就是說,圖案密度未妥適擷取HMO蝕刻偏差,亦即,後顯影特徵測量與運算之局部已印刷圖案密度的相關性不強。 Here, in view of Figs. 8A to 8C, an embodiment of various relationship diagrams relating to HMO deviation, that is, a relationship between a change from post-development to post-etching and various parameters related to the density of a partially printed pattern, is plotted. In each of Figures 8A through 8C, the HMO deviation is calculated as the measured dimensions of the photoresist are changed to hard mask measurements (caused by the etching process). For example, Figure 8A shows HMO etch bias (Y-axis) versus developed resist An exemplary relationship diagram 377 of the critical dimension (X-axis) (ie, the resist feature size), and FIG. 8B shows an exemplary relationship of the HMO etch bias (Y-axis) and the pitch (ie, the periodicity of the characteristic photoresist CD pattern). 380, and 8C show an exemplary relationship 383 of the HMO etch bias (Y-axis) versus the duty cycle of the patterned features. These graphs 377, 380, and 383 each exhibit significant system variations that are not captured by the pattern density conditions. That is to say, the pattern density is not properly taken out of the HMO etching deviation, that is, the correlation between the post-developing characteristic measurement and the local printed pattern density of the operation is not strong.

在一項具體實施例中,如本文中關於第10圖所述,實施的是一種方法,其包括:獲得並且使用光學影像作為3D阻劑外形的代理,以及在處理迴圈300中實施本方法以將光學影像參數用於建立已蝕刻圖案之有效率且準確的模型。也就是說,藉由獲得光學影像並且使用此影像作為蝕刻製程之模型的輸入,已蝕刻圖案之預測邊緣置放將會準確。此方法的優點在於:1)僅使用光學器件及蝕刻模型而快速;以及2)最終蝕刻模擬因近似3D阻劑效應而更準確。 In a specific embodiment, as described herein with respect to FIG. 10, a method is implemented that includes obtaining and using an optical image as a proxy for a 3D resist profile, and implementing the method in processing loop 300 To use optical image parameters to create an efficient and accurate model of the etched pattern. That is, by obtaining an optical image and using this image as an input to the model of the etch process, the predicted edge placement of the etched pattern will be accurate. The advantages of this method are: 1) fast using only optics and etching models; and 2) final etch simulations are more accurate due to the approximate 3D resist effect.

在這裡,提供有一種有效率的光阻外形感知蝕刻模型形式、以及因三維光阻外形之變異而顧及已蝕刻圖案之差異的校準方法。因此,舉例而言:模型形式包括與光學影像直接有關的條件;以及校準方法仰仗已蝕刻影像之CD測量結果。再者,校準方法包括CD測量結果對模型形式條件的經驗擬合(empirical fit)。 Here, an efficient resistive shape-aware etching model form and a calibration method that takes into account differences in the etched pattern due to variations in the three-dimensional resist shape are provided. Thus, for example, the model form includes conditions directly related to the optical image; and the calibration method relies on the CD measurement of the etched image. Furthermore, the calibration method includes an empirical fit of the CD measurement results to the model form conditions.

在一項具體實施例中,此模型形式包括光學 與密度兩條件。 In a specific embodiment, the model form includes optics Two conditions with density.

第9圖展示繪示各個實體晶片位置之HMO偏差蝕刻392與阻劑斜率相關性的例示性關係圖390。在一項具體實施例中,阻劑斜率為頂端與底端CD SEM測量結果之間的差異,亦即被測量作為阻劑與基材之間形成的接觸角。在第9圖中,看到HMO偏差與阻劑斜率有更好的相關性。舉例而言,所示係於晶片上所形成並顯影之阻劑圖案的各個位置,繪製以奈米為測量單位運算的差值392(阻劑斜率的差異)與HMO偏差394的關係圖。 FIG. 9 shows an exemplary relationship diagram 390 showing the dependence of the HMO deviation etch 392 and the resist slope for each physical wafer location. In one embodiment, the resist slope is the difference between the top and bottom CD SEM measurements, that is, measured as the contact angle formed between the resist and the substrate. In Figure 9, it is seen that there is a better correlation between HMO bias and resist slope. For example, the various positions of the resist pattern formed and developed on the wafer are shown, and a plot of the difference 392 (difference in resist slope) calculated in nanometers from the HMO deviation 394 is plotted.

因此,提供有一種用於模擬蝕刻圖案之有效率的模型形式,其包括光學與密度兩條件;以及第10圖中所示因三維光阻外形之變異而顧及已蝕刻圖案之差異的校準方法。在一項具體實施例中:模型形式包括與光學影像直接有關的條件;以及校準方法仰仗已蝕刻影像之CD測量結果。此外,校準方法包括CD測量結果對模型形式條件的經驗擬合。接著,蝕刻模型使用光學資訊來導引蝕刻CD預測。 Accordingly, there is provided an efficient model for simulating an etched pattern, which includes both optical and density conditions; and a calibration method shown in FIG. 10 that takes into account differences in the etched pattern due to variations in the three-dimensional resistive profile. In a specific embodiment: the model form includes conditions directly related to the optical image; and the calibration method relies on CD measurements of the etched image. In addition, the calibration method includes an empirical fit of the CD measurements to the model form conditions. The etch model then uses optical information to guide the etch CD prediction.

第10圖展示在電腦系統上執行基於已印刷特徵影像模擬使用光學模型參數用於最佳化遮罩設計的OPC遮罩製作方法325。使用光學模型參數(例如:強度分布)提供在全晶片設計空間用於將微影與RIE兩製程置於各製程窗口中心(亦即,微影與HMO(例如:RIE)蝕刻關鍵尺寸(CD)規格內)的公用「旋鈕」。 Figure 10 shows an OPC masking method 325 for performing an optimized mask design using optical model parameters based on printed feature image simulation on a computer system. Using optical model parameters (eg, intensity distribution) is provided in the full wafer design space for placing lithography and RIE processes in the center of each process window (ie, lithography and HMO (eg, RIE) etch critical dimensions (CD) Common "knobs" within specifications.

如本方法在327所示,第一步驟包括將微影 阻劑塗敷與HMO(反應性離子蝕刻)兩階段之製程控制範圍限制輸入至電腦系統。這些範圍限制包括光微影模型及HMO(蝕刻)製程中使用的目標遮罩尺寸誤差、(光)焦點誤差及(光)劑量誤差。對於光微影模型及HMO(蝕刻)模型製程,這些值不一定要相同。 As the method is shown at 327, the first step includes lithography Resistor coating and HMO (Reactive Ion Etching) two-stage process control range limits input to the computer system. These range limitations include photolithographic models and target mask size errors, (optical) focus errors, and (light) dose errors used in HMO (etching) processes. For light lithography models and HMO (etching) model processes, these values do not have to be the same.

在本方法中,於329,下一個步驟包括將用於微影模型製程之(多個)特徵的關鍵尺寸(CD)輸入至電腦系統,並且於330,將用於HMO(例如:反應性離子蝕刻)模型製程之(多個)特定特徵的關鍵尺寸(CD)輸入至電腦系統。 In the method, at 329, the next step includes inputting a critical dimension (CD) for the feature(s) of the lithography model process to the computer system, and at 330, for the HMO (eg, reactive ion) Etching) The critical dimension (CD) of a particular feature(s) of the model process is input to the computer system.

於331,將初始遮罩設計規格輸入至系統。 At 331, the initial mask design specification is entered into the system.

再者,於332,運算系統之輸入包括用於相關聯之初始遮罩設計的(多個)次解析輔助特徵CD規格。 Still further, at 332, the input to the computing system includes a secondary analytical auxiliary feature CD specification for the associated initial mask design.

在較佳具體實施例中,HMO(蝕刻)模型係以光學模擬為基礎。也就是說,在本方法中,所進行的有模擬微影製程(典型為光學製程)以及模擬蝕刻製程。於335,本方法使用光學模型來模擬來自所模擬之印刷製程(晶圓上特徵之曝光及顯影)之光學影像,其將會藉由初始遮罩來建立。光學影像模擬的結果是微影(光阻模型)與HMO蝕刻兩模擬中使用的光學影像參數。在一項具體實施例中,光學影像參數包括產生之所模擬光學影像的強度分布。接著,於340,微影(阻劑塗敷)模型係基於光學影像參數而建置,其中此模型進行光阻回應方式的特性分析。然而,現於343,HMO蝕刻模型係套用至產生之所 模擬影像的光學影像參數。也就是說,HMO蝕刻模型也是使用所模擬光學影像所建置。由於HMO蝕刻模型係基於所模擬光學模型而建置,所以光之實體模型係透過遮罩與曝照系統來表現。因此,在較佳具體實施例中,微影製程與蝕刻模擬製程兩者使用相同的光學模型在第6圖之迴圈300中的微影與HMO蝕刻之間進行共最佳化。也就是說,從光學模擬獲得之光學模型參數為微影模型與HMO蝕刻模型之間的公用元件。 In a preferred embodiment, the HMO (etched) model is based on optical simulation. That is to say, in the method, there are an analog lithography process (typically an optical process) and an analog etch process. At 335, the method uses an optical model to simulate an optical image from the simulated printing process (exposure and development of features on the wafer) that will be created by the initial mask. The result of the optical image simulation is the optical image parameters used in both the lithography (resistance model) and the HMO etch. In a specific embodiment, the optical image parameters include an intensity distribution of the generated optical image. Next, at 340, a lithography (resist coating) model is built based on optical image parameters, wherein the model performs a characteristic analysis of the photoresist response mode. However, now at 343, the HMO etch model is applied to the production site. Optical image parameters of the simulated image. That is, the HMO etch model is also built using the simulated optical image. Since the HMO etch model is built based on the simulated optical model, the solid model of light is represented by the mask and the exposure system. Thus, in a preferred embodiment, both the lithography process and the etch simulation process are co-optimized between the lithography in the loop 300 of FIG. 6 and the HMO etch using the same optical model. That is, the optical model parameters obtained from the optical simulation are common components between the lithography model and the HMO etch model.

接著,於346,本方法基於PW微影/蝕刻模擬而輸出產生之PW微影/HMO輪廓56、86。 Next, at 346, the method outputs the generated PW lithography/HMO profiles 56, 86 based on the PW lithography/etching simulation.

接著,於350,共最佳化處理迴圈判斷輸出之所產生的PW微影/HMO輪廓是否在微影與蝕刻兩製程窗口的中心位置裡。也就是說,判斷遮罩設計(例如:遮罩節段或片段)是否在模擬處理迴圈300(第6圖)中進行最佳化而致使符合這些CD規格,亦即,第5圖中對應的輪廓56、86在最佳化製程窗口裡。 Next, at 350, the total optimization processing loop determines whether the PW lithography/HMO contour generated by the output is in the center position of the lithography and etching process window. That is, it is determined whether the mask design (eg, the mask segment or segment) is optimized in the analog processing loop 300 (FIG. 6) to conform to the CD specifications, that is, the corresponding in FIG. The contours 56, 86 are in the optimized process window.

在校正演算法300中,同時使用所計算之光學參數進行微影與蝕刻兩者之共最佳化以提升最佳化的效率,因此,在製程窗口限制內產生最佳遮罩設計。 In the correction algorithm 300, the calculated optical parameters are used simultaneously for co-optimization of both lithography and etching to improve the efficiency of optimization, thus producing an optimal mask design within the process window limits.

若於步驟350判定輸出之所產生的PW微影/HMO輪廓是在微影與蝕刻兩製程窗口的中心位置裡(亦即,符合其目標規格),則結束遮罩設計(例如:遮罩片段)之處理。否則,於350,若判定所輸出產生的PW微影/HMO輪廓不在微影與蝕刻兩製程窗口的中心位置裡(亦即,不 符合其目標規格),則於步驟335,變更遮罩設計(例如:尺寸),並且製程回到步驟335以基於遮罩設計或遮罩片段之變更而再次地進行光學模型模擬。 If it is determined in step 350 that the resulting PW lithography/HMO profile is in the center of the lithography and etching process window (ie, in accordance with its target specification), the mask design is ended (eg, mask segmentation) ) processing. Otherwise, at 350, if it is determined that the output PW lithography/HMO contour is not in the center position of the lithography and etching process window (ie, no In accordance with its target specification, then at step 335, the mask design (eg, size) is changed, and the process returns to step 335 to perform the optical model simulation again based on the mask design or the change in the mask segment.

因此,本方法在步驟335與353之間反覆進行,直到確定最佳化遮罩設計為止。 Thus, the method repeats between steps 335 and 353 until an optimized mask design is determined.

第11圖展示在一項具體實施例中,例示性表格360指定如何在步驟350判定微影與蝕刻兩製程窗口裡所輸出產生的PW微影/HMO輪廓不在其目標規格內時修改遮罩(片段或節段)尺寸或設計。 11 shows that in one embodiment, the illustrative table 360 specifies how to modify the mask when the PW lithography/HMO profile produced by the output in the lithography and etch two process windows is not within the target specification (step 350). Fragment or segment) size or design.

如第11圖所示,表格360包括一連串進行判斷的行,第一行362繪示所模擬微影線路CD是在目標規格內或外;第二行364繪示所模擬微影空間CD特徵是在目標規格內或外;第三行366繪示所模擬HMO蝕刻線路CD是在目標規格內或外;以及第四行368繪示所模擬微影空間CD特徵是在目標規格內或外。在一項具體實施例中,第五行370展示如何基於微影線路、微影空間、HMO線路及HMO空間特徵與其相應目標規格之比較來修改遮罩設計(或遮罩設計片段)。表格行362至370係用於告知如何基於如共最佳化模擬中之判定所示之規格內與規格外的關鍵特徵的任意組合來修改遮罩設計。 As shown in FIG. 11, the table 360 includes a series of lines for judging, the first line 362 shows that the simulated lithography line CD is inside or outside the target specification; the second line 364 shows that the simulated lithography space CD feature is Within or outside the target specification; a third row 366 depicts the simulated HMO etched line CD being within or outside the target specification; and a fourth row 368 indicating that the simulated lithographic space CD feature is within or outside the target specification. In a specific embodiment, the fifth row 370 shows how to modify the mask design (or mask design segment) based on a comparison of lithography lines, lithography spaces, HMO lines, and HMO spatial features with their respective target specifications. Table rows 362 through 370 are used to inform how to modify the mask design based on any combination of key features outside of the specification as indicated by the decision in the co-optimization simulation.

舉例而言,若微影線路、微影空間、HMO線路及HMO空間CD特徵各在目標規格內,則不需要移動遮罩。然而,細看表格360之列,規格外誤差的任何排列將會促使修改遮罩設計。在表格中,遮罩設計之移動可涉及 以下參數之一或多者:LLE為微影線路誤差,其表示遮罩或遮罩片段之所模擬線路CD與其目標規格之間的差異;LSE為微影空間誤差,其表示所模擬CD與相鄰特徵之間相較於目標規格的差值距離;HLE為HMO線路誤差,其表示遮罩或遮罩片段之所模擬線路CD與其目標規格之間旳差異;HSE為HMO蝕刻空間誤差,其表示所模擬CD與相鄰特徵之間相較於目標規格的差值距離;FB為回授因子,可按照如所屬技術領域中具有通常知識者將知道的方式組配為用於OPC配方最佳化的調整參數;LithoW為基於所判定之微影參數誤差而將在下一個OPC遮罩設計迭代中套用的調整或「加權」因子;以及類似的是,HMOW為基於所判定之HMO蝕刻製程參數誤差而將在下一個OPC遮罩設計迭代中套用的調整或「加權」因子。因此,基於處理迴圈300(第6圖)及方法325(第10圖)中所模擬誤差的任意特定組合,遮罩/片段設計從而可由第11圖之表格360來修改,例如依正方向、負方向、以及根據如表格360所判定之大小來移動。 For example, if the lithography line, lithography space, HMO line, and HMO space CD features are each within the target specification, then no moving mask is needed. However, looking closely at Table 360, any permutation of out-of-spec errors will motivate the modification of the mask design. In the table, the movement of the mask design can involve One or more of the following parameters: LLE is the lithographic line error, which represents the difference between the analog line CD of the mask or mask segment and its target specification; LSE is the lithographic space error, which represents the simulated CD and phase The difference distance between adjacent features compared to the target specification; HLE is the HMO line error, which represents the difference between the simulated line CD of the mask or mask segment and its target specification; HSE is the HMO etching space error, which represents The difference distance between the simulated CD and the adjacent features compared to the target specification; FB is the feedback factor, which can be combined for OPC recipe optimization in a manner that will be known to those of ordinary skill in the art. Adjustment parameter; LithoW is an adjustment or "weighting" factor that will be applied in the next OPC mask design iteration based on the determined lithography parameter error; and similarly, HMOW is based on the determined HMO etch process parameter error The adjustment or "weighting" factor that will be applied in the next OPC mask design iteration. Thus, based on any particular combination of the simulated errors in processing loop 300 (Fig. 6) and method 325 (Fig. 10), the mask/fragment design can be modified by table 360 of Fig. 11, for example, in the positive direction, The negative direction, and according to the size determined as in table 360, moves.

第12圖繪示電腦系統400之例示性硬體組態的一項具體實施例,其係經編程以進行用於在各迭代中同時執行兩個模擬(微影與蝕刻)製程模型的方法步驟,產生避免各製程模型獨立失效的遮罩解決方案,例如:本文中關於第5、6及10圖所述者。運算系統400係經進一步編程以進行形成蝕刻偏差模型的方法步驟,此模型使用所套用與圖案蝕刻偏差值相關聯之阻劑形式(即阻劑角度) 的光學影像,諸如本文中與第8A、8B、8C及9圖相關所述者。 Figure 12 illustrates a specific embodiment of an exemplary hardware configuration of computer system 400 programmed to perform method steps for simultaneously performing two simulation (lithography and etching) process models in each iteration. A mask solution that avoids independent failure of each process model, such as those described in Figures 5, 6 and 10 herein. The computing system 400 is further programmed to perform a method step of forming an etch bias model that uses a resist form (ie, a resist angle) that is associated with a pattern etch bias value. Optical image, such as those described herein in relation to Figures 8A, 8B, 8C, and 9.

硬體組態較佳為具有至少一個處理器或中央處理單元(CPU)411。CPU 411係經由系統匯流排412互連至隨機存取記憶體(RAM)414、唯讀記憶體(ROM)416、輸入/輸出(I/O)配接器418(用於將諸如碟片單元421及磁帶機440等週邊裝置連接至匯流排412)、使用者介面配接器422(用於將鍵盤424、滑鼠426、揚聲器428、麥克風432、及/或其它使用者介面裝置連接至匯流排412)、用於將系統400連接至資料處理網路、網際網路、內部網路、區域網路(LAN)等之通訊配接器434、以及用於將匯流排412連接至顯示裝置438及/或印表機439(例如:此類的數位印表機)之顯示配接器436。 The hardware configuration preferably has at least one processor or central processing unit (CPU) 411. The CPU 411 is interconnected via a system bus 412 to a random access memory (RAM) 414, a read only memory (ROM) 416, and an input/output (I/O) adapter 418 (for use in a disk unit). Peripheral devices such as 421 and tape drive 440 are coupled to bus bar 412), user interface adapter 422 (for connecting keyboard 424, mouse 426, speaker 428, microphone 432, and/or other user interface devices to the confluence) Row 412), communication adapter 434 for connecting system 400 to a data processing network, internet, internal network, local area network (LAN), etc., and for connecting bus bar 412 to display device 438 And/or display adapter 436 of printer 439 (eg, such a digital printer).

本發明可以是系統、方法、及/或電腦程式產品。電腦程式產品可包括(多個)電腦可讀儲存媒體,其上有用於令處理器實行本發明之態樣的電腦可讀程式指令。 The invention can be a system, method, and/or computer program product. The computer program product can include a computer readable storage medium(s) having computer readable program instructions for causing the processor to carry out the aspects of the present invention.

電腦可讀儲存媒體可以是可保留並儲存供指令執行裝置使用之指令的有形裝置。電腦可讀儲存媒體舉例而言,可以是但不限於電子儲存裝置、磁性儲存裝置、光學儲存裝置、電磁儲存裝置、半導體儲存裝置、或前述任何合適的組合。電腦可讀儲存媒體更多特定實施例之非窮舉清單包括以下所列:可攜式電腦碟片、硬碟、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、可抹除可編程唯讀記 憶體(EPROM或快閃記憶體)、靜態隨機存取記憶體(SRAM)、可攜式唯讀光碟(CD-ROM)、數位多功能光碟(DVD)、記憶條、軟碟、凹槽中諸如打孔卡(punch-card)或隆起結構上記錄有指令之機械編碼裝置、以及前述任何合適的組合。電腦可讀儲存媒體於本文中使用時,並非要解讀為本身屬於諸如無線電波或其它自由傳播電磁波等之暫存信號、透過波導或其它傳輸介質傳播之電磁波(例如:通過光纖電纜之光脈衝)、或透過導線傳輸之電信號。 The computer readable storage medium can be a tangible device that can retain and store instructions for use by the instruction execution device. The computer readable storage medium may be, for example, but not limited to, an electronic storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing. Computer-readable storage media A non-exhaustive list of specific embodiments includes the following: portable computer discs, hard drives, random access memory (RAM), read only memory (ROM), erasable Programmable read-only Recall (EPROM or flash memory), static random access memory (SRAM), portable CD-ROM (CD-ROM), digital versatile disc (DVD), memory stick, floppy disk, groove A mechanical encoding device such as a punch-card or embossed structure having instructions recorded thereon, as well as any suitable combination of the foregoing. When used in a computer-readable storage medium, it is not intended to be interpreted as a temporary signal that is itself a radio wave or other free-propagating electromagnetic wave, or an electromagnetic wave that propagates through a waveguide or other transmission medium (eg, a light pulse through a fiber optic cable). Or an electrical signal transmitted through a wire.

本文所述之電腦可讀程式指令可從電腦可讀儲存媒體下載至各別的運算/處理裝置,或經由例如網際網路、區域網路、廣域網路及/或無線網路之網路下載至外部電腦或外部儲存裝置。網路可包含銅傳送纜線、光傳輸纖維、無線傳輸、路由器、防火牆、交換器、閘道電腦(gateway computer)及/或邊緣伺服器(edge server)。各運算/處理裝置中的網路配接器卡或網路介面從網路接收電腦可讀程式指令,並且轉發此電腦可讀程式指令以供各別運算/處理裝置裡之電腦可讀儲存媒體中之儲存。 The computer readable program instructions described herein can be downloaded from a computer readable storage medium to a respective computing/processing device or downloaded to a network such as the Internet, a local area network, a wide area network, and/or a wireless network. External computer or external storage device. The network may include copper transmission cables, optical transmission fibers, wireless transmissions, routers, firewalls, switches, gateway computers, and/or edge servers. A network adapter card or network interface in each computing/processing device receives computer readable program instructions from the network and forwards the computer readable program instructions for computer readable storage media in the respective computing/processing device Storage in the middle.

用於實行本發明之操作的電腦可讀程式指令可以是組譯器指令、指令集架構(ISA)指令、機器指令、機器相依指令、微碼、韌體指令、狀態設定資料、或寫入一或多種程式語言的任意組合之原始碼或目標碼,此程式語言包括諸如Smalltalk、C++或類似者等物件導向程式語言、以及諸如「C」程式語言或類似程式語言等習用的程序性程式語言。電腦可讀程式指令可完全在使用者的電腦 上執行、部分在使用者的電腦上執行(如單機型套裝軟體)、部分在使用者的電腦上且部分在遠端電腦上執行、或完全在遠端電腦或伺服器上執行。在後者情境中,遠端電腦可透過包括區域網路(LAN)或廣域網路(WAN)等任何類型之網路連接至使用者的電腦,或可連接至外部電腦(例如,使用網際網路服務供應商透過網際網路)。在一些具體實施例中,為了進行本發明之態樣,電子電路系統舉例而言,包括可編程邏輯電路系統、場式可程式化閘極陣列(FPGA)、或可編程邏輯陣列(PLA),可藉由電腦可讀程式指令之狀態資訊執行電腦可讀程式指令以個人化電子電路系統。 The computer readable program instructions for performing the operations of the present invention may be an interpreter command, an instruction set architecture (ISA) instruction, a machine instruction, a machine dependent instruction, a microcode, a firmware instruction, a status setting material, or a write Or source code or object code of any combination of a plurality of programming languages, such as object oriented programming languages such as Smalltalk, C++ or the like, and procedural programming languages such as "C" programming languages or similar programming languages. Computer readable program instructions are completely available on the user's computer Execution, partial execution on the user's computer (eg, stand-alone software package), partial on the user's computer and partly on the remote computer, or entirely on the remote computer or server. In the latter case, the remote computer can connect to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or can connect to an external computer (for example, using Internet services). Suppliers through the Internet). In some embodiments, for the purposes of the present invention, an electronic circuit system includes, by way of example, a programmable logic circuit system, a field programmable gate array (FPGA), or a programmable logic array (PLA), The computer readable program instructions can be executed by the state information of the computer readable program instructions to personalize the electronic circuit system.

本發明之態樣係在本文中參照根據本發明之方法、設備(系統)、以及電腦程式產品之流程圖說明及/或方塊圖來描述。將會理解的是,流程圖說明及/或方塊圖之各方塊、以及流程圖說明及/或方塊圖中之方塊組合可藉由電腦可讀程式指令來實施。可對通用型電腦、特殊用途電腦、或其它可編程資料處理設備之處理器提供這些電腦可讀程式指令以產生機器,使得經由電腦或其它可編程資料處理設備之處理器執行的指令建立用於實施流程圖及/或方塊圖一或多個方塊中指定的功能/動作。這些電腦可讀程式指令亦可儲存於電腦可讀儲存媒體中,其可指揮電腦、可編程資料處理設備、及/或其它裝置而按照特定方式作用,使得上有儲存指令之電腦可讀儲存媒體包括含有指令之製品,此等指令實施流程圖及/或方塊圖一或多個方塊 中指定的功能/動作。 The present invention is described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to the present invention. It will be understood that the block diagrams and/or blocks of the block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams can be implemented by computer readable program instructions. The computer readable program instructions can be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing device to produce a machine such that instructions executed by a processor of a computer or other programmable data processing device are used to create The functions/acts specified in the flowchart and/or block diagram one or more blocks are implemented. The computer readable program instructions can also be stored in a computer readable storage medium that can direct a computer, a programmable data processing device, and/or other device to function in a particular manner such that the computer readable storage medium having stored instructions thereon Including an article containing instructions, such instructions implementing a flow chart and/or block diagram one or more blocks The function/action specified in .

電腦可讀程式指令亦可載入到電腦、其它可編程資料處理設備、或其它裝置以令一連串操作步驟得以在電腦、其它可編程設備或其它裝置上進行以產生電腦實施程序,使得電腦、其它可編程設備、或其它裝置上執行的指令實施流程圖及/或方塊圖一或多個方塊中指定的功能/動作。 Computer readable program instructions can also be loaded into a computer, other programmable data processing device, or other device to enable a series of operational steps to be performed on a computer, other programmable device, or other device to produce a computer-implemented program that causes the computer, other The instructions executed on the programmable device, or other device, implement the flowcharts and/or functions/acts specified in one or more of the blocks.

圖中的流程圖及方塊圖根據本發明之各項具體實施例,說明系統、方法、以及電腦程式產品可能實作態樣的架構、功能、以及操作。就此而言,流程圖或方塊圖中的各方塊可表示模組、節段、或部分指令,其包含用於實施此(等)指定邏輯功能的一或多個可執行指令。在一些替代實作態樣中,方塊中註記的功能可不按照圖中所示順序作用。舉例而言,兩個接續展示的方塊事實上,可實質並行執行,或此等方塊有時可按照反向順序執行,端視涉及的功能而定。亦應注意的是,方塊圖及/或流程圖說明之各方塊、以及方塊圖及/或流程圖說明中的方塊組合可藉由特殊用途硬體為主之系統來實施,此等系統進行指定功能或動作、或實行特殊用途硬體及電腦指令之組合。 The flowchart and block diagrams in the figures illustrate the architecture, functionality, and operation of a system, method, and computer program product in accordance with various embodiments of the present invention. In this regard, the blocks of the flowcharts or block diagrams can represent a module, a segment, or a portion of an instruction comprising one or more executable instructions for implementing the (or) specified logical function. In some alternative implementations, the functions noted in the blocks may not function in the order shown. For example, two blocks shown in succession may in fact be executed substantially in parallel, or such blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It should also be noted that blocks of the block diagrams and/or flowchart illustrations, and combinations of blocks in the block diagrams and/or flowchart illustrations can be implemented by a special purpose hardware-based system that specifies A function or action, or a combination of special-purpose hardware and computer instructions.

本發明之各項具體實施例的描述已為了說明目的而介紹,但用意不在於窮舉或受限於所揭示的具體實施例。許多修改及變例對於所屬技術領域中具有通常知識者將會顯而易知,但不會脫離所述具體實施例的範疇及精神。本文中使用的術語是為了最佳闡釋具體實施例之原 理、對市場出現之技術所作的實務應用或技術改良、或讓所屬技術領域中具有通常知識者能夠理解本文中所揭示之具體實施例而選擇。 The description of the specific embodiments of the invention has been described for purposes of illustration Numerous modifications and variations will be apparent to those skilled in the art without departing from the scope of the invention. The terminology used herein is for the purpose of best explanation of the embodiments. The practical application or technical improvements made to the technology appearing in the market, or those of ordinary skill in the art can understand the specific embodiments disclosed herein.

325‧‧‧OPC遮罩製作方法 325‧‧‧OPC mask making method

327‧‧‧步驟 327‧‧‧Steps

329‧‧‧步驟 329‧‧‧Steps

330‧‧‧步驟 330‧‧‧Steps

331‧‧‧步驟 331‧‧‧ steps

332‧‧‧步驟 332‧‧‧Steps

335‧‧‧步驟 335‧‧‧Steps

340‧‧‧步驟 340‧‧‧Steps

343‧‧‧步驟 343‧‧‧Steps

346‧‧‧步驟 346‧‧‧Steps

350‧‧‧步驟 350‧‧‧Steps

353‧‧‧步驟 353‧‧‧Steps

Claims (21)

一種修改光罩設計之方法,其包含:在電腦系統上執行第一微影製程模型模擬,導致在第一製程窗口中產生遮罩之線路或空間特徵;在該電腦系統上執行第二蝕刻製程模型模擬,導致在第二製程窗口中產生該遮罩之線路或空間特徵;判斷執行各該第一製程模型模擬與第二製程模型模擬所導致之線路特徵或空間特徵是否符合各別線路特徵規格及空間特徵規格;以及修改反覆迴圈程序之單一迭代裡之遮罩設計,使得該模擬之線路特徵或該模擬之空間特徵在各別最小關鍵尺寸(CD)規格之各者內;並且致使獲得微影與蝕刻之間最佳化的公用製程窗口(PW),其中該等微影與蝕刻製程係於該反覆迴圈處理過程中同時共最佳化。 A method of modifying a reticle design, comprising: performing a first lithography process model simulation on a computer system, resulting in a line or space feature of the mask being generated in the first process window; performing a second etch process on the computer system Model simulation, resulting in generating a line or space feature of the mask in the second process window; determining whether performing line features or spatial features caused by each of the first process model simulation and the second process model simulation conform to individual line feature specifications And spatial feature specifications; and modifying the mask design in a single iteration of the repeated loop program such that the simulated line features or spatial features of the simulation are within each of the smallest critical dimension (CD) specifications; A common process window (PW) optimized between lithography and etching, wherein the lithography and etching processes are simultaneously optimized during the rewind process. 如申請專利範圍第1項所述之方法,其中,第一與第二製程模型兩者是在各迭代中同時執行,導致最佳化的遮罩尺寸解決方案,避免各模型獨立失效。 The method of claim 1, wherein the first and second process models are performed simultaneously in each iteration, resulting in an optimized mask size solution that avoids independent failure of the models. 如申請專利範圍第1項所述之方法,更包含:指定確保微影CD特徵印刷成功之最小微影關鍵尺寸(CD);指定確保蝕刻CD特徵印刷成功之最小蝕刻CD,以及模擬將各單一反覆迴圈裡之該第一微影製程模型與該第二蝕刻製程模型用於判定具有符合各個各別最 小微影CD與最小蝕刻CD規格之特徵的遮罩設計。 The method of claim 1, further comprising: designating a minimum lithographic critical dimension (CD) to ensure successful lithographic CD feature printing; designating a minimum etched CD that ensures successful etched CD feature printing, and simulating each single The first lithography process model in the reverse loop and the second etch process model are used to determine that each has the most A mask design with a small lithography CD and a minimum etched CD specification. 如申請專利範圍第3項所述之方法,更包含:在第一微影CD製程窗口與第二蝕刻製程窗口之間套用權重以加速收斂,導致具有同時符合該等最小微影CD與最小蝕刻CD規格之特徵的最佳化遮罩尺寸解決方案。 The method of claim 3, further comprising: applying a weight between the first lithography CD process window and the second etch process window to accelerate convergence, resulting in having the minimum lithography CD and minimum etching simultaneously Optimized mask size solution for features of the CD specification. 如申請專利範圍第3項所述之方法,其中,共最佳化微影製程與蝕刻製程之該公用製程窗口(PW)提供經最佳化用於包括後蝕刻CD之後微影關鍵尺寸CD的居中範圍。 The method of claim 3, wherein the common process window (PW) of the co-optimized lithography process and the etch process is optimized for use in lithographic critical dimension CDs including post-etching CDs. Centered range. 如申請專利範圍第3項所述之方法,更包含:在該反覆處理迴圈中產生OPC碼時,使用相同處理迴圈裡之計算來調整該微影製程模型及該蝕刻製程模型兩者以設定該最小微影CD規格的值及該最小蝕刻CD規格的值。 The method of claim 3, further comprising: when the OPC code is generated in the repeated processing loop, using the calculation in the same processing loop to adjust both the lithography process model and the etching process model. The value of the minimum lithography CD specification and the value of the minimum etched CD specification are set. 如申請專利範圍第6項所述之方法,其中,該調整包含:指明該遮罩設計之遮罩片段是否需要依正或負方向修改;以及使用該單一迭代裡之計算,基於導致不在該最小微影CD規格或最小蝕刻CD規格內之微影或蝕刻CD特徵的模擬來設定遮罩片段設定移動。 The method of claim 6, wherein the adjusting comprises: indicating whether the mask segment of the mask design needs to be modified in a positive or negative direction; and using the calculation in the single iteration, based on causing the minimum The mask segment setting movement is set by simulating the lithography or etched CD feature within the lithography CD specification or the minimum etched CD specification. 如申請專利範圍第1項所述之方法,更包含:執行光學成像模型以基於該遮罩設計產生光學參數;以及在該單一迭代中, 使用各該第一微影製程模型模擬中之該等光學影像參數並且使用該第二蝕刻製程模型模擬中之該等光學影像參數作為3D阻劑外形之代理。 The method of claim 1, further comprising: performing an optical imaging model to generate an optical parameter based on the mask design; and in the single iteration, The optical image parameters in each of the first lithography process model simulations are used and the optical image parameters in the second etch process model simulation are used as a proxy for the 3D resist profile. 一種修改光罩設計之系統,其包含:記憶體儲存裝置;與該記憶體儲存裝置連通之硬體處理器,其係組配成:執行第一微影製程模型模擬,導致在第一製程窗口中產生遮罩之線路或空間特徵;執行第二蝕刻製程模型模擬,導致在第二製程窗口中產生該遮罩之線路或空間特徵;判斷執行各該第一製程模型模擬與第二製程模型模擬所導致之線路特徵或空間特徵是否符合各別線路特徵規格及空間特徵規格;以及修改反覆迴圈程序之單一迭代裡之遮罩或遮罩片段設計,使得該模擬之線路特徵或該模擬之空間特徵在各別最小關鍵尺寸(CD)規格之各者內;並且致使獲得微影與蝕刻之間最佳化的公用製程窗口(PW),其中該等微影與蝕刻製程係於該反覆迴圈處理過程中同時共最佳化。 A system for modifying a reticle design, comprising: a memory storage device; a hardware processor connected to the memory storage device, configured to perform: performing a first lithography process model simulation, resulting in a first process window Generating a line or space feature of the mask; performing a second etching process model simulation, resulting in generating a line or space feature of the mask in the second process window; determining to perform each of the first process model simulation and the second process model simulation Whether the resulting line feature or spatial feature conforms to the individual line specification and spatial feature specification; and the modification of the mask or mask segment design in a single iteration of the repeated loop program, such that the simulated line feature or the simulated space Characterizing within each of the respective minimum critical dimension (CD) specifications; and resulting in a common process window (PW) optimized between lithography and etching, wherein the lithography and etching processes are tied to the repeating loop The process is optimized at the same time. 如申請專利範圍第9項所述之系統,其中,第一與第二製程模型兩者是在各迭代中同時執行,導致最佳化的遮罩尺寸解決方案,避免各模型獨立失效。 The system of claim 9, wherein the first and second process models are performed simultaneously in each iteration, resulting in an optimized mask size solution that avoids independent failure of the models. 如申請專利範圍第9項所述之系統,其中該硬體處理器 係進一步組配成用來:指定確保微影CD特徵印刷成功之最小微影關鍵尺寸(CD);指定確保蝕刻CD特徵印刷成功之最小蝕刻CD,以及模擬將各單一反覆迴圈裡之該第一微影製程模型與該第二蝕刻製程模型用於判定具有符合各個各別最小微影CD與最小蝕刻CD規格之特徵的遮罩設計。 The system of claim 9, wherein the hardware processor Further configured to: specify the minimum lithographic critical dimension (CD) to ensure successful lithographic CD feature printing; specify the minimum etched CD to ensure successful etched CD feature printing, and simulate the same in each single repeat loop A lithography process model and the second etch process model are used to determine a mask design having features that conform to each of the respective minimum lithography CD and minimum etched CD specifications. 如申請專利範圍第11項所述之系統,其中,該硬體處理器係進一步組配成:在第一微影CD製程窗口與第二蝕刻製程窗口之間套用權重以加速收斂,導致同時符合該等最小微影CD與最小蝕刻CD規格的最佳化遮罩尺寸解決方案。 The system of claim 11, wherein the hardware processor is further configured to apply a weight between the first lithography CD process window and the second etch process window to accelerate convergence, resulting in simultaneous compliance Optimized mask size solutions for these minimum lithography CDs with minimal etched CD specifications. 如申請專利範圍第11項所述之系統,其中,共最佳化微影製程與蝕刻製程之該公用製程窗口(PW)提供經最佳化用於包括後蝕刻CD之後微影關鍵尺寸CD的居中範圍。 The system of claim 11, wherein the common process window (PW) of the co-optimized lithography process and the etch process is optimized for use in lithographic critical dimension CDs including post-etching CDs. Centered range. 如申請專利範圍第11項所述之系統,其中,該硬體處理器係進一步組配成:在該反覆處理迴圈中產生OPC碼,以及使用相同處理迴圈裡之計算來調整該第一微影製程模型及該第二蝕刻製程模型兩者以設定該最小微影CD規格的值及該最小蝕刻CD規格的值。 The system of claim 11, wherein the hardware processor is further configured to: generate an OPC code in the repeated processing loop, and adjust the first using a calculation in the same processing loop Both the lithography process model and the second etch process model set a value of the minimum lithography CD specification and a value of the minimum etched CD specification. 如申請專利範圍第14項所述之系統,其中,為了要調 整,該硬體處理器係進一步組配成:指明該遮罩設計之遮罩片段是否需要依正或負方向修改;以及使用該單一迭代裡之計算,基於導致不在該最小微影CD規格或最小蝕刻CD規格內之微影或蝕刻CD特徵的模擬來設定遮罩片段設計移動。 For example, the system described in claim 14 of the patent scope, in which The hardware processor is further configured to: indicate whether the mask segment of the mask design needs to be modified in a positive or negative direction; and use the calculation in the single iteration based on causing the minimum lithography CD specification or The simulation of the lithographic or etched CD features within the minimum etched CD specification sets the mask segment design movement. 如申請專利範圍第9項所述之系統,其中,該硬體處理器係進一步組配成:執行光學成像模型以基於該遮罩設計產生光學參數;以及在該單一迭代中,使用各該第一微影製程模型模擬中之該等光學影像參數並且使用該第二蝕刻製程模型模擬中之該等光學影像參數作為3D阻劑外形之代理。 The system of claim 9, wherein the hardware processor is further configured to: perform an optical imaging model to generate optical parameters based on the mask design; and in the single iteration, use each of the The optical image parameters in a lithography process model simulation and the use of the optical image parameters in the second etch process model simulation as a proxy for the 3D resist profile. 一種用於模擬蝕刻製程之方法,其包含:評估光學成像模型以基於遮罩設計產生光學參數;在蝕刻製程模型中輸入該等光學參數,以及使用該蝕刻製程模型來模擬蝕刻製程,其中產生的是已蝕刻圖案之有效率且準確的模擬。 A method for simulating an etch process, comprising: evaluating an optical imaging model to generate optical parameters based on a mask design; inputting the optical parameters in an etch process model, and using the etch process model to simulate an etch process, wherein It is an efficient and accurate simulation of the etched pattern. 一種用於蝕刻製程模型之校準方法,其包含:獲得因三維光阻外形之變異而顧及已蝕刻圖案之差異的蝕刻製程模型形式,該模型形式包括與光學影像直接有關之光學參數並且具有一或多個校準係數;獲得一或數個已蝕刻圖案之一或多個CD測量結 果,以及將該蝕刻製程模型形式之該一或多個該等校準係數擬合至一或多個CD測量結果。 A calibration method for an etch process model, comprising: obtaining an etch process model form that takes into account a difference in an etched pattern due to variations in a three-dimensional photoresist profile, the model form including optical parameters directly related to the optical image and having one or Multiple calibration coefficients; one or more etched patterns to obtain one or more CD measurement junctions And fitting the one or more of the calibration coefficients in the form of the etch process model to one or more CD measurements. 如申請專利範圍第18項所述之校準方法,其中,該等光學參數包括光學強度、影像密度、該強度之斜率、最小或最大強度的測量結果。 The calibration method of claim 18, wherein the optical parameters include optical intensity, image density, slope of the intensity, and measurement of minimum or maximum intensity. 一種用於模擬蝕刻製程之系統,其包含:記憶體儲存裝置;與該記憶體儲存裝置連通之硬體處理器,其係組配成:評估光學成像模型以基於遮罩設計產生光學參數;以及在蝕刻製程模型中輸入該等光學參數,以及使用該蝕刻製程模型來模擬蝕刻製程。 A system for simulating an etching process, comprising: a memory storage device; a hardware processor coupled to the memory storage device, configured to: evaluate an optical imaging model to generate optical parameters based on a mask design; The optical parameters are entered in an etch process model and the etch process model is used to simulate the etch process. 一種用於校準蝕刻製程之系統,其包含:記憶體儲存裝置;與該記憶體儲存裝置連通之硬體處理器,其係組配成:獲得因三維光阻外形之變異而顧及已蝕刻圖案之差異的蝕刻製程模型形式,該模型形式包括與光學影像直接有關之光學參數並且具有一或多個校準係數;獲得一或數個已蝕刻圖案之一或多個CD測量結果,以及 將該蝕刻製程模型形式之該一或多個該等校準係數擬合至一或多個CD測量結果。 A system for calibrating an etching process, comprising: a memory storage device; a hardware processor connected to the memory storage device, configured to: obtain an etched pattern due to variation of a three-dimensional photoresist shape a different form of etching process model comprising optical parameters directly related to the optical image and having one or more calibration coefficients; obtaining one or more CD measurements of one or more etched patterns, and The one or more of the calibration coefficients in the form of the etch process model are fitted to one or more CD measurements.
TW105120900A 2016-07-01 2016-07-01 Method of simultaneous lithography and etch correction flow TWI631415B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW105120900A TWI631415B (en) 2016-07-01 2016-07-01 Method of simultaneous lithography and etch correction flow

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW105120900A TWI631415B (en) 2016-07-01 2016-07-01 Method of simultaneous lithography and etch correction flow

Publications (2)

Publication Number Publication Date
TW201802574A true TW201802574A (en) 2018-01-16
TWI631415B TWI631415B (en) 2018-08-01

Family

ID=61725142

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105120900A TWI631415B (en) 2016-07-01 2016-07-01 Method of simultaneous lithography and etch correction flow

Country Status (1)

Country Link
TW (1) TWI631415B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1920369A2 (en) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US8102408B2 (en) * 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
KR101928938B1 (en) * 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. Model-based process simulation systems and methods
US8359562B2 (en) * 2011-01-11 2013-01-22 Infineon Technologies Ag System and method for semiconductor device fabrication using modeling
NL2008966A (en) * 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features

Also Published As

Publication number Publication date
TWI631415B (en) 2018-08-01

Similar Documents

Publication Publication Date Title
CN107003603B (en) Method for simultaneous photolithography and etching correction process
JP4954211B2 (en) System and method for performing mask verification using an individual mask error model
JP5414455B2 (en) Pattern selection for lithography model calibration
JP4131880B2 (en) Mask data creation method and mask data creation apparatus
JP5204753B2 (en) Computer-implemented method, carrier media, and system for generating metrological target structure designs for reticle layout
TWI443448B (en) Mask design and opc for device manufacture
EP1424595B1 (en) Automatic calibration of a masking process simulator
TWI474204B (en) Method and system for performing lithography verification for a double-patterning process
US7426712B2 (en) Lithography simulation method and recording medium
US11080458B2 (en) Lithography simulation method
TWI495961B (en) A lithography model for 3d topographic wafers
JP2021504743A (en) Methods for certifying masks for microlithography
JP5395340B2 (en) Process model creation method, process model creation program, and pattern correction method
US8443309B2 (en) Multifeature test pattern for optical proximity correction model verification
JP2004302263A (en) Method for correcting mask pattern and photomask
TWI631415B (en) Method of simultaneous lithography and etch correction flow
CN116449657A (en) Method, apparatus and medium for layout marking
JP2019009445A (en) Calibration of elementary small pattern in variable-shaped-beam electron-beam lithography
KR102094019B1 (en) Method and apparatus for analysis of processing of a semiconductor wafer
US8146022B2 (en) Mask pattern data generation method, mask manufacturing method, semiconductor device manufacturing method, and pattern data generation program
JP7177183B2 (en) Determining key relationships between parameters that describe device behavior
US20220067260A1 (en) Method and System for Calculating Printed Area Metric Indicative of Stochastic Variations of the Lithographic Process
US20180342429A1 (en) Method for assessing the usability of an exposed and developed semiconductor wafer
JP4343245B2 (en) PATTERN DATA GENERATION METHOD, PATTERN DATA GENERATION DEVICE, AND RECORDING MEDIUM CONTAINING PATTERN DATA GENERATION PROGRAM
CN115453829A (en) Method for detecting and verifying optical auxiliary grating optical imaging

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees