TW201735303A - Techniques for forming electrically conductive features with improved alignment and capacitance reduction - Google Patents

Techniques for forming electrically conductive features with improved alignment and capacitance reduction Download PDF

Info

Publication number
TW201735303A
TW201735303A TW105138462A TW105138462A TW201735303A TW 201735303 A TW201735303 A TW 201735303A TW 105138462 A TW105138462 A TW 105138462A TW 105138462 A TW105138462 A TW 105138462A TW 201735303 A TW201735303 A TW 201735303A
Authority
TW
Taiwan
Prior art keywords
hard mask
conductive features
conductive
features
barrier layer
Prior art date
Application number
TW105138462A
Other languages
Chinese (zh)
Inventor
啟文 林
曼尼許 錢霍克
理查 史肯克
傑福瑞 畢勒佛
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201735303A publication Critical patent/TW201735303A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Techniques are disclosed for forming electrically conductive features with improved alignment and capacitance reduction. In accordance with some embodiments, individual conductive features may be formed over a semiconductor substrate by a subtractive process (e.g., subtractive patterning). For a given feature, first and second barrier layers (conformal or otherwise) may be disposed along sidewalls thereof, and a helmet-like hardmask body may be disposed over a top surface thereof. Additional conductive features can be formed between existing features, using the barrier layers as alignment spacers, thereby halving (or otherwise reducing) feature pitch. A layer of another hardmask material may be disposed over the additionally formed features. That layer and the helmet-like hardmask bodies may be of different material composition, providing for etch selectivity with respect to one another. Additional layer(s) can be formed over the resultant topography, exploiting the hardmask etch selectivity in forming interconnects for adjacent integrated circuit layers.

Description

用於形成具有改善的調正及電容降低之導電特徵的技術 Technique for forming conductive features with improved alignment and reduced capacitance

本發明係有關用於形成具有改善的調正及電容降低之導電特徵的技術。 The present invention relates to techniques for forming conductive features with improved alignment and capacitance reduction.

於積體電路之製造中,互連可使用銅為基的金屬鑲嵌製程而被形成於半導體基底之上。此一製程通常開始以一特徵,諸如溝槽或穿孔,其被蝕刻入絕緣體層並填充銅,導致銅線或穿體通孔(TBV)。絕緣體材料之額外層及銅填充特徵可被加入,導致多層積體電路。利用適當調正,相鄰積體電路層可藉由此等互連特徵而被電連接。 In the fabrication of integrated circuits, the interconnects can be formed over a semiconductor substrate using a copper-based damascene process. This process typically begins with a feature, such as a trench or via, that is etched into the insulator layer and filled with copper, resulting in a copper wire or through via (TBV). Additional layers of insulator material and copper fill features can be added, resulting in a multilayer integrated circuit. With proper alignment, adjacent integrated circuit layers can be electrically connected by such interconnect features.

100,101,102,104,105‧‧‧積體電路(IC) 100,101,102,104,105‧‧‧Integrated Circuit (IC)

102‧‧‧半導體基底 102‧‧‧Semiconductor substrate

104‧‧‧電介質層 104‧‧‧ dielectric layer

104a‧‧‧特徵 104a‧‧‧Characteristics

106‧‧‧導電特徵 106‧‧‧Electrical characteristics

106a‧‧‧導電特徵 106a‧‧‧Electrical characteristics

106b‧‧‧導電特徵 106b‧‧‧Electrical characteristics

108‧‧‧障壁層 108‧‧‧Baffle layer

110‧‧‧硬遮罩層 110‧‧‧hard mask layer

112‧‧‧障壁層 112‧‧‧Baffle layer

114a‧‧‧特徵 114a‧‧‧Characteristics

114b‧‧‧特徵 114b‧‧‧Characteristics

116‧‧‧硬遮罩層 116‧‧‧hard mask layer

118‧‧‧電介質層 118‧‧‧ dielectric layer

118a‧‧‧特徵 118a‧‧‧Characteristics

118b‧‧‧特徵 118b‧‧‧Characteristics

1000‧‧‧計算系統 1000‧‧‧Computation System

1002‧‧‧主機板 1002‧‧‧ motherboard

1004‧‧‧處理器 1004‧‧‧ processor

1006‧‧‧通訊晶片 1006‧‧‧Communication chip

圖1-6為製造依據本發明之實施例的積體電路(IC)之製程流程。 1-6 are process flows for fabricating an integrated circuit (IC) in accordance with an embodiment of the present invention.

圖7闡明依據本發明之另一實施例而組態的IC之橫 斷面視圖。 Figure 7 illustrates the cross-section of an IC configured in accordance with another embodiment of the present invention. Section view.

圖8闡明依據本發明之另一實施例而組態的IC之橫斷面視圖。 Figure 8 illustrates a cross-sectional view of an IC configured in accordance with another embodiment of the present invention.

圖9闡明IC的橫斷面視圖,在形成硬遮罩層之後,依據本發明之實施例。 Figure 9 illustrates a cross-sectional view of the IC, after forming a hard mask layer, in accordance with an embodiment of the present invention.

圖10闡明依據本發明之另一實施例而組態的IC之橫斷面視圖。 Figure 10 illustrates a cross-sectional view of an IC configured in accordance with another embodiment of the present invention.

圖11闡明依據本發明之另一實施例而組態的IC之橫斷面視圖。 Figure 11 illustrates a cross-sectional view of an IC configured in accordance with another embodiment of the present invention.

圖11'闡明依據本發明之另一實施例而組態的IC之橫斷面視圖。 Figure 11' illustrates a cross-sectional view of an IC configured in accordance with another embodiment of the present invention.

圖12-17,配合圖1-2,闡明製造依據本發明之另一實施例的IC之製程流程。 12-17, in conjunction with FIGS. 1-2, illustrate a process flow for fabricating an IC in accordance with another embodiment of the present invention.

圖18闡明依據本發明之另一實施例而組態的IC之橫斷面視圖。 Figure 18 illustrates a cross-sectional view of an IC configured in accordance with another embodiment of the present invention.

圖19闡明依據本發明之另一實施例而組態的IC之橫斷面視圖。 Figure 19 illustrates a cross-sectional view of an IC configured in accordance with another embodiment of the present invention.

圖20-28闡明製造依據本發明之另一實施例的IC之製程流程。 20-28 illustrate a process flow for fabricating an IC in accordance with another embodiment of the present invention.

圖29闡明一種以積體電路結構或裝置所實施的計算系統,該些結構或裝置係使用依據一範例實施例之揭露技術來形成。 29 illustrates a computing system implemented in an integrated circuit structure or device that is formed using the disclosed techniques in accordance with an exemplary embodiment.

本實施例之這些或其他特徵將藉由閱讀以下詳細描述(配合文中所述之圖形)而被更佳地瞭解。於圖形中,於各 個圖形中所顯示之各相同或幾乎相同的組件可由類似的數字代表。為了簡潔之目的,並非每一組件可被標示於每一圖形中。再者,如所將理解者,圖形不一定依比例而繪製或用來限制所描述的實施例於所顯示的特定組態。例如,雖然某些圖形一般性地指示直線、直角、及平滑表面,但本技術之實際實施方式可具有較不完美的直線及直角,且某些特徵可具有表面形貌或者另為製造程序之非平滑的、給定的真實世界限制。簡言之,圖形僅被提供以顯示範例結構。 These and other features of this embodiment will be better understood by reading the following detailed description (in conjunction with the figures described herein). In the graphics, in each The same or nearly identical components shown in the figures may be represented by similar numbers. For the sake of brevity, not every component can be labeled in every figure. Further, as will be understood, the figures are not necessarily drawn to scale or are used to limit the particular embodiments shown. For example, while certain graphics generally indicate straight lines, right angles, and smooth surfaces, practical implementations of the present technology may have less perfect straight and right angles, and certain features may have surface topography or otherwise be fabricated. Non-smooth, given real world limits. In short, graphics are only provided to show the example structure.

【發明內容及實施方式】 SUMMARY OF THE INVENTION AND EMBODIMENT

揭露用於形成具有改善的調正及電容降低之導電特徵的技術。該些技術可被實施以金屬鑲嵌製程或消去製程。更詳細地,且依據某些實施例,複數導電特徵可藉由金屬鑲嵌製程而被形成於半導體基底之上,其中該些個別特徵被直接地形成於電介質層(其被接著凹陷)內。於其他實施例中,複數導電特徵可藉由消去圖案化製程而被形成於半導體基底之上,其中導電材料層被圖案化入個別特徵中。於任一情況下,針對既定特徵,第一及第二障壁層(其可為共形的或其他的)可被配置沿著其側壁,而頭盔狀(或者帽子狀)硬遮罩體可被配置於其頂部表面之上。依據某些實施例,額外導電特徵可被形成於現存特徵之間,使用障壁層為調正間隔物。以此方式,則配置於基底之上的特徵之節距可被減半(或者降低)。於某些情況下,另一硬遮罩 材料之層可被配置於額外形成的特徵之上。依據某些實施例,第二硬遮罩層及頭盔狀硬遮罩體可有不同的材料組成,以致其展現相對於彼此的蝕刻選擇性。額外層可被形成於所得的形貌之上,利用硬遮罩材料之蝕刻選擇性於形成針對相鄰積體電路層之互連,如針對既定目標應用或終端使用所欲者。各種組態及變異將根據此說明書而清楚明白。 Techniques for forming conductive features with improved alignment and capacitance reduction are disclosed. These techniques can be implemented with a damascene process or a erase process. In more detail, and in accordance with certain embodiments, a plurality of conductive features can be formed over the semiconductor substrate by a damascene process, wherein the individual features are formed directly within the dielectric layer (which is then recessed). In other embodiments, the plurality of conductive features can be formed over the semiconductor substrate by eliminating the patterning process, wherein the layer of conductive material is patterned into the individual features. In either case, for a given feature, the first and second barrier layers (which may be conformal or otherwise) may be configured along their sidewalls, while the helmet-like (or hat-like) hard mask may be Configured on top of its top surface. According to certain embodiments, additional conductive features may be formed between existing features, using a barrier layer as a trim spacer. In this way, the pitch of the features disposed over the substrate can be halved (or reduced). In some cases, another hard mask Layers of material can be placed over the additionally formed features. According to certain embodiments, the second hard mask layer and the helmet-like hard mask body may have different material compositions such that they exhibit etch selectivity with respect to each other. Additional layers can be formed over the resulting topography, using etching of the hard mask material to selectively form interconnections for adjacent integrated circuit layers, such as for a given target application or terminal use. Various configurations and variations will be apparent from this description.

一般性概述 General overview

用以處理短路容限及電容之現存方式係遭受關於降低缺陷、保存圖案保真度、及最小化蝕刻期間對於金屬結構之損害等等挑戰。隨著裝置尺寸持續縮小,互連特徵變得更窄且更緊密地形成在一起,其惡化了這些和其他重要問題。 Existing methods for handling short-circuit tolerances and capacitance suffer from challenges such as reducing defects, preserving pattern fidelity, and minimizing damage to metal structures during etching. As device sizes continue to shrink, interconnect features become narrower and more closely formed, which exacerbates these and other important issues.

因此,且依據本發明之某些實施例,揭露用於形成具有改善的調正及電容降低之導電特徵的技術。依據某些實施例,複數導電特徵可藉由以下之任一製程而被形成於半導體基底之上:金屬鑲嵌製程,其中個別特徵被直接地形成於其被接著凹陷的電介質層內;或消去圖案化製程,其中導電材料層被圖案化入個別特徵。於任一情況下,針對既定特徵,第一及第二障壁層(其可為共形的或其他的)可被配置沿著其側壁,而頭盔狀或者帽子狀硬遮罩體可被配置於其頂部表面之上。依據某些實施例,額外導電特徵可被形成於現存特徵之間,使用障壁層為調正間隔物。以此 方式,則配置於基底之上的特徵之節距可被減半(或者降低)。於某些情況下,另一硬遮罩材料之層可被配置於額外形成的特徵之上。依據某些實施例,第二硬遮罩層及頭盔狀硬遮罩體可有不同的材料組成,以致其展現相對於彼此的蝕刻選擇性。額外層可被形成於所得的形貌之上,利用硬遮罩材料之蝕刻選擇性於形成針對相鄰積體電路層之互連,如針對既定目標應用或終端使用所欲者。 Thus, and in accordance with certain embodiments of the present invention, techniques for forming conductive features with improved alignment and capacitance reduction are disclosed. In accordance with certain embodiments, the plurality of conductive features can be formed over the semiconductor substrate by any of the following: a damascene process in which individual features are formed directly into the dielectric layer that is subsequently recessed; or the pattern is eliminated A process in which a layer of conductive material is patterned into individual features. In either case, for a given feature, the first and second barrier layers (which may be conformal or otherwise) may be disposed along their sidewalls, and the helmet-like or hat-like hard mask may be configured Above its top surface. According to certain embodiments, additional conductive features may be formed between existing features, using a barrier layer as a trim spacer. With this In this way, the pitch of the features placed on the substrate can be halved (or reduced). In some cases, another layer of hard mask material can be disposed over the additionally formed features. According to certain embodiments, the second hard mask layer and the helmet-like hard mask body may have different material compositions such that they exhibit etch selectivity with respect to each other. Additional layers can be formed over the resulting topography, using etching of the hard mask material to selectively form interconnections for adjacent integrated circuit layers, such as for a given target application or terminal use.

依據某些實施例,所揭露的技術可被使用(例如)於一次地形成第一複數(例如,第一半或其他子集)導電特徵,並接著一次地形成第二複數(例如,第二半或其他子集)導電特徵。介於圖案化該些個別複數之間,文中所述之間隔物和硬遮罩沈積製程可被利用以提供具有高蝕刻選擇性、優先調正(或兩者)之特徵的架構。如根據本說明書所將被理解:所揭露之技術可針對任何寬廣範圍的導電特徵組態而被利用,包括(例如)互連、溝槽、通孔、及插塞切割,僅舉一些例子。 In accordance with certain embodiments, the disclosed techniques can be used, for example, to form a first complex number (eg, a first half or other subset) of conductive features at a time, and then to form a second complex number (eg, second) Semi or other subset) conductive features. Between the patterning of the individual complex numbers, the spacer and hard mask deposition processes described herein can be utilized to provide an architecture with high etch selectivity, preferential alignment (or both) characteristics. As will be understood in light of this disclosure, the disclosed techniques can be utilized for any broad range of conductive feature configurations, including, for example, interconnects, trenches, vias, and plug cuts, to name a few.

於某些情況下,所揭露之技術可提供改善的圖案保真度,其可藉由降低短路至錯誤的導線之風險而導致改善的短路容限。於某些情況下,圖案化交替的導電特徵(例如,以2x之節距而非x之節距)如文中所述,可減少短路至錯誤的導電特徵之風險。再者,於某些例子中,將如文中所述而組態的硬遮罩層保留於導電特徵的頂部表面之上可用以增加針對上覆層之導電特徵(例如,上方層中之通孔或其他互連)的短路容限。於某些情況下,所揭露之技 術可被利用(例如)於以具有改善的蝕刻布局誤差(EPE)之緊密節距來圖案化互連。於某些情況下,所揭露之技術可用以降低針對金屬(或其他導電材料)沈積之高寬比,因為額外的硬遮罩可被圖案化在第一組導電特徵之後。於某些情況下,所揭露之技術可提供於交錯導電特徵之高度(例如,交替線或溝槽),其可用以降低主機IC之電容,如相較於傳統架構。 In some cases, the disclosed techniques can provide improved pattern fidelity that can result in improved short circuit tolerance by reducing the risk of shorting to the wrong conductor. In some cases, patterning alternating conductive features (eg, pitches of 2 x instead of x ), as described herein, may reduce the risk of shorting to erroneous conductive features. Moreover, in some examples, a hard mask layer configured as described herein may be retained over the top surface of the conductive features to increase conductive features for the overlying layer (eg, vias in the upper layer) Short circuit tolerance for or other interconnects. In some cases, the disclosed techniques can be utilized, for example, to pattern interconnects with a tight pitch with improved etch layout error (EPE). In some cases, the disclosed techniques can be used to reduce the aspect ratio for metal (or other conductive material) deposition because additional hard masks can be patterned after the first set of conductive features. In some cases, the disclosed techniques can be provided at the height of staggered conductive features (eg, alternating lines or trenches) that can be used to reduce the capacitance of the host IC, as compared to conventional architectures.

依據某些實施例,所揭露之技術的使用可(例如)藉由以下之任一者(或組合)而被檢測:掃描電子顯微鏡(SEM)、穿透電子顯微鏡(TEM)、或者既定積體電路之其他適當檢驗或具有以下之任一者(或組合)的其他半導體結構:(1)多數間隔物材料之存在,其間隔物可被垂直地及/或水平地定向,於最終互連堆疊中;及(2)不同高度之交替導電特徵(例如,線或溝槽)。 According to certain embodiments, the use of the disclosed techniques can be detected, for example, by any of the following (or combinations): scanning electron microscopy (SEM), transmission electron microscopy (TEM), or established integrators Other suitable inspections of the circuit or other semiconductor structures having any of the following (or combinations): (1) the presence of a plurality of spacer materials whose spacers may be oriented vertically and/or horizontally, in the final interconnect stack And (2) alternating conductive features of different heights (eg, lines or trenches).

金屬鑲嵌技術及結構 Metal mosaic technology and structure

圖1-6闡明製造依據本發明之實施例的積體電路(IC)100之製程流程。此製程可開始如圖1,其闡明一依據本發明之實施例而組態的IC 100之橫斷面視圖。如圖所示,IC 100包括半導體基底102,其可具有寬廣範圍的組態之任一者。例如,半導體基底102可組態成大塊半導體基底、絕緣體上半導體(XOI,其中X代表半導體材料)結構(諸如矽絕緣體(SOI))、半導體晶圓、及多層結構之任一者(或組合)。依據某些實施例,半導體基底102可被形 成自諸如矽(Si)、鍺(Ge)、及矽鍺(SiGe)等等半導體材料之任一者(或組合)。於某些情況下,半導體基底102可包括配置於其中之一或更多導電特徵(例如,互連)。應注意:基底102完全無須被形成自半導體,於某些實施例中。用於半導體基底102之其他適當材料及組態將取決於既定應用且將根據本說明書而清楚明白。 1-6 illustrate a process flow for fabricating an integrated circuit (IC) 100 in accordance with an embodiment of the present invention. This process can begin as in Figure 1, which illustrates a cross-sectional view of an IC 100 configured in accordance with an embodiment of the present invention. As shown, the IC 100 includes a semiconductor substrate 102 that can have any of a wide range of configurations. For example, the semiconductor substrate 102 can be configured as a bulk semiconductor substrate, a semiconductor-on-insulator (XOI, where X represents a semiconductor material) structure (such as a germanium insulator (SOI)), a semiconductor wafer, and a multilayer structure (or combination) ). According to some embodiments, the semiconductor substrate 102 can be shaped Any one (or combination) of semiconductor materials such as germanium (Si), germanium (Ge), and germanium (SiGe). In some cases, semiconductor substrate 102 can include one or more conductive features (eg, interconnects) disposed therein. It should be noted that the substrate 102 does not have to be formed from a semiconductor at all, in certain embodiments. Other suitable materials and configurations for the semiconductor substrate 102 will depend on the intended application and will be apparent from this disclosure.

IC 100亦包括配置於半導體基底102之上的電介質層104。電介質層104可被形成自廣泛範圍的電介質材料之任一者。例如,於某些實施例中,電介質層104可被形成自氧化物或摻碳(C)氧化物,諸如氧化矽(SiO2)、氧化鋁(Al2O3)、氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鉭(Ta2O5)、氧化鈦(TiO2)、或氧化鑭(La2O3),等等。於某些實施例中,電介質層104可被形成自氮化物,諸如氮化矽(Si3N4)、或氧氮化物,諸如氧氮化矽(SiON)、碳化物,諸如碳化矽(SiC)、或氧碳氮化物,諸如氧碳氮化矽(SiOCN)。於某些實施例中,電介質層104可被形成自任何前述材料之組合。於某些實施例中,電介質層104可為同質電介質結構(例如,僅包含單一電介質材料);而於其他實施例中,電介質層102可為異質電介質結構(例如,包含不同電介質材料組成之部分)。於某些情況下,電介質層104可組態成(至少部分地)作用為IC 100之層間電介質(ILD)。於某些例子中,電介質層104可組態成提供IC 100之淺溝槽隔離(STI)。 The IC 100 also includes a dielectric layer 104 disposed over the semiconductor substrate 102. Dielectric layer 104 can be formed from any of a wide range of dielectric materials. For example, in some embodiments, dielectric layer 104 can be formed from an oxide or a carbon-doped (C) oxide such as yttrium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ). Zirconium oxide (ZrO 2 ), lanthanum oxide (Ta 2 O 5 ), titanium oxide (TiO 2 ), or lanthanum oxide (La 2 O 3 ), and the like. In some embodiments, the dielectric layer 104 can be formed from a nitride such as tantalum nitride (Si 3 N 4 ), or an oxynitride such as yttrium oxynitride (SiON), a carbide such as tantalum carbide (SiC). Or an oxycarbonitride such as bismuth oxycarbonitride (SiOCN). In some embodiments, dielectric layer 104 can be formed from any combination of the foregoing. In some embodiments, the dielectric layer 104 can be a homogeneous dielectric structure (eg, comprising only a single dielectric material); while in other embodiments, the dielectric layer 102 can be a heterogeneous dielectric structure (eg, comprising portions of different dielectric materials) ). In some cases, dielectric layer 104 can be configured to (at least partially) function as an interlayer dielectric (ILD) of IC 100. In some examples, dielectric layer 104 can be configured to provide shallow trench isolation (STI) of IC 100.

電介質層104可經由任何適當的標準、習慣、或專屬 技術而被形成於半導體基底102之上,如根據此說明書所將清楚明白者。依據某些實施例,電介質層104可經由物理氣相沈積(PVD)製程(諸如濺射沈積)、旋塗式沈積(SOD)製程、及化學氣相沈積(CVD)製程(諸如電漿加強CVD(PECVD))之任一者(或組合)而被形成。電介質層104之尺寸可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,電介質層104可具有厚度於約50-150nm(例如,約50-100nm、約100-150nm、或約50-150nm之範圍內的任何其他子範圍)之範圍內。用於電介質層104之其他適當材料、形成技術、及組態將取決於既定應用且將根據本說明書而清楚明白。 Dielectric layer 104 can be via any suitable standard, custom, or proprietary Techniques are formed over the semiconductor substrate 102 as will be apparent from this description. According to certain embodiments, the dielectric layer 104 may be via a physical vapor deposition (PVD) process (such as sputter deposition), a spin-on deposition (SOD) process, and a chemical vapor deposition (CVD) process (such as plasma enhanced CVD). (PECVD)) is formed by any one (or combination). The dimensions of the dielectric layer 104 can be customized, such as for a given target application or terminal use. In some cases, dielectric layer 104 can have a thickness in the range of about 50-150 nm (eg, any other sub-range in the range of about 50-100 nm, about 100-150 nm, or about 50-150 nm). Other suitable materials, forming techniques, and configurations for the dielectric layer 104 will depend on the intended application and will be apparent from the description.

依據某些實施例,電介質層104可被圖案化以一或更多特徵104a,其可為寬廣範圍的組態之任一者。例如,於某些情況下,既定特徵104a可為溝槽(單金屬鑲嵌或雙金屬鑲嵌)、插塞切割、或其他開口或凹陷,其僅延伸通過電介質層104之整個厚度的部分(例如,以致其著陸於下方半導體基底102之上方(而非於下方半導體基底102上))。於其他情況下,既定特徵104a可為穿孔或者其他開口或凹陷,其延伸通過電介質層104之整個厚度(例如,以致其著陸於下方半導體基底102上)。既定特徵104a可經由任何適當的標準、習慣、或專屬微影和蝕刻技術而被形成,如根據此說明書所將清楚明白者。依據某些實施例,既定特徵104a可經由蝕刻並清潔製程而被形成,該蝕刻並清潔製程可涉及濕式蝕刻或乾式蝕刻(或兩者),其 蝕刻化學物可(至少部分地)根據電介質層104及半導體基底102之材料組成而被客製化。既定特徵104a之尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵104a可具有實質上垂直的側壁(例如,於垂直地筆直之約2°內)。於其他例子中,既定特徵104a可具有錐形的側壁(例如,於垂直地筆直之約2°以外)。相鄰特徵104a之節距或其他間隔可被客製化。如圖所示,特徵104a可被圖案化(例如)以2x之節距,依據某些實施例。用於特徵104a之其他適當組態及形成將取決於既定應用且將根據本說明書而清楚明白。 In accordance with certain embodiments, dielectric layer 104 can be patterned with one or more features 104a, which can be any of a wide range of configurations. For example, in some cases, the predetermined feature 104a can be a trench (single damascene or dual damascene), plug cut, or other opening or recess that extends only through portions of the entire thickness of the dielectric layer 104 (eg, It is thus landed above the lower semiconductor substrate 102 (instead of the underlying semiconductor substrate 102)). In other cases, the predetermined features 104a can be perforations or other openings or depressions that extend through the entire thickness of the dielectric layer 104 (eg, such that they land on the underlying semiconductor substrate 102). The established features 104a can be formed via any suitable standard, custom, or proprietary lithography and etching techniques, as will be apparent from this disclosure. According to certain embodiments, the predetermined features 104a may be formed via an etch and clean process, which may involve wet etching or dry etching (or both), the etch chemistry of which may be (at least in part) dependent on the dielectric The material composition of layer 104 and semiconductor substrate 102 is customized. The size and geometry of the established feature 104a can be customized, such as for a given target application or terminal use. In some cases, the predetermined feature 104a can have substantially vertical sidewalls (eg, within about 2° of vertical straightness). In other examples, the predetermined feature 104a can have a tapered sidewall (eg, about 2° perpendicular to the vertical). The pitch or other spacing of adjacent features 104a can be customized. As shown, features 104a can be patterned, for example, at a pitch of 2 x , in accordance with certain embodiments. Other suitable configurations and configurations for feature 104a will depend on the intended application and will be apparent from the description.

依據某些實施例,導電特徵106可被配置於電介質層104之既定特徵104a內。於某些情況下,既定導電特徵106可被形成於半導體基底102之上,以致其與半導體基底102之上表面接觸或者被配置於半導體基底102之上表面之上。於某些其他情況下,既定導電特徵106可被形成至少部分地於半導體基底102內,以致其至少部分地延伸於半導體基底102之上表面底下。於某些又其他情況下,既定導電特徵106可被形成於半導體基底102之上以及至少部分地於半導體基底102內兩者,以致其係至少部分地與半導體基底102之上表面接觸或者配置於半導體基底102之上表面之上且至少部分地延伸於半導體基底102之上表面底下。各種組態及變異將根據此說明書而清楚明白。 Conductive features 106 may be disposed within predetermined features 104a of dielectric layer 104, in accordance with certain embodiments. In some cases, a predetermined conductive feature 106 can be formed over the semiconductor substrate 102 such that it contacts the upper surface of the semiconductor substrate 102 or is disposed over the upper surface of the semiconductor substrate 102. In some other instances, the predetermined conductive features 106 can be formed at least partially within the semiconductor substrate 102 such that they extend at least partially under the upper surface of the semiconductor substrate 102. In some other instances, the predetermined conductive features 106 can be formed over the semiconductor substrate 102 and at least partially within the semiconductor substrate 102 such that they are at least partially in contact with or disposed on the upper surface of the semiconductor substrate 102. Above the upper surface of the semiconductor substrate 102 and at least partially extending under the upper surface of the semiconductor substrate 102. Various configurations and variations will be apparent from this description.

既定導電特徵106可被形成自廣泛範圍的導電材料之 任一者。例如,於某些實施例中,既定導電特徵106可被形成自諸如銅(Cu)、鋁(Al)、鎢(W)、鎳(Ni)、鈷(Co)、銀(Ag)、金(Au)、鈦(Ti)、及鉭(Ta)等等導電金屬之任一者(或組合)。既定導電特徵106可經由任何適當的標準、習慣、或專屬技術而被形成,如根據此說明書所將清楚明白者。依據某些實施例,既定導電特徵106可經由電鍍製程、無電沈積製程、原子層沈積(ALD)製程、PVD製程、及CVD製程等等之任一者(或組合)而被形成。 The predetermined conductive features 106 can be formed from a wide range of conductive materials. Either. For example, in some embodiments, the predetermined conductive features 106 can be formed from, for example, copper (Cu), aluminum (Al), tungsten (W), nickel (Ni), cobalt (Co), silver (Ag), gold ( Any one (or combination) of conductive metals such as Au), titanium (Ti), and tantalum (Ta). The predetermined conductive features 106 can be formed via any suitable standard, custom, or proprietary technique, as will be apparent from this disclosure. In accordance with certain embodiments, the predetermined conductive features 106 can be formed via any one (or combination) of electroplating processes, electroless deposition processes, atomic layer deposition (ALD) processes, PVD processes, and CVD processes, among others.

既定導電特徵106之尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者;而於某些情況下可至少部分地取決於既定主機特徵104a之尺寸及幾何。於某些情況下,既定導電特徵106可為一般矩形或方形橫斷面幾何。於某些其他情況下,既定導電特徵106可為一般梯形橫斷面幾何。於某些例子中,既定導電特徵106可具有一或更多曲線表面(頂部、側壁、或其他)。於某些例子中,既定導電特徵106可具有斜角的或錐形的側壁;而於某些其他例子中,既定導電特徵106可具有實質上筆直的、垂直的側壁。相鄰導電特徵106之節距(P1)或其他間隔可被客製化並可至少部分地取決於其他主機特徵104a之節距。用於導電特徵106之其他適當材料、形成技術、及組態將取決於既定應用且將根據本說明書而清楚明白。 The size and geometry of a given conductive feature 106 can be customized, such as for a given target application or terminal use; and in some cases can depend, at least in part, on the size and geometry of a given host feature 104a. In some cases, the predetermined conductive features 106 can be generally rectangular or square cross-sectional geometries. In some other cases, the predetermined conductive features 106 can be generally trapezoidal cross-sectional geometries. In some examples, a given conductive feature 106 can have one or more curved surfaces (top, sidewall, or other). In some examples, the predetermined conductive features 106 can have beveled or tapered sidewalls; while in some other examples, the predetermined conductive features 106 can have substantially straight, vertical sidewalls. The pitch (P 1 ) or other spacing of adjacent conductive features 106 can be customized and can depend, at least in part, on the pitch of other host features 104a. Other suitable materials, forming techniques, and configurations for the conductive features 106 will depend on the intended application and will be apparent from this disclosure.

此製程可繼續如圖2,其闡明在凹陷電介質層104後之圖1的IC 100之橫斷面視圖,依據本發明之實施例。電介質層104可經由任何適當的標準、習慣、或專屬技術 而被凹陷,如根據此說明書所將清楚明白者。於某些情況下,電介質層104之凹陷可經由等向蝕刻製程及各向異性蝕刻製程之任一者(或組合)而被履行。既定蝕刻製程可涉及濕式蝕刻或乾式蝕刻(或兩者),而由既定的應用蝕刻製程所利用的特定蝕刻化學物可被客製化,如針對既定目標應用或終端使用所欲者。於一範例情況中,空氣間隙蝕刻製程可被利用以凹陷電介質層104。電介質層104之凹陷的深度及程度可被控制以提供既定量的對稱/非對稱及等向/各向異性,如所欲。 This process can continue as shown in FIG. 2, which illustrates a cross-sectional view of the IC 100 of FIG. 1 after recessing the dielectric layer 104, in accordance with an embodiment of the present invention. Dielectric layer 104 can be via any suitable standard, custom, or proprietary technology It is recessed, as will be clear from this description. In some cases, the recess of the dielectric layer 104 can be performed via any one (or combination) of the isotropic etch process and the anisotropic etch process. A given etch process can involve wet etch or dry etch (or both), and the particular etch chemistry utilized by a given application etch process can be customized, such as for a given target application or end use. In an exemplary case, an air gap etch process can be utilized to recess dielectric layer 104. The depth and extent of the depressions of dielectric layer 104 can be controlled to provide both quantitative symmetry/asymmetry and isotropic/anisotropic, as desired.

注意:如一般於圖2中所示,至少一導電特徵106(例如,中間所示的導電特徵106)具有與其側壁接觸的電介質層104之電介質材料,而至少一其他導電特徵106(例如,最左邊及/或最右邊所示的導電特徵106)不具有與其側壁接觸的電介質層104之電介質材料。此可(至少於某些情況下)導因於任何兩個導電特徵106可被彼此地交錯於下方半導體基底102之上,依據某些實施例。考量圖1,其中至少一導電特徵106是在相對於半導體基底102之不同高度上,相較於至少一其他導電特徵106。依據某些實施例,電介質層104之凹陷可被最佳化(或者客製化),針對介於相鄰導電特徵106間之既定的交錯量。依據某些實施例,電介質層104可被凹陷(例如)直到達到既定導電特徵106之底部,諸如圖2中一般所示者。依據一實施例,電介質層104之凹陷可被履行以致其既定特徵104a著陸於溝槽停止上,其可改善蝕刻控制。至該端,於某些情況 下,電介質層104可被形成為多層結構(例如,雙層、三層、或其他數量的組分層),其中該些組分層有不同的材料組成,其中一者係組態成作用為蝕刻停止層。 Note that as generally shown in FIG. 2, at least one conductive feature 106 (eg, conductive feature 106 shown in the middle) has a dielectric material of dielectric layer 104 in contact with its sidewalls, and at least one other conductive feature 106 (eg, most The conductive features 106) shown on the left and/or rightmost sides do not have a dielectric material of the dielectric layer 104 in contact with their sidewalls. This may (at least in some cases) be caused by any two conductive features 106 that may be interleaved with each other over the underlying semiconductor substrate 102, in accordance with certain embodiments. Considering FIG. 1, at least one of the conductive features 106 is at a different height relative to the semiconductor substrate 102 than at least one other conductive feature 106. In accordance with certain embodiments, the recesses of the dielectric layer 104 can be optimized (or customized) for a given amount of interlacing between adjacent conductive features 106. According to certain embodiments, dielectric layer 104 may be recessed, for example, until the bottom of a given conductive feature 106 is reached, such as generally shown in FIG. According to an embodiment, the recess of the dielectric layer 104 can be implemented such that its predetermined feature 104a landed on the trench stop, which can improve the etch control. To this end, in some cases The dielectric layer 104 can be formed as a multilayer structure (eg, a double layer, a triple layer, or other number of component layers), wherein the component layers have different material compositions, one of which is configured to function as Etch stop layer.

此製程可繼續如圖3,其闡明在形成障壁層108後之圖2的IC 100之橫斷面視圖,依據本發明之實施例。障壁層108可組態(依據某些實施例)成(至少部分地)作用為IC 100(或其他主機IC)之間隔物層。至該端,障壁層108之材料組成可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,障壁層108可被形成自諸如(例如)氧化矽(SiO2)、氧化鋁(Al2O3)、及氧化鈦(TiO2)等等之任一者(或組合)。更一般性地,且依據某些實施例,障壁層108可被形成(部分地或整體地)自具有小於或等於約5.0之電介質常數(k)的任何適當的低k金屬氧化物。 This process can continue as shown in FIG. 3, which illustrates a cross-sectional view of the IC 100 of FIG. 2 after forming the barrier layer 108, in accordance with an embodiment of the present invention. The barrier layer 108 can be configured (according to certain embodiments) to be (at least partially) a spacer layer that functions as IC 100 (or other host IC). To this end, the material composition of the barrier layer 108 can be customized, such as for a given target application or terminal use. In some cases, the barrier layer 108 may be formed from any one (or combination) such as, for example, yttrium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), and titanium oxide (TiO 2 ). . More generally, and in accordance with certain embodiments, barrier layer 108 can be formed (partially or wholly) from any suitable low-k metal oxide having a dielectric constant (k) of less than or equal to about 5.0.

障壁層108可經由任何適當的標準、習慣、或專屬技術而被形成,如根據此說明書所將清楚明白者。依據某些實施例,障壁層108可經由化學氣相沈積(CVD)製程(諸如電漿加強CVD(PECVD)製程)、及原子層沈積(ALD)製程等等之任一者(或組合)而被形成。障壁層108之尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者;並可(至少部分地)取決於導電特徵106之節距P1及障壁層112之尺寸的至少一者(討論於下)。於某些情況下,障壁層108可具有厚度(例如)於約0.25-0.5乘以節距P1x(例如,約0.25-0.375乘以x、約0.375-0.5乘以x、或約0.25-0.5乘以x之範圍內的任何其他子範圍)之範圍內。於 某些例子中,障壁層108可具有實質上均勻的厚度於其由電介質層104及導電特徵106所提供的形貌之上;而於某些其他例子中,障壁層108可具有非均勻的或者變化的厚度於此形貌之上(例如,障壁層108之第一部分可具有第一範圍內之厚度,而其第二部分可具有第二、不同的範圍內之厚度)。於某些例子中,障壁層108可實質上共形於其下方形貌(例如,於電介質層104之上並延伸上側壁以及於導電特徵106的頂部表面之上)。用於障壁層108之其他適當材料、形成技術、及組態將取決於既定應用且將根據本說明書而清楚明白。 The barrier layer 108 can be formed via any suitable standard, custom, or proprietary technique, as will be apparent from this disclosure. According to certain embodiments, the barrier layer 108 may be via any one (or combination) of a chemical vapor deposition (CVD) process, such as a plasma enhanced CVD (PECVD) process, and an atomic layer deposition (ALD) process, and the like. Was formed. Size and geometry of the barrier layer 108 may be customized, as desired by the use for a given application or target terminal; and (at least partially) depends on the pitch of the conductive features 106 of barrier layer 1 and the size P of at least 112 One (discussed below). In some cases, barrier layer 108 may have a thickness (e.g.) at about 0.25 to 0.5 multiplied by the pitch P x 1 (e.g., about 0.25-0.375 multiplied by x, multiplied by about 0.375-0.5 x, or about 0.25 -0.5 is multiplied by any other subrange within the range of x ). In some examples, the barrier layer 108 can have a substantially uniform thickness over the topography provided by the dielectric layer 104 and the conductive features 106; while in some other examples, the barrier layer 108 can have a non-uniform Alternatively, the varying thickness is above the topography (eg, the first portion of the barrier layer 108 can have a thickness within the first range and the second portion can have a thickness within the second, different range). In some examples, the barrier layer 108 can be substantially conformal to its lower square topography (eg, over the dielectric layer 104 and extending the upper sidewalls and over the top surface of the conductive features 106). Other suitable materials, forming techniques, and configurations for the barrier layer 108 will depend on the intended application and will be apparent from the description.

此製程可繼續如圖4,其闡明在形成硬遮罩層110後之圖3的IC 100之橫斷面視圖,依據本發明之實施例。硬遮罩層110之材料組成可被客製化,如針對既定目標應用或終端使用所欲者。於某些實施例中,硬遮罩層110可被形成自氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)等等之任一者(或組合)。 This process can continue as in FIG. 4, which illustrates a cross-sectional view of the IC 100 of FIG. 3 after forming the hard mask layer 110, in accordance with an embodiment of the present invention. The material composition of the hard mask layer 110 can be customized, such as for a given target application or terminal use. In some embodiments, the hard mask layer 110 can be formed from titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), hafnium oxide (SiO 2 ), tantalum carbonitride (SiCN), and Any one (or combination) of yttrium oxynitride (SiO x N y ) or the like.

硬遮罩層110可經由任何適當的標準、習慣、或專屬技術而被形成,如根據此說明書所將清楚明白者。依據某些實施例,硬遮罩層110可經由非共形沈積製程,諸如(例如)PVD製程(諸如濺射沈積製程)、及CVD製程等等之任一者(或組合)而被形成。如根據本說明書所將理解:非共形製程之使用可致使硬遮罩材料實質上(例如,僅僅、大部分地、或者主要地)沈積於導電特徵106之頂部之上 而非於其之間。於某些情況下,既定硬遮罩體可延伸超越(例如,伸出)下方導電特徵106之頂部表面直達下方障壁層108之全厚度(或少於全厚度)(例如,諸如一般可見於圖4、13、及23中)。於某些情況下,既定硬遮罩體可延伸超越(例如,伸出)下方導電特徵106之頂部表面(例如)以少於其寬度之約25%、少於其寬度之約20%、少於其寬度之約15%、少於其寬度之約10%、少於其寬度之約5%、或少於其寬度之約1%。於某些其他情況下,既定硬遮罩體可不延伸超越(例如,可不伸出)下方導電特徵106之頂部表面。於形成硬遮罩層110時,一或更多蝕刻並清潔製程選擇性地可被利用,依據某些實施例。於一範例情況中,濕式清潔製程可被用以確保其無硬遮罩材料殘留於相鄰導電特徵106之間的空間之底部上。 The hard mask layer 110 can be formed via any suitable standard, custom, or proprietary technique, as will be apparent from this disclosure. According to certain embodiments, the hard mask layer 110 may be formed via a non-conformal deposition process, such as, for example, a PVD process (such as a sputter deposition process), and a CVD process, or the like. As will be understood in light of this specification, the use of a non-conformal process can cause a hard mask material to be deposited substantially (eg, only, mostly, or predominantly) on top of the conductive features 106. Not between them. In some cases, a given hard mask may extend beyond (eg, extend) the top surface of the underlying conductive feature 106 to the full thickness (or less than full thickness) of the lower barrier layer 108 (eg, such as generally visible in the figure) 4, 13, and 23). In some cases, a given hard mask may extend beyond (eg, extend) the top surface of the lower conductive feature 106 (eg, less than about 25% of its width, less than about 20% of its width, less) It is about 15% of its width, less than about 10% of its width, less than about 5% of its width, or less than about 1% of its width. In some other instances, the predetermined hard mask may not extend beyond (eg, may not extend) the top surface of the underlying conductive feature 106. One or more etching and cleaning processes can be selectively utilized in forming the hard mask layer 110, in accordance with certain embodiments. In an exemplary case, the wet cleaning process can be used to ensure that no hard mask material remains on the bottom of the space between adjacent conductive features 106.

硬遮罩層110之尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,硬遮罩層110可具有厚度(例如)於約0.25-0.5乘以節距P1x(例如,約0.25-0.375乘以x、約0.375-0.5乘以x、或約0.25-0.5乘以x之範圍內的任何其他子範圍)之範圍內。於某些情況下,硬遮罩層110可具有厚度(例如)於約5-20nm(例如,約5-10nm、約10-15nm、約15-20nm、或約5-20nm之範圍內的任何其他子範圍)之範圍內。於某些例子中,硬遮罩層110可具有實質上均勻的厚度於其下方形貌之上;而於某些其他例子中,硬遮罩層110可具有非均勻的或者變化的厚度於此形貌之上(例如,硬遮罩層110 之第一部分可具有第一範圍內之厚度,而其第二部分可具有第二、不同的範圍內之厚度)。如從圖4可見,依據某些實施例,硬遮罩層110可被形成以致其包含一或更多組分硬遮罩體。於某些情況下,既定硬遮罩體可組態成通常頭盔狀(或者帽狀)部分,其被配置於由障壁層108及導電特徵106之下方部分所提供的形貌之上。於某些情況下,硬遮罩層110之既定組分硬遮罩體可為一般矩形或方形橫斷面幾何。於某些其他情況下,硬遮罩層110之既定組分硬遮罩體可為一般梯形橫斷面幾何。於某些例子中,硬遮罩層110之既定組分硬遮罩體可具有一或更多曲線表面(頂部、側壁、或其他)。於某些例子中,硬遮罩層110之既定組分硬遮罩體可具有斜角的或錐形的側壁;而於某些其他例子中,硬遮罩層110之既定組分硬遮罩體可具有實質上筆直的、垂直的側壁。 The size and geometry of the hard mask layer 110 can be customized, such as for a given target application or terminal use. In some cases, the hard mask layer 110 may have a thickness (e.g.) at about 0.25 to 0.5 multiplied by the pitch P x 1 (e.g., about 0.25-0.375 multiplied by x, multiplied by about 0.375-0.5 x, or A range of approximately 0.25-0.5 times any other sub-range within the range of x ). In some cases, the hard mask layer 110 can have any thickness, for example, in the range of about 5-20 nm (eg, about 5-10 nm, about 10-15 nm, about 15-20 nm, or about 5-20 nm). Within the scope of other sub-ranges). In some examples, the hard mask layer 110 can have a substantially uniform thickness above its lower square top; in some other examples, the hard mask layer 110 can have a non-uniform or varying thickness Above the topography (eg, the first portion of the hard mask layer 110 can have a thickness in the first range and the second portion can have a thickness in the second, different range). As can be seen from Figure 4, in accordance with certain embodiments, the hard mask layer 110 can be formed such that it contains one or more component hard mask bodies. In some cases, a given hard mask may be configured as a generally helmet-like (or cap-like) portion that is disposed over the topography provided by the barrier layer 108 and the lower portion of the conductive features 106. In some cases, the predetermined component of the hard mask layer 110 can be a generally rectangular or square cross-sectional geometry. In some other instances, the predetermined component hard mask of the hard mask layer 110 can be generally trapezoidal cross-sectional geometry. In some examples, a given component of the hard mask layer 110 can have one or more curved surfaces (top, sidewall, or other). In some examples, the predetermined component hard mask of the hard mask layer 110 can have beveled or tapered sidewalls; in some other examples, the hard mask layer 110 has a predetermined composition of a hard mask. The body can have substantially straight, vertical sidewalls.

於某些情況下,硬遮罩層110之組分硬遮罩體(例如,頭盔狀硬遮罩體)可被形成以致容許其為漏電的。然而,於其他情況下,此等硬遮罩體可被形成以致其不是(或者是僅可忽略地)漏電的。用於硬遮罩層110之其他適當材料、形成技術、及組態將取決於既定應用且將根據本說明書而清楚明白。 In some cases, a component hard mask (eg, a helmet-like hard mask) of the hard mask layer 110 can be formed to allow it to be electrically leaky. However, in other cases, such hard masks may be formed such that they are not (or only negligibly) leaking. Other suitable materials, forming techniques, and configurations for the hard mask layer 110 will depend on the intended application and will be apparent from the description.

此製程可繼續如圖5,其闡明在形成障壁層112後之圖4的IC 100之橫斷面視圖,依據本發明之實施例。障壁層112可組態(依據某些實施例)成(至少部分地)作用為IC 100(或其他主機IC)之間隔物層。如根據本說明書所將 理解者,障壁層112可被形成以如上所討論之範例材料、技術、及組態的任一者,例如,針對障壁層108。於某些實施例中,障壁層112可為與障壁層108不同的材料組成。於某些實施例中,障壁層112可具有厚度(例如)於約0.1-0.25乘以節距P1x(例如,約0.1-0.2乘以x、約0.15-0.25乘以x、或約0.1-0.25乘以x之範圍內的任何其他子範圍)之範圍內。於某些例子中,障壁層112可具有實質上均勻的厚度於其由硬遮罩層110及障壁層108所提供的形貌之上;而於某些其他例子中,障壁層112可具有非均勻的或者變化的厚度於此形貌之上(例如,障壁層112之第一部分可具有第一範圍內之厚度,而其第二部分可具有第二、不同的範圍內之厚度)。於某些例子中,障壁層112可為實質上共形於其下方形貌。 This process can continue as shown in FIG. 5, which illustrates a cross-sectional view of the IC 100 of FIG. 4 after forming the barrier layer 112, in accordance with an embodiment of the present invention. The barrier layer 112 can be configured (according to certain embodiments) to be (at least partially) a spacer layer that functions as IC 100 (or other host IC). As will be understood from this description, the barrier layer 112 can be formed in any of the example materials, techniques, and configurations discussed above, for example, for the barrier layer 108. In some embodiments, the barrier layer 112 can be a different material composition than the barrier layer 108. In some embodiments, the barrier layer 112 may have a thickness (e.g.) at about 0.1 to 0.25 multiplied by the pitch P x 1 (e.g., about 0.1 to 0.2 multiplied by x, from about 0.15 to 0.25 multiplied by x, or about 0.1-0.25 times the range of any other sub-range within the range of x ). In some examples, the barrier layer 112 can have a substantially uniform thickness over the topography provided by the hard mask layer 110 and the barrier layer 108; while in some other examples, the barrier layer 112 can have a non- The uniform or varying thickness is above this topography (eg, the first portion of barrier layer 112 can have a thickness within a first range and the second portion can have a thickness within a second, different range). In some examples, the barrier layer 112 can be substantially conformal to its lower square appearance.

於形成障壁層112時,可能希望移除其沈積在硬遮罩層110之上的部分,以確保其硬遮罩層110之組分硬遮罩體的上表面保持暴露。同時,可能希望移除其沈積在介於相鄰導電特徵106之間的障壁層108之部分之上的障壁層112之部分。至這些端,障壁層112之部分移除可經由任何適當的標準、習慣、或專屬方向性蝕刻技術而被履行,如根據此說明書所將清楚明白者。 When forming the barrier layer 112, it may be desirable to remove portions thereof deposited over the hard mask layer 110 to ensure that the upper surface of the component hard mask of the hard mask layer 110 remains exposed. At the same time, it may be desirable to remove portions of the barrier layer 112 that are deposited over portions of the barrier layer 108 between adjacent conductive features 106. To these ends, partial removal of the barrier layer 112 can be performed via any suitable standard, custom, or proprietary directional etching technique, as will be apparent from this description.

如從圖5可見,例如,障壁層112可被形成(依據某些實施例)以延伸自障壁層108,沿著導電特徵106之側壁,及沿著硬遮罩層110之組分硬遮罩體的側壁。於某些例子中,障壁層112可向上延伸障壁層108及硬遮罩層 110之全高度,而於某些其他例子中,障壁層可向上延伸少於其全高度。如從圖5進一步可見,特徵114a可存在於相鄰導電特徵106之間,由於此等導電特徵106之間的障壁層112與108的特定介面。用於障壁層112之其他適當材料、形成技術、及組態將取決於既定應用且將根據本說明書而清楚明白。 As can be seen from FIG. 5, for example, the barrier layer 112 can be formed (according to certain embodiments) to extend from the barrier layer 108, along the sidewalls of the conductive features 106, and along the components of the hard mask layer 110. The side wall of the body. In some examples, the barrier layer 112 can extend the barrier layer 108 and the hard mask layer upward. The full height of 110, while in some other examples, the barrier layer can extend up less than its full height. As further seen from FIG. 5, features 114a may exist between adjacent conductive features 106 due to the particular interface of barrier layers 112 and 108 between such conductive features 106. Other suitable materials, forming techniques, and configurations for the barrier layer 112 will depend on the intended application and will be apparent from the description.

此製程可繼續如圖6,其闡明在從既定特徵114a形成特徵114b後之圖5的IC 100之橫斷面視圖,依據本發明之實施例。如圖可見,既定特徵114a可經歷額外圖案化,例如,以提供特徵114b。於某些情況下,複數特徵114b可被形成,以提供第二組導電特徵106(例如,第一組被形成如以上參考圖1所討論者)。至這些端,既定特徵114b可被形成以如上(例如)針對特徵104a所討論的範例技術及組態之任一者,依據某些實施例。於某些情況下,既定特徵114b可被形成以一路向下延伸至下方半導體基底102之頂部表面,通過障壁層108及電介質層104之各者的全局部厚度,舉例而言。既定特徵114b可被形成為(例如)溝槽、穿孔、插塞切割、通孔、或任何其他特徵,如針對既定目標應用或終端使用所欲者。依據某些實施例,特徵114b(及114a,討論於上)可被圖案化於交替的溝槽上,以致其IC 100之節距P1約被減半(例如,如一般參考圖7而討論於下者)。既定特徵114b(及114a)之尺寸及幾何可(至少部分地)取決於障壁層112及108之尺寸,其可定義此等特徵114b(及114a)之尺寸侷限。例如,鄰接 第一導電特徵106之側壁的障壁層112之第一部分及鄰接相鄰導電特徵106之側壁的障壁層112之第二部分可作用以保護IC 100之那些部分,而同時提供既定特徵114b(或114a)之方向性形成於IC 100之上。 This process can continue as in Figure 6, which illustrates a cross-sectional view of the IC 100 of Figure 5 after forming features 114b from a predetermined feature 114a, in accordance with an embodiment of the present invention. As can be seen, the predetermined features 114a can undergo additional patterning, for example, to provide features 114b. In some cases, complex features 114b can be formed to provide a second set of conductive features 106 (eg, the first set is formed as discussed above with respect to FIG. 1). To these ends, the established features 114b can be formed in any of the example techniques and configurations discussed above, for example, for feature 104a, in accordance with certain embodiments. In some cases, the predetermined features 114b can be formed to extend all the way down to the top surface of the underlying semiconductor substrate 102, through the global portion thickness of each of the barrier layer 108 and the dielectric layer 104, for example. The predetermined features 114b can be formed, for example, as grooves, perforations, plug cuts, through holes, or any other feature, such as intended for a given target application or terminal use. In accordance with certain embodiments, features 114b (and 114a, discussed above) can be patterned on alternating trenches such that their pitch P 1 of IC 100 is approximately halved (e.g., as generally discussed with reference to FIG. In the next one). The size and geometry of the predetermined features 114b (and 114a) may depend, at least in part, on the dimensions of the barrier layers 112 and 108, which may define the size limitations of the features 114b (and 114a). For example, a first portion of the barrier layer 112 adjacent the sidewalls of the first conductive features 106 and a second portion of the barrier layer 112 adjacent the sidewalls of the adjacent conductive features 106 can act to protect those portions of the IC 100 while providing the predetermined features 114b The directivity of (or 114a) is formed on top of IC 100.

於製程流程之此點,針對如何繼續製造有廣泛的選擇。例如,考量圖7,其闡明一依據本發明之實施例而組態的IC 101之橫斷面視圖。如於此可見,IC 100之特徵114a及114b的全部(或某子集)可被填充以導電材料,依據某些實施例。如此一來,所得的IC 100可具有節距P2之導電特徵106,該節距P2可為IC 100之原始節距P1的部分。於一範例情況中,節距P2可為節距P1之約一半(例如,假如P1=2x,則P2=x)。於某些情況下,新形成的導電特徵106可為如先前所形成之原始導電特徵106的相同材料組成。於其他情況下,不同的導電材料可被利用,以致IC 101係控制第一材料組成之一或更多導電特徵106及第二、不同的材料組成之一或更多導電特徵106。 At this point in the process flow, there are a wide range of options for how to proceed with manufacturing. For example, consider Figure 7, which illustrates a cross-sectional view of an IC 101 configured in accordance with an embodiment of the present invention. As can be seen herein, all (or a subset) of features 114a and 114b of IC 100 can be filled with a conductive material, in accordance with certain embodiments. Thus, the resulting IC 100 may have a pitch P 2 of the conductive features 106, the pitch P 2 may be a part of the IC 100 of the original pitch of P. 1. In an exemplary case, the pitch P 2 may be about half of the pitch P 1 (eg, if P 1 = 2 x , then P 2 = x ). In some cases, the newly formed conductive features 106 can be of the same material composition as the original conductive features 106 previously formed. In other cases, different conductive materials may be utilized such that the IC 101 controls one or more conductive features 106 of the first material composition and one or more conductive features 106 of the second, different material composition.

於某些情況下,在填充特徵114a及114b之全部(或某子集)後,IC 101選擇性地可經歷化學機制平坦化(CMP)製程及蝕刻並清潔製程之任一者(或組合),例如,用以移除障壁層112、硬遮罩層110、和障壁層108之任何不要的部分、以及其可能存在之任何過量(例如,超載)的導電特徵106。然而,於其他情況下,硬遮罩層110可被容許留存於IC 101之上。 In some cases, after filling all (or a subset) of features 114a and 114b, IC 101 may optionally undergo any of a chemical mechanism planarization (CMP) process and an etch and clean process (or combination). For example, to remove any unnecessary portions of the barrier layer 112, the hard mask layer 110, and the barrier layer 108, and any excess (eg, overload) conductive features 106 that may be present. However, in other cases, the hard mask layer 110 may be allowed to remain on top of the IC 101.

於其他情況下,在填充特徵114a及114b之全部(或某子集)後,IC 101選擇性地可經歷凹陷製程,其中導電特徵106被凹陷至低於障壁層112及硬遮罩層110之高度。例如,考量圖8,其闡明一依據本發明之實施例而組態的IC 102之橫斷面視圖。導電特徵106之凹陷可經由任何適當的標準、習慣、或專屬蝕刻並清潔技術而被履行,如根據此說明書所將清楚明白者。 In other cases, after filling all (or a subset) of features 114a and 114b, IC 101 may optionally undergo a recess process in which conductive features 106 are recessed below barrier layer 112 and hard mask layer 110. height. For example, consider Figure 8, which illustrates a cross-sectional view of an IC 102 configured in accordance with an embodiment of the present invention. The depressions of the conductive features 106 can be performed via any suitable standard, customary, or proprietary etching and cleaning techniques, as will be apparent from this disclosure.

於某些情況下,在凹陷導電特徵106如圖8中之後,IC 102選擇性地可經歷一或更多額外製程。例如,考量圖9,其闡明在形成硬遮罩層116後的IC 102之橫斷面視圖,依據本發明之實施例。如圖可見,硬遮罩層116可被形成於任何一或更多所欲的特徵114a及114b內,在導電特徵106之上,依據某些實施例。如根據本說明書所將理解者,硬遮罩層116可被形成以如上所討論之範例材料、技術、及組態的任一者,例如,針對硬遮罩層110,依據某些實施例。於某些情況下,硬遮罩層116與硬遮罩層110可有不同的材料組成,提供針對彼此的蝕刻選擇性。 In some cases, after the recessed conductive features 106 are as in FIG. 8, the IC 102 can optionally undergo one or more additional processes. For example, consider Figure 9, which illustrates a cross-sectional view of IC 102 after formation of hard mask layer 116, in accordance with an embodiment of the present invention. As can be seen, the hard mask layer 116 can be formed in any one or more of the desired features 114a and 114b, over the conductive features 106, in accordance with certain embodiments. As will be understood from this description, the hard mask layer 116 can be formed in any of the example materials, techniques, and configurations discussed above, for example, for the hard mask layer 110, in accordance with certain embodiments. In some cases, the hard mask layer 116 and the hard mask layer 110 can have different material compositions, providing etch selectivity to each other.

依據某些實施例,在形成硬遮罩層116之後,IC 102可經歷電介質層118之形成、其硬遮罩層110和116之任一(或兩者)的部分之選擇性移除、以及既定導電特徵106之進一步形成。例如,考量圖10,其闡明一依據本發明之實施例而組態的IC 102之橫斷面視圖。如根據本說明書所將理解者,電介質層118可被形成以如上所討論之範例材料、技術、及組態的任一者,例如,針對電介質層 104,依據某些實施例。如圖10中於此可見,電介質層118可被圖案化以一或更多特徵118a,其尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵118a可被形成以著陸(至少部分地)於硬遮罩層116的一部分及下方的導電特徵106之上。在圖案化此一特徵118a之後,下方硬遮罩層116之一部分可被選擇性地移除(例如,選擇性地蝕刻掉),以暴露(例如)由特徵114b所控制的下方導電特徵106。依據一實施例,額外導電材料可被沈積於新暴露的導電特徵106之上,其容許所得的導電特徵106a向上延伸通過電介質層118中之圖案化特徵118a。於一範例情況中,下一上覆層之通孔(或其他導電特徵)可被著陸(部分地或整體地)於導電特徵106之上,導致橫跨兩IC層之導電特徵106a。 According to certain embodiments, after forming the hard mask layer 116, the IC 102 may undergo selective removal of portions of the dielectric layer 118, portions (or both) of its hard mask layers 110 and 116, and The predetermined conductive features 106 are further formed. For example, consider Figure 10, which illustrates a cross-sectional view of an IC 102 configured in accordance with an embodiment of the present invention. As will be understood from this description, dielectric layer 118 can be formed in any of the example materials, techniques, and configurations discussed above, for example, for a dielectric layer 104, in accordance with certain embodiments. As can be seen herein, the dielectric layer 118 can be patterned with one or more features 118a, the size and geometry of which can be customized, such as intended for a given target application or terminal. In some cases, the predetermined features 118a can be formed to land (at least in part) over a portion of the hard mask layer 116 and under the conductive features 106. After patterning this feature 118a, a portion of the underlying hard mask layer 116 can be selectively removed (eg, selectively etched away) to expose, for example, the underlying conductive features 106 controlled by features 114b. In accordance with an embodiment, an additional conductive material can be deposited over the newly exposed conductive features 106 that allow the resulting conductive features 106a to extend upward through the patterned features 118a in the dielectric layer 118. In an exemplary case, the vias (or other conductive features) of the next overlying layer may be landed (partially or integrally) over the conductive features 106, resulting in conductive features 106a across the two IC layers.

圖11闡明依據本發明之另一實施例而組態的IC 102之橫斷面視圖。圖11'闡明依據本發明之另一實施例而組態的IC 102之橫斷面視圖。如根據本說明書所將理解:圖11'提供IC 100之演示,其係代表某更為真實世界的結構特徵和組態,而文中針對圖11所提供之說明同樣可應用於圖11'。如從這些圖形可見,電介質層118額外地(或替代地)可被圖案化以一或更多特徵118b,其尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵118b可被形成以著陸(至少部分地)於硬遮罩層110的一部分及下方的導電特徵106之上。在圖案化此一特徵118b之後,下方硬遮罩層110之 一部分可被選擇性地移除(例如,選擇性地蝕刻掉),以暴露(例如)由特徵114a所控制的下方導電特徵106。依據一實施例,額外導電材料可被沈積於新暴露的導電特徵106之上,其容許所得的導電特徵106b向上延伸通過電介質層118中之圖案化特徵118b。於一範例情況中,下一上覆層之通孔(或其他導電特徵)可被著陸(部分地或整體地)於導電特徵106之上,導致橫跨兩IC層之導電特徵106b。如圖11及11'之各者中所示,導電特徵106a選擇性地可同樣存在於IC 102中,雖然IC 102無須具有此一組態。 Figure 11 illustrates a cross-sectional view of an IC 102 configured in accordance with another embodiment of the present invention. Figure 11' illustrates a cross-sectional view of an IC 102 configured in accordance with another embodiment of the present invention. As will be understood from this description, FIG. 11' provides a demonstration of IC 100, which represents a more real-world structural feature and configuration, and the description provided herein with respect to FIG. 11 is equally applicable to FIG. 11'. As can be seen from these figures, dielectric layer 118 can additionally (or alternatively) be patterned with one or more features 118b, the size and geometry of which can be customized, such as for a given target application or terminal use. In some cases, the predetermined feature 118b can be formed to land (at least in part) over a portion of the hard mask layer 110 and below the conductive features 106. After patterning this feature 118b, the underlying hard mask layer 110 A portion can be selectively removed (eg, selectively etched away) to expose, for example, the underlying conductive features 106 controlled by features 114a. In accordance with an embodiment, an additional conductive material can be deposited over the newly exposed conductive features 106 that allow the resulting conductive features 106b to extend upward through the patterned features 118b in the dielectric layer 118. In an exemplary case, the vias (or other conductive features) of the next overlying layer can be landed (partially or integrally) over the conductive features 106, resulting in conductive features 106b across the two IC layers. As shown in each of Figures 11 and 11 ', conductive features 106a may alternatively be present in IC 102, although IC 102 need not have such a configuration.

如一般地從圖10-11'可見,於某些情況下,所揭露的技術之使用可容許改善的蝕刻布局錯誤(EPE)容限,依據某些實施例。如進一步從圖10-11'可見,硬遮罩層110(例如,頭盔狀硬遮罩體)及硬遮罩層116可展現蝕刻選擇性,依據某些實施例。 As can be seen generally in Figures 10-11', in some cases, the use of the disclosed techniques may allow for improved etch layout error (EPE) tolerance, in accordance with certain embodiments. As further seen in Figures 10-11', hard mask layer 110 (e.g., helmet-like hard mask) and hard mask layer 116 can exhibit etch selectivity, in accordance with certain embodiments.

圖1-2及12-17闡明製造依據本發明之另一實施例的IC 104之製程流程。該製程可開始如圖1及2,如以上所討論。此製程可開始如圖12,其闡明一依據本發明之另一實施例而組態的IC 104之橫斷面視圖。如此處可見,IC 104包括障壁層108,其延伸於導電特徵106的側壁(但非頂部表面)之上(例如,障壁層108延伸於其在電介質層104上面的側壁之部分之上)。因此,導電特徵106之上表面保持暴露。比較此與圖3中之障壁層108(如以上所討論),其替代地共形於導電特徵106之頂部表面、以及其 側壁。 Figures 1-2 and 12-17 illustrate the process flow for fabricating an IC 104 in accordance with another embodiment of the present invention. The process can begin as shown in Figures 1 and 2, as discussed above. This process can begin as shown in Figure 12, which illustrates a cross-sectional view of an IC 104 configured in accordance with another embodiment of the present invention. As seen herein, the IC 104 includes a barrier layer 108 that extends over the sidewalls (but not the top surface) of the conductive features 106 (eg, the barrier layer 108 extends over portions of the sidewalls above the dielectric layer 104). Therefore, the upper surface of the conductive feature 106 remains exposed. Comparing this with the barrier layer 108 of FIG. 3 (as discussed above), which instead conforms to the top surface of the conductive feature 106, and Side wall.

此製程可繼續如圖13,其闡明在形成硬遮罩層110後之圖12的IC 104之橫斷面視圖,依據本發明之實施例。如此處可見,硬遮罩層110(例如,一或更多硬遮罩體,如以上所討論)可被配置於(並直接接觸與)導電特徵106之頂部表面之上,以及沿著導電特徵106之障壁層108的部分之末端,依據一實施例。比較此與圖4中之硬遮罩層110(如以上所討論),其替代地駐存於(並直接接觸與)其共形於導電特徵106之頂部表面的障壁層108之部分之上,依據一實施例。 This process can continue as shown in FIG. 13, which illustrates a cross-sectional view of the IC 104 of FIG. 12 after forming the hard mask layer 110, in accordance with an embodiment of the present invention. As can be seen herein, a hard mask layer 110 (eg, one or more hard mask bodies, as discussed above) can be disposed (and directly in contact with) the top surface of the conductive features 106, along along the conductive features The end of the portion of the barrier layer 108 of 106, in accordance with an embodiment. Comparing this with the hard mask layer 110 of FIG. 4 (as discussed above), which instead resides (and directly contacts) a portion of the barrier layer 108 that conforms to the top surface of the conductive feature 106, According to an embodiment.

此製程可繼續如圖14,其闡明在形成障壁層112後之圖13的IC 104之橫斷面視圖,依據本發明之實施例。如此處可見,障壁層112可被配置於障壁層108及硬遮罩層110之部分之上,沿著導電特徵106之側壁。於形成障壁層112時,可能希望移除其沈積在硬遮罩層110之上的部分,以確保其硬遮罩層110之組分硬遮罩體的上表面保持暴露。同時,可能希望移除其沈積在介於相鄰導電特徵106之間的障壁層108之部分之上的障壁層112之部分。至這些端,障壁層112之部分移除可經由任何適當的標準、習慣、或專屬方向性蝕刻技術而被履行,如根據此說明書所將清楚明白者。 This process can continue as in FIG. 14, which illustrates a cross-sectional view of the IC 104 of FIG. 13 after forming the barrier layer 112, in accordance with an embodiment of the present invention. As can be seen herein, the barrier layer 112 can be disposed over portions of the barrier layer 108 and the hard mask layer 110 along the sidewalls of the conductive features 106. When forming the barrier layer 112, it may be desirable to remove portions thereof deposited over the hard mask layer 110 to ensure that the upper surface of the component hard mask of the hard mask layer 110 remains exposed. At the same time, it may be desirable to remove portions of the barrier layer 112 that are deposited over portions of the barrier layer 108 between adjacent conductive features 106. To these ends, partial removal of the barrier layer 112 can be performed via any suitable standard, custom, or proprietary directional etching technique, as will be apparent from this description.

如從圖14可見,例如,障壁層112可被形成(依據某些實施例)以延伸自障壁層104,沿著導電特徵106之側壁之上的障壁層108,及沿著硬遮罩層110之組分硬遮罩體 的側壁。於某些例子中,障壁層112可向上延伸障壁層108及硬遮罩層110之全高度,而於某些其他例子中,障壁層可向上延伸少於其全高度。如從圖4進一步可見,特徵114a可存在於相鄰導電特徵106之間,由於此等導電特徵106之間的障壁層112與108的特定介面。 As can be seen from FIG. 14, for example, barrier layer 112 can be formed (according to certain embodiments) to extend from barrier layer 104, along barrier layer 108 over the sidewalls of conductive features 106, and along hard mask layer 110. Component hard mask Side wall. In some examples, the barrier layer 112 can extend the full height of the barrier layer 108 and the hard mask layer 110 upward, while in some other examples, the barrier layer can extend upwardly less than its full height. As further seen from FIG. 4, features 114a may exist between adjacent conductive features 106 due to the particular interface of barrier layers 112 and 108 between such conductive features 106.

此製程可繼續如圖15,其闡明在從既定特徵114a形成特徵114b後之圖14的IC 104之橫斷面視圖,依據本發明之實施例。如圖可見,既定特徵114a可經歷額外圖案化,例如,以提供特徵114b。於某些情況下,複數特徵114b可被形成,以提供第二組導電特徵106(例如,第一組被形成如以上參考圖1所討論者)。至這些端,既定特徵114b可被形成以如上所討論的範例技術及組態之任一者,例如,針對圖6之背景中的特徵114b。如以上所提供之圖6的討論,於圖15中此處之特徵114b(及114a,如以上所討論)可被圖案化於交替的溝槽上,以致其IC 104之節距P1約被減半。 This process can continue as shown in FIG. 15, which illustrates a cross-sectional view of the IC 104 of FIG. 14 after forming features 114b from a predetermined feature 114a, in accordance with an embodiment of the present invention. As can be seen, the predetermined features 114a can undergo additional patterning, for example, to provide features 114b. In some cases, complex features 114b can be formed to provide a second set of conductive features 106 (eg, the first set is formed as discussed above with respect to FIG. 1). To these ends, the established features 114b can be formed in any of the example techniques and configurations discussed above, for example, for features 114b in the context of FIG. As discussed above, FIG. 6 is provided, 114b (and 114a, as discussed above) in feature 15 of FIG herein may be patterned on alternate grooves so that the pitch P of the IC 104 which is about 1 Halve.

於製程流程之此點,針對如何繼續製造有廣泛的選擇。例如,IC 104之特徵114a及114b的全部(或某子集)可被填充以導電材料,依據某些實施例。如此一來,所得的IC 104可具有節距P2之導電特徵106,該節距P2可為IC 104之原始節距P1的部分。於一範例情況中,節距P2可為節距P1之約一半(例如,假如P1=2x,則P2=x)。於某些情況下,新形成的導電特徵106可為如先前所形成之原始導電特徵106的相同材料組成。於其他情況下,不同 的導電材料可被利用,以致IC 104係控制第一材料組成之一或更多導電特徵106及第二、不同的材料組成之一或更多導電特徵106。 At this point in the process flow, there are a wide range of options for how to proceed with manufacturing. For example, all (or a subset) of features 114a and 114b of IC 104 can be filled with a conductive material, in accordance with certain embodiments. Thus, the resulting IC 104 may have a pitch P 2 of the conductive features 106, the pitch P 2 may be a part of the original. 1 pitch P of the IC 104. In an exemplary case, the pitch P 2 may be about half of the pitch P 1 (eg, if P 1 = 2 x , then P 2 = x ). In some cases, the newly formed conductive features 106 can be of the same material composition as the original conductive features 106 previously formed. In other cases, different conductive materials may be utilized such that the IC 104 controls one or more conductive features 106 of the first material composition and one or more conductive features 106 of the second, different material composition.

於某些情況下,在填充特徵114a及114b之全部(或某子集)後,IC 104選擇性地可經歷CMP製程及蝕刻並清潔製程之任一者(或組合),例如,用以移除障壁層112、硬遮罩層110、和障壁層108之任何不要的部分、以及其可能存在之任何過量(例如,超載)的導電特徵106。然而,於其他情況下,硬遮罩層110可被容許留存於IC 104之上。 In some cases, after filling all (or a subset) of features 114a and 114b, IC 104 can optionally undergo any of the CMP processes and etch and clean processes (or combinations), for example, to In addition to the barrier layer 112, the hard mask layer 110, and any unwanted portions of the barrier layer 108, and any excess (e.g., overload) conductive features 106 that may be present. However, in other cases, the hard mask layer 110 can be allowed to remain on top of the IC 104.

於其他情況下,在填充特徵114a及114b之全部(或某子集)後,IC 104選擇性地可經歷凹陷製程,其中導電特徵106被凹陷至低於障壁層112及硬遮罩層110之高度。例如,考量圖16,其闡明一依據本發明之實施例而組態的IC 104之橫斷面視圖。導電特徵106之凹陷可經由任何適當的標準、習慣、或專屬蝕刻並清潔技術而被履行,如根據此說明書所將清楚明白者。 In other cases, after filling all (or a subset) of features 114a and 114b, IC 104 may optionally undergo a recess process in which conductive features 106 are recessed below barrier layer 112 and hard mask layer 110. height. For example, consider Figure 16, which illustrates a cross-sectional view of an IC 104 configured in accordance with an embodiment of the present invention. The depressions of the conductive features 106 can be performed via any suitable standard, customary, or proprietary etching and cleaning techniques, as will be apparent from this disclosure.

於某些情況下,在凹陷導電特徵106如圖16中之後,IC 104選擇性地可經歷一或更多額外製程。例如,考量圖17,其闡明在形成硬遮罩層116後的IC 104之橫斷面視圖,依據本發明之實施例。如圖可見,硬遮罩層116可被形成於任何一或更多所欲的特徵114a及114b內,在導電特徵106之上,依據某些實施例。如根據本說明書所將理解者,硬遮罩層116可被形成以如上所討論之範例材 料、技術、及組態的任一者,例如,針對硬遮罩層110,依據某些實施例。於某些情況下,硬遮罩層116與硬遮罩層110可有不同的材料組成,提供針對彼此的蝕刻選擇性。 In some cases, after the recessed conductive features 106 are as in FIG. 16, the IC 104 can optionally undergo one or more additional processes. For example, consider Figure 17, which illustrates a cross-sectional view of IC 104 after formation of hard mask layer 116, in accordance with an embodiment of the present invention. As can be seen, the hard mask layer 116 can be formed in any one or more of the desired features 114a and 114b, over the conductive features 106, in accordance with certain embodiments. As will be understood from this description, the hard mask layer 116 can be formed as an example material as discussed above Any of the materials, techniques, and configurations, for example, for the hard mask layer 110, in accordance with certain embodiments. In some cases, the hard mask layer 116 and the hard mask layer 110 can have different material compositions, providing etch selectivity to each other.

依據某些實施例,在形成硬遮罩層116之後,IC 104可經歷電介質層118之形成、其硬遮罩層110和116之任一(或兩者)的至少一部分之選擇性移除、以及既定導電特徵106之進一步形成。例如,考量圖18,其闡明一依據本發明之實施例而組態的IC 104之橫斷面視圖。如圖18中於此可見,電介質層118可被圖案化以一或更多特徵118a,其尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵118a可被形成以著陸(至少部分地)於硬遮罩層116的一部分及下方的導電特徵106之上。在圖案化此一特徵118a之後,下方硬遮罩層116之一部分可被選擇性地移除(例如,選擇性地蝕刻掉),以暴露(例如)由特徵114b所控制的下方導電特徵106。依據一實施例,額外導電材料可被沈積於新暴露的導電特徵106之上,其容許所得的導電特徵106a向上延伸通過電介質層118中之圖案化特徵118a。於一範例情況中,下一上覆層之通孔(或其他導電特徵)可被著陸(部分地或整體地)於導電特徵106之上,導致橫跨兩IC層之導電特徵106a。 According to certain embodiments, after forming the hard mask layer 116, the IC 104 may undergo selective removal of the dielectric layer 118, at least a portion of any one or both of its hard mask layers 110 and 116, And further formation of the predetermined conductive features 106. For example, consider Figure 18, which illustrates a cross-sectional view of an IC 104 configured in accordance with an embodiment of the present invention. As can be seen herein, the dielectric layer 118 can be patterned with one or more features 118a, the size and geometry of which can be customized, such as intended for a given target application or terminal. In some cases, the predetermined features 118a can be formed to land (at least in part) over a portion of the hard mask layer 116 and under the conductive features 106. After patterning this feature 118a, a portion of the underlying hard mask layer 116 can be selectively removed (eg, selectively etched away) to expose, for example, the underlying conductive features 106 controlled by features 114b. In accordance with an embodiment, an additional conductive material can be deposited over the newly exposed conductive features 106 that allow the resulting conductive features 106a to extend upward through the patterned features 118a in the dielectric layer 118. In an exemplary case, the vias (or other conductive features) of the next overlying layer may be landed (partially or integrally) over the conductive features 106, resulting in conductive features 106a across the two IC layers.

圖19闡明依據本發明之另一實施例而組態的IC 104之橫斷面視圖。如從圖11中之此處可見,電介質層118 額外地(或替代地)可被圖案化以一或更多特徵118b,其尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵118b可被形成以著陸(至少部分地)於硬遮罩層110的一部分及下方的導電特徵106之上。在圖案化此一特徵118b之後,下方硬遮罩層110之一部分可被選擇性地移除(例如,選擇性地蝕刻掉),以暴露(例如)由特徵114a所控制的下方導電特徵106。依據一實施例,額外導電材料可被沈積於新暴露的導電特徵106之上,其容許所得的導電特徵106a向上延伸通過電介質層118中之圖案化特徵118b。於一範例情況中,下一上覆層之通孔(或其他導電特徵)可被著陸(部分地或整體地)於導電特徵106之上,導致橫跨兩IC層之導電特徵106b。如圖19中所示,導電特徵106a選擇性地可同樣存在於IC 104中,雖然IC 104無須具有此一組態。 Figure 19 illustrates a cross-sectional view of an IC 104 configured in accordance with another embodiment of the present invention. As seen from FIG. 11, dielectric layer 118 Additionally (or alternatively) may be patterned with one or more features 118b, the size and geometry of which may be customized, such as for a given target application or terminal use. In some cases, the predetermined feature 118b can be formed to land (at least in part) over a portion of the hard mask layer 110 and below the conductive features 106. After patterning this feature 118b, a portion of the underlying hard mask layer 110 can be selectively removed (eg, selectively etched away) to expose, for example, the underlying conductive features 106 controlled by features 114a. In accordance with an embodiment, an additional conductive material can be deposited over the newly exposed conductive features 106 that allow the resulting conductive features 106a to extend upward through the patterned features 118b in the dielectric layer 118. In an exemplary case, the vias (or other conductive features) of the next overlying layer can be landed (partially or integrally) over the conductive features 106, resulting in conductive features 106b across the two IC layers. As shown in FIG. 19, conductive features 106a may alternatively be present in IC 104, although IC 104 need not have such a configuration.

如一般地從圖18-19可見,於某些情況下,所揭露的技術之使用可容許改善的EPE容限,依據某些實施例。如進一步從圖18-19可見,硬遮罩層110(例如,頭盔狀硬遮罩體)及硬遮罩層116可展現蝕刻選擇性,依據某些實施例。 As can be seen generally in Figures 18-19, in some cases, the use of the disclosed techniques can tolerate improved EPE tolerance, in accordance with certain embodiments. As further seen in Figures 18-19, the hard mask layer 110 (e.g., the helmet-like hard mask) and the hard mask layer 116 can exhibit etch selectivity, in accordance with certain embodiments.

消去圖案化技術及結構: Eliminate the patterning technology and structure:

圖20-28闡明製造依據本發明之另一實施例的IC 105之製程流程。此製程可開始如圖20,其闡明一依據本發明之實施例而組態的IC 105之橫斷面視圖。如此處可 見,IC 105包括半導體基底102及配置於其之上的導電層106,各如以上所討論。 20-28 illustrate a process flow for fabricating an IC 105 in accordance with another embodiment of the present invention. This process can begin as shown in Figure 20, which illustrates a cross-sectional view of an IC 105 configured in accordance with an embodiment of the present invention. As here See, IC 105 includes a semiconductor substrate 102 and a conductive layer 106 disposed thereon, each as discussed above.

此製程流程可繼續如圖21,其闡明在圖案化導電層106為一或更多導電特徵106後之圖20的IC 105之橫斷面視圖,依據本發明之實施例。導電層106之圖案化可經由任何適當的標準、習慣、或專屬微影、蝕刻、及清潔(或其他消去圖案化)技術而被履行,如根據此說明書所將清楚明白者。於某些情況下,導電特徵106可經由蝕刻製程之任一者(或組合)而被形成自導電層106。既定蝕刻製程可為涉及濕式蝕刻或乾式蝕刻(或兩者)之各向異性蝕刻,而由既定的應用蝕刻製程所利用的特定蝕刻化學物可被客製化,如針對既定目標應用或終端使用所欲者。導電層106之圖案化可被控制以提供既定量的對稱/非對稱及等向/各向異性,如所欲。依據某些實施例,導電層106之圖案化可被履行直到達到下方半導體基底102之上表面,諸如圖21中一般所示者。 This process flow can continue as in FIG. 21, which illustrates a cross-sectional view of IC 105 of FIG. 20 after patterned conductive layer 106 is one or more conductive features 106, in accordance with an embodiment of the present invention. Patterning of conductive layer 106 can be performed by any suitable standard, custom, or proprietary lithography, etching, and cleaning (or other erasing patterning) techniques, as will be apparent from this disclosure. In some cases, conductive features 106 may be formed from conductive layer 106 via any one (or combination) of etching processes. The given etching process can be an anisotropic etch involving wet etching or dry etching (or both), and the specific etching chemistry utilized by the intended application etching process can be customized, such as for a given target application or terminal. Use what you want. Patterning of conductive layer 106 can be controlled to provide both quantitative symmetry/asymmetry and isotropic/anisotropic, as desired. According to certain embodiments, the patterning of the conductive layer 106 can be performed until the upper surface of the underlying semiconductor substrate 102 is reached, such as generally shown in FIG.

此製程流程可繼續如圖22,其闡明在形成障壁層108後之圖21的IC 105之橫斷面視圖,依據本發明之實施例。如此處可見,IC 105包括障壁層108,其延伸於導電特徵106之側壁(但非頂部表面)之上。因此,導電特徵106之上表面保持暴露。比較此與圖3中之障壁層108(如以上所討論),其替代地共形於導電特徵106之頂部表面、以及其側壁。 This process flow can continue as shown in FIG. 22, which illustrates a cross-sectional view of the IC 105 of FIG. 21 after forming the barrier layer 108, in accordance with an embodiment of the present invention. As can be seen herein, the IC 105 includes a barrier layer 108 that extends over the sidewalls (but not the top surface) of the conductive features 106. Therefore, the upper surface of the conductive feature 106 remains exposed. This is compared to the barrier layer 108 of FIG. 3 (as discussed above), which is instead conformal to the top surface of the conductive feature 106, as well as its sidewalls.

此製程可繼續如圖23,其闡明在形成硬遮罩層110 後之圖22的IC 105之橫斷面視圖,依據本發明之實施例。如此處可見,硬遮罩層110(例如,一或更多硬遮罩體,如以上所討論)可被配置於(並直接接觸與)導電特徵106之頂部表面之上,以及沿著導電特徵106之障壁層108的部分之末端,依據一實施例。比較此與圖4中之硬遮罩層110(如以上所討論),其替代地駐存於(並直接接觸與)其共形於導電特徵106之頂部表面的障壁層108之部分之上,依據一實施例。 This process can continue as shown in FIG. 23, which illustrates the formation of the hard mask layer 110. A cross-sectional view of the IC 105 of Figure 22 follows, in accordance with an embodiment of the present invention. As can be seen herein, a hard mask layer 110 (eg, one or more hard mask bodies, as discussed above) can be disposed (and directly in contact with) the top surface of the conductive features 106, along along the conductive features The end of the portion of the barrier layer 108 of 106, in accordance with an embodiment. Comparing this with the hard mask layer 110 of FIG. 4 (as discussed above), which instead resides (and directly contacts) a portion of the barrier layer 108 that conforms to the top surface of the conductive feature 106, According to an embodiment.

此製程可繼續如圖24,其闡明在形成障壁層112後之圖23的IC 105之橫斷面視圖,依據本發明之實施例。如此處可見,障壁層112可被配置於障壁層108及硬遮罩層110之部分之上,沿著導電特徵106之側壁。如先前所討論,於形成障壁層112時,可能希望移除其沈積在硬遮罩層110之上的部分,以確保其硬遮罩層110之組分硬遮罩體的上表面保持暴露。同時,可能希望移除其沈積在介於相鄰導電特徵106之間的障壁層108之部分之上的障壁層112之部分。 This process can continue as shown in Figure 24, which illustrates a cross-sectional view of the IC 105 of Figure 23 after forming the barrier layer 112, in accordance with an embodiment of the present invention. As can be seen herein, the barrier layer 112 can be disposed over portions of the barrier layer 108 and the hard mask layer 110 along the sidewalls of the conductive features 106. As previously discussed, when forming the barrier layer 112, it may be desirable to remove portions thereof deposited over the hard mask layer 110 to ensure that the upper surface of the component hard mask of the hard mask layer 110 remains exposed. At the same time, it may be desirable to remove portions of the barrier layer 112 that are deposited over portions of the barrier layer 108 between adjacent conductive features 106.

如從圖24可見,例如,障壁層112可被形成(依據某些實施例)以延伸自半導體基底102,沿著導電特徵106之側壁之上的障壁層108,及沿著硬遮罩層110之組分硬遮罩體的側壁。於某些例子中,障壁層112可向上延伸障壁層108及硬遮罩層110之全高度,而於某些其他例子中,障壁層可向上延伸少於其全高度。如從圖24進一步可見,特徵114a可存在於相鄰導電特徵106之間,由於此 等導電特徵106之間的障壁層112與108的特定介面。 As can be seen from FIG. 24, for example, barrier layer 112 can be formed (according to certain embodiments) to extend from semiconductor substrate 102, along barrier layer 108 over sidewalls of conductive features 106, and along hard mask layer 110. The component is a side wall of the hard mask. In some examples, the barrier layer 112 can extend the full height of the barrier layer 108 and the hard mask layer 110 upward, while in some other examples, the barrier layer can extend upwardly less than its full height. As further seen from FIG. 24, features 114a may exist between adjacent conductive features 106 due to this A particular interface of the barrier layers 112 and 108 between the electrically conductive features 106.

於製程流程之此點,針對如何繼續製造有廣泛的選擇。例如,IC 105之特徵114a的全部(或某子集)可被填充以導電材料,依據某些實施例。如此一來,所得的IC 105可具有節距P2之導電特徵106,該節距P2可為IC 105之原始節距P1的部分。於一範例情況中,節距P2可為節距P1之約一半(例如,假如P1=2x,則P2=x)。於某些情況下,新形成的導電特徵106可為如先前所形成之原始導電特徵106的相同材料組成。於其他情況下,不同的導電材料可被利用,以致IC 105係控制第一材料組成之一或更多導電特徵106及第二、不同的材料組成之一或更多導電特徵106。 At this point in the process flow, there are a wide range of options for how to proceed with manufacturing. For example, all (or a subset) of features 114a of IC 105 can be filled with a conductive material, in accordance with certain embodiments. Thus, the resulting IC 105 may have a pitch P 2 of the conductive features 106, the pitch P 2 may be a part of the IC 105 of the original pitch of P. 1. In an exemplary case, the pitch P 2 may be about half of the pitch P 1 (eg, if P 1 = 2 x , then P 2 = x ). In some cases, the newly formed conductive features 106 can be of the same material composition as the original conductive features 106 previously formed. In other cases, different conductive materials may be utilized such that the IC 105 controls one or more conductive features 106 of the first material composition and one or more conductive features 106 of the second, different material composition.

於某些情況下,在填充特徵114a之全部(或某子集)後,IC 105選擇性地可經歷CMP製程及蝕刻並清潔製程之任一者(或組合),例如,用以移除障壁層112、硬遮罩層110、和障壁層108之任何不要的部分、以及其可能存在之任何過量(例如,超載)的導電特徵106。然而,於其他情況下,硬遮罩層110可被容許留存於IC 105之上。 In some cases, after filling all (or a subset) of features 114a, IC 105 can optionally undergo any of the CMP processes and etch and clean processes (or combinations), for example, to remove barriers Any unwanted portions of layer 112, hard mask layer 110, and barrier layer 108, as well as any excess (e.g., overload) conductive features 106 that may be present. However, in other cases, the hard mask layer 110 may be allowed to remain on the IC 105.

於其他情況下,在填充特徵114a之全部(或某子集)後,IC 105選擇性地可經歷凹陷製程,其中導電特徵106被凹陷至低於障壁層112及硬遮罩層110之高度。例如,考量圖25,其闡明一依據本發明之實施例而組態的IC 105之橫斷面視圖。導電特徵106之凹陷可經由任何適當的標準、習慣、或專屬蝕刻並清潔技術而被履行,如根據 此說明書所將清楚明白者。 In other cases, after filling all (or a subset) of features 114a, IC 105 may optionally undergo a recess process in which conductive features 106 are recessed below the height of barrier layer 112 and hard mask layer 110. For example, consider Figure 25, which illustrates a cross-sectional view of an IC 105 configured in accordance with an embodiment of the present invention. The recess of the conductive feature 106 can be performed via any suitable standard, custom, or proprietary etching and cleaning techniques, such as This description will be clear to the reader.

於某些情況下,在凹陷導電特徵106如圖25中之後,IC 105選擇性地可經歷一或更多額外製程。例如,考量圖26,其闡明在形成硬遮罩層116後的IC 105之橫斷面視圖,依據本發明之實施例。如圖可見,硬遮罩層116可被形成於任何一或更多所欲的特徵114a內,在導電特徵106之上,依據某些實施例。於某些情況下,硬遮罩層116與硬遮罩層110可有不同的材料組成,提供針對彼此的蝕刻選擇性。 In some cases, after the recessed conductive features 106 are as in FIG. 25, the IC 105 can optionally undergo one or more additional processes. For example, consider Figure 26, which illustrates a cross-sectional view of IC 105 after formation of hard mask layer 116, in accordance with an embodiment of the present invention. As can be seen, the hard mask layer 116 can be formed in any one or more of the desired features 114a, over the conductive features 106, in accordance with certain embodiments. In some cases, the hard mask layer 116 and the hard mask layer 110 can have different material compositions, providing etch selectivity to each other.

依據某些實施例,在形成硬遮罩層116之後,IC 105可經歷電介質層118之形成、其硬遮罩層110和116之任一(或兩者)的至少一部分之選擇性移除、以及既定導電特徵106之進一步形成。例如,考量圖27,其闡明一依據本發明之實施例而組態的IC 105之橫斷面視圖。如圖27中於此可見,電介質層118可被圖案化以一或更多特徵118a,其尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵118a可被形成以著陸(至少部分地)於硬遮罩層116的一部分及下方的導電特徵106之上。在圖案化此一特徵118a之後,下方硬遮罩層116之一部分可被選擇性地移除(例如,選擇性地蝕刻掉),以暴露(例如)由特徵114a所控制的下方導電特徵106。依據一實施例,額外導電材料可被沈積於新暴露的導電特徵106之上,其容許所得的導電特徵106a向上延伸通過電介質層118中之圖案化特徵118a。於一範 例情況中,下一上覆層之通孔(或其他導電特徵)可被著陸(部分地或整體地)於導電特徵106之上,導致橫跨兩IC層之導電特徵106a。 According to certain embodiments, after forming the hard mask layer 116, the IC 105 may undergo selective removal of the dielectric layer 118, at least a portion of any one or both of its hard mask layers 110 and 116, And further formation of the predetermined conductive features 106. For example, consider Figure 27, which illustrates a cross-sectional view of an IC 105 configured in accordance with an embodiment of the present invention. As seen herein in Figure 27, the dielectric layer 118 can be patterned with one or more features 118a, the size and geometry of which can be customized, such as for a given target application or terminal use. In some cases, the predetermined features 118a can be formed to land (at least in part) over a portion of the hard mask layer 116 and under the conductive features 106. After patterning this feature 118a, a portion of the underlying hard mask layer 116 can be selectively removed (eg, selectively etched away) to expose, for example, the underlying conductive features 106 controlled by features 114a. In accordance with an embodiment, an additional conductive material can be deposited over the newly exposed conductive features 106 that allow the resulting conductive features 106a to extend upward through the patterned features 118a in the dielectric layer 118. Yu Yifan In one example, the vias (or other conductive features) of the next overlying layer can be landed (partially or integrally) over the conductive features 106, resulting in conductive features 106a across the two IC layers.

圖28闡明依據本發明之另一實施例而組態的IC 105之橫斷面視圖。如從圖28中之此處可見,電介質層118額外地(或替代地)可被圖案化以一或更多特徵118b,其尺寸及幾何可被客製化,如針對既定目標應用或終端使用所欲者。於某些情況下,既定特徵118b可被形成以著陸(至少部分地)於硬遮罩層110的一部分及下方的導電特徵106之上。在圖案化此一特徵118b之後,下方硬遮罩層110之一部分可被選擇性地移除(例如,選擇性地蝕刻掉),以暴露(例如)由特徵114a所控制的下方導電特徵106。依據一實施例,額外金屬可被沈積於新暴露的導電特徵106之上,其容許所得的導電特徵106b向上延伸通過電介質層118中之圖案化特徵118b。於一範例情況中,下一上覆層之通孔(或其他導電特徵)可被著陸(部分地或整體地)於導電特徵106之上,導致橫跨兩IC層之導電特徵106b。如圖28中所示,導電特徵106a選擇性地可同樣存在於IC 105中,雖然IC 105無須具有此一組態。 Figure 28 illustrates a cross-sectional view of an IC 105 configured in accordance with another embodiment of the present invention. As can be seen from FIG. 28, the dielectric layer 118 can additionally (or alternatively) be patterned with one or more features 118b, the size and geometry of which can be customized, such as for a given target application or terminal. Those who want it. In some cases, the predetermined feature 118b can be formed to land (at least in part) over a portion of the hard mask layer 110 and below the conductive features 106. After patterning this feature 118b, a portion of the underlying hard mask layer 110 can be selectively removed (eg, selectively etched away) to expose, for example, the underlying conductive features 106 controlled by features 114a. In accordance with an embodiment, additional metal can be deposited over the newly exposed conductive features 106, which allows the resulting conductive features 106b to extend upward through the patterned features 118b in the dielectric layer 118. In an exemplary case, the vias (or other conductive features) of the next overlying layer can be landed (partially or integrally) over the conductive features 106, resulting in conductive features 106b across the two IC layers. As shown in FIG. 28, conductive features 106a may alternatively be present in IC 105, although IC 105 need not have such a configuration.

如一般地從圖27-28可見,於某些情況下,所揭露的技術之使用可容許改善的EPE容限,依據某些實施例。如進一步從圖27-28可見,硬遮罩層110(例如,頭盔狀硬遮罩體)及硬遮罩層116可展現蝕刻選擇性,依據某些實施例。 As can be seen generally in Figures 27-28, in some cases, the use of the disclosed techniques can tolerate improved EPE tolerance, in accordance with certain embodiments. As further seen in Figures 27-28, the hard mask layer 110 (e.g., helmet-like hard mask) and hard mask layer 116 can exhibit etch selectivity, in accordance with certain embodiments.

如根據本說明書所將理解:圖1-9之製程流程可被考量(於一般性意義)具有電介質(例如,ILD)凹陷之金屬鑲嵌為基的圖案化製程,依據某些實施例。然而,圖20-28之製程流程可被考量(於一般性意義)消去導電材料(例如,金屬)圖案化製程,依據某些實施例。文中所揭露之技術之各種適當的使用將根據本說明書而清楚明白。 As will be understood from this description, the process flow of Figures 1-9 can be considered (in a general sense) for a tessellation-based patterning process having a dielectric (e.g., ILD) recess, in accordance with certain embodiments. However, the process flow of Figures 20-28 can be considered (in a general sense) to eliminate conductive material (e.g., metal) patterning processes, in accordance with certain embodiments. Various appropriate uses of the techniques disclosed herein will be apparent from the description.

範例系統 Sample system

圖29闡明一種以積體電路結構或裝置所實施的計算系統1000,該些結構或裝置係使用依據一範例實施例之揭露技術來形成。如圖可見,計算系統1000包含主機板1002。主機板1002可包括數個組件,包括(但不限定於)處理器1004及至少一通訊晶片1006,其各可被實體地及電氣地耦合至主機板1002、或者被集成於其中。如將理解者,主機板1002可為(例如)任何印刷電路板,無論是主板、安裝於主板上之子板、或系統1000之唯一板,等等。根據其應用,計算系統1000可包括其可被或可不被實體地且電氣地耦合至主機板1002之一或更多其他組件。這些其他組件可包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及 大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。計算系統1000中所包括之任何組件可包括一或更多積體電路結構或裝置,該些結構或裝置係使用依據一範例實施例之揭露技術來形成。於某些實施例中,多重功能可被集成入一或更多晶片(例如,注意:通訊晶片1006可為處理器1004之部分或者被集成入處理器1004)。 29 illustrates a computing system 1000 implemented in an integrated circuit structure or apparatus that is formed using the disclosed techniques in accordance with an exemplary embodiment. As can be seen, computing system 1000 includes a motherboard 1002. The motherboard 1002 can include a number of components including, but not limited to, the processor 1004 and at least one communication chip 1006, each of which can be physically and electrically coupled to the motherboard 1002, or integrated therein. As will be appreciated, the motherboard 1002 can be, for example, any printed circuit board, whether it be a motherboard, a daughter board mounted on a motherboard, or the only board of the system 1000, and the like. Computing system 1000 may include one or more other components that may or may not be physically and electrically coupled to motherboard 1002, depending on its application. These other components may include, but are not limited to, volatile memory (eg, DRAM), non-volatile memory (eg, ROM), graphics processors, digital signal processors, cryptographic processors, chipsets, antennas, Display, touch screen display, touch screen controller, battery, audio codec, video codec, power amplifier, global positioning system (GPS) device, compass, accelerometer, gyroscope, speaker, camera, and A large number of storage devices (such as hard disk drives, compact discs (CDs), digital compact discs (DVDs), etc.). Any of the components included in computing system 1000 can include one or more integrated circuit structures or devices that are formed using the disclosed techniques in accordance with an example embodiment. In some embodiments, multiple functions may be integrated into one or more wafers (eg, note that communication chip 1006 may be part of processor 1004 or integrated into processor 1004).

通訊晶片1006致能無線通訊,以供資料之轉移至及自計算系統1000。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可藉由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片1006可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算系統1000可包括複數通訊晶片1006。例如,第一通訊晶片1006可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片1006可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。 The communication chip 1006 enables wireless communication for the transfer of data to and from the computing system 1000. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communication channels, and the like, which may convey data by using modulated electromagnetic radiation transmitted through a non-solid medium. The term does not imply that its associated device does not contain any wiring, although in some embodiments it may not. The communication chip 1006 can implement any of several wireless standards or protocols including, but not limited to, Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, Long Term Evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, and any other wireless protocols designated as 3G, 4G, 5G, and above. Computing system 1000 can include a plurality of communication chips 1006. For example, the first communication chip 1006 can be dedicated to short-range wireless communication, such as Wi-Fi and Bluetooth; and the second communication chip 1006 can be dedicated to longer-range wireless communication, such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE. , Ev-DO and others.

計算系統1000之處理器1004包括封裝於處理器1004內之積體電路晶粒。於某些實施例中,處理器之積 體電路晶粒包括板上電路,其被實施以一或更多使用所揭露技術來形成的積體電路結構或裝置,如文中多處所述者。術語「處理器」可指稱任何裝置或裝置之部分,其處理(例如)來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。 Processor 1004 of computing system 1000 includes integrated circuit dies that are packaged within processor 1004. In some embodiments, the processor product The bulk circuit die includes on-board circuitry that is implemented with one or more integrated circuit structures or devices formed using the disclosed techniques, as described in various places herein. The term "processor" may refer to any device or portion of a device that processes, for example, electronic data from a register and/or memory to convert the electronic data into a memory and/or memory that can be stored in a register. Other electronic materials in the body.

通訊晶片1006亦可包括封裝於通訊晶片1006內之積體電路晶粒。依據某些此等範例實施例,通訊晶片之積體電路晶粒包括使用如文中所述之已揭露技術所形成的一或更多積體電路結構或裝置。如根據本說明書所將理解者,注意:多重標準無線能力可被直接地集成入處理器1004(例如,其中任何晶片1006之功能被集成入處理器1004,而非具有分離的通訊晶片)。進一步注意:處理器1004可為具有此類無線能力之晶片組。簡言之,任何數目的處理器1004及/或通訊晶片1006可被使用。類似地,任一晶片或晶片組可具有集成入其中之多重功能。 The communication chip 1006 can also include integrated circuit dies that are packaged in the communication chip 1006. In accordance with certain such exemplary embodiments, the integrated circuit die of the communication chip includes one or more integrated circuit structures or devices formed using the disclosed techniques as described herein. As will be understood in light of this description, it is noted that multiple standard wireless capabilities can be directly integrated into processor 1004 (eg, where the functionality of any of the wafers 1006 is integrated into processor 1004, rather than having separate communication chips). It is further noted that the processor 1004 can be a chipset having such wireless capabilities. In short, any number of processors 1004 and/or communication chips 1006 can be used. Similarly, any wafer or wafer set can have multiple functions integrated into it.

於各種實施方式中,計算系統1000可為膝上型電腦、小筆電、筆記型電腦、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、數位錄影機、或任何其他電子裝置,其係處理資料或利用使用已揭露技術所形成的一或更多積體電路結構或裝置,如文中多處描述者。 In various embodiments, the computing system 1000 can be a laptop, a small notebook, a notebook, a smart phone, an input pad, a personal digital assistant (PDA), an ultra-light mobile PC, a mobile phone, a desktop computer. , server, printer, scanner, monitor, set-top box, entertainment control unit, digital camera, portable music player, digital video recorder, or any other electronic device that processes data or uses it One or more integrated circuit structures or devices formed by the techniques are disclosed, as described in various places herein.

進一步範例實施例 Further example embodiments

下列範例係有關於進一步實施例,從該些實施例將清楚明白各種變異及組態。 The following examples are directed to further embodiments from which various variations and configurations will be apparent.

範例1為一種積體電路,包括:基底;配置於該基底之上的第一和第二導電特徵;配置於該基底之上的第一障壁層,其中該第一障壁層延伸沿著該些第一和第二導電特徵之各者的側壁;配置於該基底之上的第一硬遮罩層,其中該第一硬遮罩層包括實質上個別地配置於該些第一和第二導電特徵之頂部表面之上的至少第一和第二硬遮罩體;及配置於該基底之上的第二障壁層,其中該第二障壁層延伸沿著該第一障壁層,於該些第一和第二導電特徵之各者的側壁之上,及沿著該些第一和第二硬遮罩體之側壁。 Example 1 is an integrated circuit comprising: a substrate; first and second conductive features disposed on the substrate; a first barrier layer disposed on the substrate, wherein the first barrier layer extends along the a sidewall of each of the first and second conductive features; a first hard mask layer disposed over the substrate, wherein the first hard mask layer comprises substantially individually disposed on the first and second conductive layers At least first and second hard masks over the top surface of the feature; and a second barrier layer disposed over the substrate, wherein the second barrier layer extends along the first barrier layer, Above the sidewalls of each of the first and second conductive features, and along the sidewalls of the first and second rigid masks.

範例2包括範例1及3-15的任一者之請求標的並進一步包括配置於該基底之上的第三導電特徵,介於該些第一和第二導電特徵之間。 Example 2 includes the request target of any of Examples 1 and 3-15 and further comprising a third conductive feature disposed on the substrate between the first and second conductive features.

範例3包括範例2之請求標的,其中該些第一與第二導電特徵之節距約為該些第一與第三導電特徵之節距的一半。 Example 3 includes the request target of Example 2, wherein the pitches of the first and second conductive features are about one-half of the pitch of the first and third conductive features.

範例4包括範例2之請求標的,其中該些第一、第二、及第三導電特徵具有實質上相同的高度。 Example 4 includes the request target of Example 2, wherein the first, second, and third conductive features have substantially the same height.

範例5包括範例2之請求標的,其中該些第一、第二、及第三導電特徵之至少一者包括銅(Cu)、鋁(Al)、鎢(W)、鎳(Ni)、鈷(Co)、銀(Ag)、金(Au)、鈦(Ti)、及鉭(Ta)之至少一者。 Example 5 includes the request target of Example 2, wherein at least one of the first, second, and third conductive features comprises copper (Cu), aluminum (Al), tungsten (W), nickel (Ni), cobalt ( At least one of Co), silver (Ag), gold (Au), titanium (Ti), and tantalum (Ta).

範例6包括範例2之請求標的,其中該第三導電特徵具有與該些第一和第二導電特徵不同的材料組成。 Example 6 includes the request target of Example 2, wherein the third conductive feature has a different material composition than the first and second conductive features.

範例7包括範例2之請求標的並進一步包括配置於該第三導電特徵之頂部表面之上的第二硬遮罩層,其中該些第一與第二硬遮罩層係由該第二障壁層所實體地分離。 Example 7 includes the request target of Example 2 and further comprising a second hard mask layer disposed over a top surface of the third conductive feature, wherein the first and second hard mask layers are from the second barrier layer Separated physically.

範例8包括範例7之請求標的,其中該些第一與第二硬遮罩層具有不同的材料組成,以致其展現相對於彼此之蝕刻選擇性。 Example 8 includes the request of Example 7, wherein the first and second hard mask layers have different material compositions such that they exhibit etch selectivity with respect to each other.

範例9包括範例7之請求標的並進一步包括配置於形貌之上的電介質層,該形貌係至少由該些第一和第二硬遮罩層以及該第二障壁層所提供。 Example 9 includes the request target of Example 7 and further comprising a dielectric layer disposed over the topography, the topography being provided by at least the first and second hard mask layers and the second barrier layer.

範例10包括範例9之請求標的,其中該些第一、第二、及第三導電特徵之至少一者係延伸入該電介質層。 Example 10 includes the request target of Example 9, wherein at least one of the first, second, and third conductive features extends into the dielectric layer.

範例11包括範例1-10及12-15的任一者之請求標的,其中:該第一硬遮罩層包括氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)之至少一者;且該些第一和第二硬遮罩體之至少一者具有約5-20nm的範圍內之厚度。 Example 11 includes the subject matter of any of Examples 1-10 and 12-15, wherein: the first hard mask layer comprises titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), cerium oxide ( At least one of SiO 2 ), lanthanum carbonitride (SiCN), and yttrium oxynitride (SiO x N y ); and at least one of the first and second hard masks has a thickness of about 5-20 nm The thickness within the range.

範例12包括範例1-11及13-15的任一者之請求標的,其中該些第一和第二硬遮罩體之至少一者係組態成防止通過其之漏電。 The example 12 includes the request target of any of the examples 1-11 and 13-15, wherein at least one of the first and second hard masks is configured to prevent leakage therethrough.

範例13包括範例1-12及14-15的任一者之請求標的,其中該些第一和第二障壁層之至少一者包括氧化矽(SiO2)、氧化鋁(Al2O3)、及氧化鈦(TiO2)之至少一者。 Example 13 includes the subject matter of any of Examples 1-12 and 14-15, wherein at least one of the first and second barrier layers comprises yttrium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), And at least one of titanium oxide (TiO 2 ).

範例14包括範例1-13及15之任一者的請求標的,其中該基底包括矽(Si)、鍺(Ge)、及矽鍺(SiGe)之至少一者。 The example 14 includes the request target of any of the examples 1-13 and 15, wherein the substrate comprises at least one of bismuth (Si), germanium (Ge), and germanium (SiGe).

範例15包括範例1-14之任一者的請求標的,其中該基底係組態成大塊半導體基底、絕緣體上半導體結構、半導體晶圓、及多層結構之至少一者。 Example 15 includes the request target of any of Examples 1-14, wherein the substrate is configured as at least one of a bulk semiconductor substrate, a semiconductor-on-insulator structure, a semiconductor wafer, and a multilayer structure.

範例16為一種製造積體電路之方法,該方法包括:形成第一和第二導電特徵於基底之上;形成第一障壁層於該基底之上,其中該第一障壁層延伸沿著該些第一和第二導電特徵之各者的側壁;形成第一硬遮罩層於該基底之上,其中該第一硬遮罩層包括實質上個別地配置於該些第一和第二導電特徵之頂部表面之上的至少第一和第二硬遮罩體;及形成第二障壁層於該基底之上,其中該第二障壁層延伸沿著該第一障壁層,於該些第一和第二導電特徵之各者的側壁之上,及沿著該些第一和第二硬遮罩體之側壁。 Example 16 is a method of fabricating an integrated circuit, the method comprising: forming first and second conductive features on a substrate; forming a first barrier layer over the substrate, wherein the first barrier layer extends along the a sidewall of each of the first and second conductive features; forming a first hard mask layer over the substrate, wherein the first hard mask layer comprises substantially individually disposed on the first and second conductive features At least first and second hard masks over the top surface; and forming a second barrier layer over the substrate, wherein the second barrier layer extends along the first barrier layer, Above the sidewalls of each of the second conductive features, and along the sidewalls of the first and second rigid masks.

範例17包括範例16及18-30之任一者的請求標的,其中形成該第一硬遮罩層涉及物理氣相沈積(PVD)製程及化學氣相沈積(CVD)製程之至少一者。 Example 17 includes the request target of any of Examples 16 and 18-30, wherein forming the first hard mask layer involves at least one of a physical vapor deposition (PVD) process and a chemical vapor deposition (CVD) process.

範例18包括範例16-17及19-30的任一者之請求標的並進一步包括形成第三導電特徵於該基底之上,介於該些第一和第二導電特徵之間。 Example 18 includes the request target of any of Examples 16-17 and 19-30 and further comprising forming a third conductive feature on the substrate between the first and second conductive features.

範例19包括範例18之請求標的,其中該些第一與第二導電特徵之節距約為該些第一與第三導電特徵之節距的 一半。 Example 19 includes the request target of Example 18, wherein the pitches of the first and second conductive features are approximately the pitch of the first and third conductive features half.

範例20包括範例18之請求標的,其中該些第一、第二、及第三導電特徵具有實質上相同的高度。 Example 20 includes the request target of Example 18, wherein the first, second, and third conductive features have substantially the same height.

範例21包括範例18之請求標的,其中該第三導電特徵具有與該些第一和第二導電特徵不同的材料組成。 Example 21 includes the request target of Example 18, wherein the third conductive feature has a different material composition than the first and second conductive features.

範例22包括範例18之請求標的並進一步包括形成第二硬遮罩層於該第三導電特徵之頂部表面之上,其中該些第一和第二硬遮罩層係由該第二障壁層所實體地分離。 Example 22 includes the request target of Example 18 and further comprising forming a second hard mask layer over the top surface of the third conductive feature, wherein the first and second hard mask layers are comprised by the second barrier layer Physically separated.

範例23包括範例22之請求標的,其中該些第一和第二硬遮罩層具有不同的材料組成,以致其展現相對於彼此之蝕刻選擇性。 Example 23 includes the request of Example 22, wherein the first and second hard mask layers have different material compositions such that they exhibit etch selectivity with respect to each other.

範例24包括範例22之請求標的並進一步包括形成電介質層於形貌之上,該形貌係至少由該些第一和第二硬遮罩層以及該第二障壁層所提供。 Example 24 includes the request target of Example 22 and further includes forming a dielectric layer over the topography, the topography being provided by at least the first and second hard mask layers and the second barrier layer.

範例25包括範例24之請求標的並進一步包括:蝕刻通過該電介質層之一部分;選擇性地移除該些第一和第二硬遮罩體之至少一者,顯露該些第一和第二導電特徵之至少一者的該頂部表面;及進一步形成該些第一和第二導電特徵之該至少一者,以致其該些第一和第二導電特徵之該至少一者延伸入該電介質層。 Example 25 includes the request target of Example 24 and further comprising: etching through a portion of the dielectric layer; selectively removing at least one of the first and second hard masks to reveal the first and second conductive The top surface of at least one of the features; and further forming the at least one of the first and second conductive features such that at least one of the first and second conductive features extend into the dielectric layer.

範例26包括範例24之請求標的並進一步包括:蝕刻通過該電介質層之一部分;選擇性地從該第三導電特徵移除該第二硬遮罩層,顯露該第三導電特徵之該頂部表面;及進一步形成該第三導電特徵,以致其該第三導電特徵延 伸入該電介質層。 Example 26 includes the request target of Example 24 and further comprising: etching through a portion of the dielectric layer; selectively removing the second hard mask layer from the third conductive feature to reveal the top surface of the third conductive feature; And further forming the third conductive feature such that the third conductive characteristic is extended Extend into the dielectric layer.

範例27包括範例16-26及28-30的任一者之請求標的,其中:該第一硬遮罩層包括氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)之至少一者;且該些第一和第二硬遮罩體之至少一者具有約5-20nm的範圍內之厚度。 Example 27 includes the subject matter of any of Examples 16-26 and 28-30, wherein: the first hard mask layer comprises titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), cerium oxide ( At least one of SiO 2 ), lanthanum carbonitride (SiCN), and yttrium oxynitride (SiO x N y ); and at least one of the first and second hard masks has a thickness of about 5-20 nm The thickness within the range.

範例28包括範例16-27及29-30的任一者之請求標的,其中該些第一和第二硬遮罩體之至少一者係組態成防止通過其之漏電。 The example 28 includes the request of any of the examples 16-27 and 29-30, wherein at least one of the first and second hard masks is configured to prevent leakage therethrough.

範例29包括範例16-28及30之任一者的請求標的,其中該基底包括矽(Si)、鍺(Ge)、及矽鍺(SiGe)之至少一者。 Example 29 includes the request target of any of Examples 16-28 and 30, wherein the substrate comprises at least one of germanium (Si), germanium (Ge), and germanium (SiGe).

範例30包括範例16-29之任一者的請求標的,其中該基底係組態成大塊半導體基底、絕緣體上半導體結構、半導體晶圓、及多層結構之至少一者。 The example 30 includes the request target of any of the examples 16-29, wherein the substrate is configured as at least one of a bulk semiconductor substrate, a semiconductor-on-insulator structure, a semiconductor wafer, and a multilayer structure.

範例13為一種積體電路,包括:基底;配置於該基底之上的第一複數導電特徵;配置於該基底之上的第二複數導電特徵,其中該些第二複數導電特徵之組分導電特徵與該些第一複數導電特徵之組分導電特徵的節距約為該些第一複數導電特徵之兩個連續組分導電特徵的節距之一半;配置於該基底之上的第一障壁層,其中該第一障壁層延伸沿著該些第一複數導電特徵之至少一組分導電特徵的側壁;第一硬遮罩層,其包括配置於該些第一複數導電特徵之該至少一組分導電特徵的至少整個頂部表面之上的至 少一硬遮罩體;及配置於該基底之上的第二障壁層,其中該第二障壁層延伸沿著該第一硬遮罩層,於該些第一複數導電特徵之該至少一組分導電特徵的側壁之上,及沿著該第一硬遮罩層之該至少一硬遮罩體的側壁。 Example 13 is an integrated circuit comprising: a substrate; a first plurality of conductive features disposed on the substrate; a second plurality of conductive features disposed on the substrate, wherein the components of the second plurality of conductive features are conductive a pitch of a characteristic conductive feature of the first plurality of conductive features and a pitch of about two consecutive component conductive features of the first plurality of conductive features; a first barrier disposed over the substrate a layer, wherein the first barrier layer extends along a sidewall of the at least one component conductive feature of the first plurality of conductive features; the first hard mask layer includes at least one of the first plurality of conductive features disposed At least the entire top surface of the component conductive features to a second hard mask layer disposed on the substrate, wherein the second barrier layer extends along the first hard mask layer, and the at least one set of the first plurality of conductive features And a sidewall of the at least one hard mask along the sidewall of the first hard mask layer.

範例32包括範例31及33-43的任一者之請求標的,其中該些第一複數導電特徵之至少一組分導電特徵與該些第二複數導電特徵之至少一組分導電特徵具有實質上相同的高度。 The example 32 includes the request target of any one of the examples 31 and 33-43, wherein the at least one component conductive feature of the first plurality of conductive features and the at least one component conductive feature of the second plurality of conductive features have substantially The same height.

範例33包括範例31-32及34-43的任一者之請求標的,其中該些第一複數導電特徵之至少一組分導電特徵具有與該些第二複數導電特徵之至少一組分導電特徵不同的材料組成。 The example 33 includes the request of any one of the examples 31-32 and 34-43, wherein the at least one component conductive feature of the first plurality of conductive features has at least one component conductive characteristic with the second plurality of conductive features Different material composition.

範例34包括範例31-33及35-43的任一者之請求標的並進一步包括第二硬遮罩層,其包括配置於該些第二複數導電特徵之至少一組分導電特徵的至少整個頂部表面之上的至少一硬遮罩體,其中該第二硬遮罩層之該至少一硬遮罩體與該第一硬遮罩層之該至少一硬遮罩體係由該第二障壁層所實體地分離。 The example 34 includes the request target of any of the examples 31-33 and 35-43 and further comprising a second hard mask layer including at least the entire top portion of the at least one component conductive feature disposed on the second plurality of conductive features At least one hard mask over the surface, wherein the at least one hard mask of the second hard mask layer and the at least one hard mask system of the first hard mask layer are Physically separated.

範例35包括範例34之請求標的,其中該些第一和第二硬遮罩層具有不同的材料組成,以致其展現相對於彼此之蝕刻選擇性。 Example 35 includes the request of Example 34, wherein the first and second hard mask layers have different material compositions such that they exhibit etch selectivity with respect to each other.

範例36包括範例34之請求標的並進一步包括配置於形貌之上的電介質層,該形貌係至少由該些第一和第二硬遮罩層以及該第二障壁層所提供。 Example 36 includes the request target of Example 34 and further includes a dielectric layer disposed over the topography, the topography being provided by at least the first and second hard mask layers and the second barrier layer.

範例37包括範例36之請求標的,其中該些第一複數導電特徵與該些第二複數導電特徵之至少一者的至少一組分導電特徵係延伸入該電介質層。 The example 37 includes the request target of example 36, wherein at least one component conductive characteristic of at least one of the first plurality of conductive features and the second plurality of conductive features extends into the dielectric layer.

範例38包括範例31-37及39-43的任一者之請求標的,其中該第一障壁層被進一步配置於該些第一複數導電特徵的該至少一組分導電特徵與配置於其之上之該第一硬遮罩層的該至少一硬遮罩體之間。 The example 38 includes the request of any one of the examples 31-37 and 39-43, wherein the first barrier layer is further disposed on the at least one component conductive feature of the first plurality of conductive features and disposed thereon Between the at least one hard mask of the first hard mask layer.

範例39包括範例31-38及40-43的任一者之請求標的,其中:該第一硬遮罩層包括氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)之至少一者;且該第一硬遮罩層之該至少一硬遮罩體具有約5-20nm的範圍內之厚度。 Example 39 includes the subject matter of any of Examples 31-38 and 40-43, wherein: the first hard mask layer comprises titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), cerium oxide ( At least one of SiO 2 ), lanthanum carbonitride (SiCN), and yttrium oxynitride (SiO x N y ); and the at least one hard mask of the first hard mask layer has a thickness of about 5-20 nm The thickness within the range.

範例40包括範例31-39及41-43的任一者之請求標的,其中該第一硬遮罩層之該至少一硬遮罩體係組態成防止通過其之漏電。 The example 40 includes the request of any of the examples 31-39 and 41-43, wherein the at least one hard mask system of the first hard mask layer is configured to prevent leakage therethrough.

範例41包括範例31-40及42-43的任一者之請求標的,其中該些第一和第二障壁層之至少一者包括氧化矽(SiO2)、氧化鋁(Al2O3)、及氧化鈦(TiO2)之至少一者。 The example 41 includes the subject matter of any of the examples 31-40 and 42-43, wherein at least one of the first and second barrier layers comprises cerium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), And at least one of titanium oxide (TiO 2 ).

範例42包括範例31-41及43之任一者的請求標的,其中該基底包括矽(Si)、鍺(Ge)、及矽鍺(SiGe)之至少一者。 The example 42 includes the request target of any of the examples 31-41 and 43, wherein the substrate comprises at least one of germanium (Si), germanium (Ge), and germanium (SiGe).

範例43包括範例31-42之任一者的請求標的,其中該基底係組態成大塊半導體基底、絕緣體上半導體結構、半導體晶圓、及多層結構之至少一者。 The example 43 includes the request target of any of the examples 31-42, wherein the substrate is configured as at least one of a bulk semiconductor substrate, a semiconductor-on-insulator structure, a semiconductor wafer, and a multilayer structure.

範例實施例之前述說明已被提呈以供闡明及描述之目的。不是想要窮舉的或將本發明限制於所揭露的精確形式。許多組態及變異將根據此說明書而為可能的。意欲使本發明之範圍不受此詳細說明所限制,而是由後附的申請專利範圍所限制。主張本申請案之優先權的未來申請案可用不同方式主張所揭露之請求標的,且可一般性地包括如文中所多樣地揭露或另展示的一或更多限制之任何集合。 The foregoing description of the example embodiments has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many configurations and variations will be possible in accordance with this specification. The scope of the present invention is intended to be limited by the scope of the appended claims. Future applications that claim priority to this application may claim the claimed subject matter in various ways, and may generally include any collection of one or more limitations as variously disclosed or otherwise shown herein.

100‧‧‧積體電路(IC) 100‧‧‧Integrated Circuit (IC)

102‧‧‧半導體基底 102‧‧‧Semiconductor substrate

104‧‧‧電介質層 104‧‧‧ dielectric layer

106‧‧‧導電特徵 106‧‧‧Electrical characteristics

108‧‧‧障壁層 108‧‧‧Baffle layer

110‧‧‧硬遮罩層 110‧‧‧hard mask layer

112‧‧‧障壁層 112‧‧‧Baffle layer

114a‧‧‧特徵 114a‧‧‧Characteristics

114b‧‧‧特徵 114b‧‧‧Characteristics

Claims (23)

一種積體電路,包含:一基底;配置於該基底之上的第一和第二導電特徵;配置於該基底之上的第一障壁層,其中該第一障壁層沿著該些第一和第二導電特徵之各者的側壁延伸;配置於該基底之上的第一硬遮罩層,其中該第一硬遮罩層包含分別整個配置於該些第一和第二導電特徵之頂部表面之上的至少第一和第二硬遮罩體;及配置於該基底之上的第二障壁層,其中該第二障壁層沿著該第一障壁層、於該些第一和第二導電特徵之各者的側壁之上、及沿著該些第一和第二硬遮罩體之側壁延伸。 An integrated circuit comprising: a substrate; first and second conductive features disposed on the substrate; a first barrier layer disposed on the substrate, wherein the first barrier layer is along the first sum a sidewall extending from each of the second conductive features; a first hard mask layer disposed on the substrate, wherein the first hard mask layer comprises a top surface disposed entirely on the first and second conductive features At least first and second hard mask bodies thereon; and a second barrier layer disposed on the substrate, wherein the second barrier layer is along the first barrier layer, the first and second conductive layers Over the sidewalls of each of the features, and along the sidewalls of the first and second rigid masks. 如申請專利範圍第1項之積體電路,進一步包含配置於該基底之上,介於該些第一和第二導電特徵之間的第三導電特徵。 The integrated circuit of claim 1, further comprising a third conductive feature disposed on the substrate between the first and second conductive features. 如申請專利範圍第2項之積體電路,其中該些第一與第二導電特徵之節距約為該些第一與第三導電特徵之節距的一半。 The integrated circuit of claim 2, wherein the first and second conductive features have a pitch of about half of a pitch of the first and third conductive features. 如申請專利範圍第2項之積體電路,其中該些第一、第二、及第三導電特徵具有實質上相同的高度。 The integrated circuit of claim 2, wherein the first, second, and third conductive features have substantially the same height. 如申請專利範圍第2項之積體電路,進一步包含配置於該第三導電特徵之頂部表面之上的第二硬遮罩層,其中:該些第一與第二硬遮罩層係由該第二障壁層所實體地 分離;及該些第一與第二硬遮罩層具有不同的材料組成,以致其展現相對於彼此之蝕刻選擇性。 The integrated circuit of claim 2, further comprising a second hard mask layer disposed on a top surface of the third conductive feature, wherein: the first and second hard mask layers are The second barrier layer is physically Separating; and the first and second hard mask layers have different material compositions such that they exhibit etch selectivity with respect to each other. 如申請專利範圍第1項之積體電路,其中:該第一硬遮罩層包含氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)之至少一者;及該些第一和第二硬遮罩體之至少一者具有約5-20nm的範圍內之厚度。 The integrated circuit of claim 1, wherein the first hard mask layer comprises titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), cerium oxide (SiO 2 ), carbonitriding. At least one of bismuth (SiCN) and bismuth oxynitride (SiO x N y ); and at least one of the first and second hard masks has a thickness in the range of about 5-20 nm. 如申請專利範圍第1項之積體電路,其中該些第一和第二硬遮罩體之至少一者係組態成防止通過其之漏電。 The integrated circuit of claim 1, wherein at least one of the first and second hard masks is configured to prevent leakage therethrough. 一種製造積體電路之方法,該方法包含:形成第一和第二導電特徵於基底之上;形成第一障壁層於該基底之上,其中該第一障壁層延伸沿著該些第一和第二導電特徵之各者的側壁;形成第一硬遮罩層於該基底之上,其中該第一硬遮罩層包含實質上個別地配置於該些第一和第二導電特徵之頂部表面之上的至少第一和第二硬遮罩體;及形成第二障壁層於該基底之上,其中該第二障壁層延伸沿著該第一障壁層,於該些第一和第二導電特徵之各者的側壁之上,及沿著該些第一和第二硬遮罩體之側壁。 A method of fabricating an integrated circuit, the method comprising: forming first and second conductive features on a substrate; forming a first barrier layer over the substrate, wherein the first barrier layer extends along the first sum a sidewall of each of the second conductive features; forming a first hard mask layer over the substrate, wherein the first hard mask layer comprises substantially individually disposed on top surfaces of the first and second conductive features At least first and second hard masks thereon; and forming a second barrier layer over the substrate, wherein the second barrier layer extends along the first barrier layer, the first and second conductive layers Above the sidewalls of each of the features, and along the sidewalls of the first and second rigid masks. 如申請專利範圍第8項之方法,其中形成該第一硬遮罩層涉及物理氣相沈積(PVD)製程及化學氣相沈積 (CVD)製程之至少一者。 The method of claim 8, wherein the forming the first hard mask layer involves a physical vapor deposition (PVD) process and chemical vapor deposition At least one of the (CVD) processes. 如申請專利範圍第8項之方法,進一步包含:形成第三導電特徵於該基底之上,介於該些第一和第二導電特徵之間。 The method of claim 8, further comprising: forming a third conductive feature on the substrate between the first and second conductive features. 如申請專利範圍第10項之方法,其中該些第一與第二導電特徵之節距約為該些第一與第三導電特徵之節距的一半。 The method of claim 10, wherein the first and second conductive features have a pitch that is about one-half of a pitch of the first and third conductive features. 如申請專利範圍第10項之方法,其中該些第一、第二、及第三導電特徵具有實質上相同的高度。 The method of claim 10, wherein the first, second, and third conductive features have substantially the same height. 如申請專利範圍第10項之方法,其中該第三導電特徵具有與該些第一和第二導電特徵不同的材料組成。 The method of claim 10, wherein the third conductive feature has a different material composition than the first and second conductive features. 如申請專利範圍第10項之方法,進一步包含:形成第二硬遮罩層於該第三導電特徵之頂部表面之上,其中:該些第一與第二硬遮罩層係由該第二障壁層所實體地分離;及該些第一與第二硬遮罩層具有不同的材料組成,以致其展現相對於彼此之蝕刻選擇性。 The method of claim 10, further comprising: forming a second hard mask layer over the top surface of the third conductive feature, wherein: the first and second hard mask layers are The barrier layers are physically separated; and the first and second hard mask layers have different material compositions such that they exhibit etch selectivity with respect to each other. 如申請專利範圍第14項之方法,進一步包含:形成電介質層於形貌之上,該形貌係至少由該些第一和第二硬遮罩層以及該第二障壁層所提供。 The method of claim 14, further comprising: forming a dielectric layer over the topography, the topography being provided by at least the first and second hard mask layers and the second barrier layer. 如申請專利範圍第15項之方法,進一步包含:蝕刻通過該電介質層之一部分;選擇性地移除該些第一和第二硬遮罩體之至少一者, 顯露該些第一和第二導電特徵之至少一者的該頂部表面;及進一步形成該些第一和第二導電特徵之該至少一者,以致該些第一和第二導電特徵之該至少一者延伸入該電介質層。 The method of claim 15, further comprising: etching through a portion of the dielectric layer; selectively removing at least one of the first and second hard masks, Exposing the top surface of at least one of the first and second conductive features; and further forming the at least one of the first and second conductive features such that the at least one of the first and second conductive features One extends into the dielectric layer. 如申請專利範圍第15項之方法,進一步包含:蝕刻通過該電介質層之一部分;選擇性地從該第三導電特徵移除該第二硬遮罩層,顯露該第三導電特徵之該頂部表面;及進一步形成該第三導電特徵,以致該第三導電特徵延伸入該電介質層。 The method of claim 15, further comprising: etching through a portion of the dielectric layer; selectively removing the second hard mask layer from the third conductive feature to expose the top surface of the third conductive feature And further forming the third conductive feature such that the third conductive feature extends into the dielectric layer. 如申請專利範圍第8項之方法,其中:該第一硬遮罩層包含氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)之至少一者;及該些第一和第二硬遮罩體之至少一者具有約5-20nm的範圍內之厚度。 The method of claim 8, wherein the first hard mask layer comprises titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), hafnium oxide (SiO 2 ), niobium carbonitride ( At least one of SiCN) and yttrium oxynitride (SiO x N y ); and at least one of the first and second hard masks has a thickness in the range of about 5-20 nm. 一種積體電路,包含:一基底;配置於該基底之上的第一複數導電特徵;配置於該基底之上的第二複數導電特徵,其中該些第二複數導電特徵之組分導電特徵與該些第一複數導電特徵之組分導電特徵的節距約為該些第一複數導電特徵之兩個連續組分導電特徵的節距之一半; 配置於該基底之上的第一障壁層,其中該第一障壁層延伸沿著該些第一複數導電特徵之至少一組分導電特徵的側壁;第一硬遮罩層,其包含配置於該些第一複數導電特徵之該至少一組分導電特徵的至少整個頂部表面之上的至少一硬遮罩體;及配置於該基底之上的第二障壁層,其中該第二障壁層延伸沿著該第一障壁層,於該些第一複數導電特徵之該至少一組分導電特徵的側壁之上,及沿著該第一硬遮罩層之該至少一硬遮罩體的側壁。 An integrated circuit comprising: a substrate; a first plurality of conductive features disposed on the substrate; a second plurality of conductive features disposed on the substrate, wherein the conductive features of the second plurality of conductive features are The pitch of the conductive features of the first plurality of conductive features is about one-half the pitch of the conductive features of the two consecutive components of the first plurality of conductive features; a first barrier layer disposed on the substrate, wherein the first barrier layer extends along a sidewall of the at least one component conductive feature of the first plurality of conductive features; the first hard mask layer includes At least one hard mask over at least the entire top surface of the at least one component conductive feature of the first plurality of conductive features; and a second barrier layer disposed over the substrate, wherein the second barrier layer extends along the second barrier layer The first barrier layer is over the sidewalls of the at least one component conductive features of the first plurality of conductive features and along the sidewalls of the at least one hard mask of the first hard mask layer. 如申請專利範圍第19項之積體電路,其中該些第一複數導電特徵之至少一組分導電特徵與該些第二複數導電特徵之至少一組分導電特徵具有實質上相同的高度。 The integrated circuit of claim 19, wherein the at least one component conductive feature of the first plurality of conductive features has substantially the same height as the at least one component conductive feature of the second plurality of conductive features. 如申請專利範圍第19項之積體電路,進一步包含第二硬遮罩層,其包含配置於該些第二複數導電特徵之至少一組分導電特徵的至少整個頂部表面之上的至少一硬遮罩體,其中:該第二硬遮罩層之該至少一硬遮罩體與該第一硬遮罩層之該至少一硬遮罩體係由該第二障壁層所實體地分離;及該些第一與第二硬遮罩層具有不同的材料組成,以致其展現相對於彼此之蝕刻選擇性。 The integrated circuit of claim 19, further comprising a second hard mask layer comprising at least one hard surface disposed over at least the entire top surface of the at least one component conductive feature of the second plurality of conductive features a mask body, wherein: the at least one hard mask of the second hard mask layer and the at least one hard mask system of the first hard mask layer are physically separated by the second barrier layer; The first and second hard mask layers have different material compositions such that they exhibit etch selectivity with respect to each other. 如申請專利範圍第19項之積體電路,其中該第一障壁層被進一步配置於該些第一複數導電特徵的該至少 一組分導電特徵與配置於其之上之該第一硬遮罩層的該至少一硬遮罩體之間。 The integrated circuit of claim 19, wherein the first barrier layer is further disposed on the at least one of the first plurality of conductive features A plurality of electrically conductive features are disposed between the at least one rigid mask of the first hard mask layer disposed thereon. 如申請專利範圍第19項之積體電路,其中:該第一硬遮罩層包含氮化鈦(TiN)、氮化矽(Si3N4)、二氧化矽(SiO2)、碳氮化矽(SiCN)、及氧氮化矽(SiOxNy)之至少一者;及該第一硬遮罩層之該至少一硬遮罩體具有約5-20nm的範圍內之厚度。 The integrated circuit of claim 19, wherein the first hard mask layer comprises titanium nitride (TiN), tantalum nitride (Si 3 N 4 ), cerium oxide (SiO 2 ), carbonitriding. At least one of bismuth (SiCN) and bismuth oxynitride (SiO x N y ); and the at least one hard mask of the first hard mask layer has a thickness in a range of about 5-20 nm.
TW105138462A 2015-12-24 2016-11-23 Techniques for forming electrically conductive features with improved alignment and capacitance reduction TW201735303A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2015/000341 WO2017111803A1 (en) 2015-12-24 2015-12-24 Techniques for forming electrically conductive features with improved alignment and capacitance reduction

Publications (1)

Publication Number Publication Date
TW201735303A true TW201735303A (en) 2017-10-01

Family

ID=59090938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105138462A TW201735303A (en) 2015-12-24 2016-11-23 Techniques for forming electrically conductive features with improved alignment and capacitance reduction

Country Status (2)

Country Link
TW (1) TW201735303A (en)
WO (1) WO2017111803A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755722B (en) * 2020-05-05 2022-02-21 力晶積成電子製造股份有限公司 Semiconductor structure and method for manufacturing the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11398545B2 (en) 2018-06-25 2022-07-26 Intel Corporation Single-mask, high-q performance metal-insulator-metal capacitor (MIMCAP)
EP4145498A1 (en) * 2021-09-07 2023-03-08 Imec VZW Staggered interconnection structure
CN113809002B (en) * 2021-09-13 2024-08-02 长江存储科技有限责任公司 Semiconductor device and method for manufacturing the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7105928B2 (en) * 2003-10-10 2006-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Copper wiring with high temperature superconductor (HTS) layer
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US7833893B2 (en) * 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
US20130043556A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Size-filtered multimetal structures
US9257529B2 (en) * 2014-03-11 2016-02-09 Tokyo Electron Limited Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755722B (en) * 2020-05-05 2022-02-21 力晶積成電子製造股份有限公司 Semiconductor structure and method for manufacturing the same

Also Published As

Publication number Publication date
WO2017111803A1 (en) 2017-06-29

Similar Documents

Publication Publication Date Title
US20220270964A1 (en) Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
CN107004633B (en) Method and structure for contacting closely spaced conductive layers with lead vias using an alternating hard mask and hermetic etch stop liner scheme
TWI673846B (en) Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
TWI697993B (en) Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
TWI706442B (en) Grid self-aligned metal via processing schemes for back end of line (beol) interconnects and structures resulting therefrom
US10811595B2 (en) Techniques for forming logic including integrated spin-transfer torque magnetoresistive random-access memory
TWI549245B (en) Methods for forming interconnect layers having tight pitch interconnect structures
TW201521202A (en) Method and structure to contact tight pitch conductive layers with guided vias
US11605632B2 (en) Unidirectional self-aligned gate endcap (SAGE) architectures with gate-orthogonal walls
TW201635543A (en) Optimizing gate profile for performance and gate fill
TW201735303A (en) Techniques for forming electrically conductive features with improved alignment and capacitance reduction
US20220077302A1 (en) Dual self-aligned gate endcap (sage) architectures
TWI722056B (en) Techniques for forming electrically conductive features with improved alignment and capacitance reduction
EP4202992A1 (en) Staggered vertically spaced integrated circuit line metallization with differential vias & metal-selective deposition