TW201731059A - Methods and apparatuses to provide ordered porosity - Google Patents

Methods and apparatuses to provide ordered porosity Download PDF

Info

Publication number
TW201731059A
TW201731059A TW105137103A TW105137103A TW201731059A TW 201731059 A TW201731059 A TW 201731059A TW 105137103 A TW105137103 A TW 105137103A TW 105137103 A TW105137103 A TW 105137103A TW 201731059 A TW201731059 A TW 201731059A
Authority
TW
Taiwan
Prior art keywords
layer
insulating layer
openings
dsa
component
Prior art date
Application number
TW105137103A
Other languages
Chinese (zh)
Other versions
TWI720058B (en
Inventor
大衛 米恰雷克
韓應諾
羅伯特 布里斯托
肯瓦爾 辛格
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201731059A publication Critical patent/TW201731059A/en
Application granted granted Critical
Publication of TWI720058B publication Critical patent/TWI720058B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A directed self-assembly (DSA) layer is deposited on one or more conductive features on an insulating layer on a substrate. The DSA layer comprises one or more first structures that are deposited on the insulating layer. One or more openings in the insulating layer are formed using the DSA layer as a mask to provide porosity for the insulating material. The one or more openings are self-aligned to the one or more conductive features.

Description

用以提供有序的多孔性之方法及設備 Method and apparatus for providing ordered porosity

如本文所述的實施例涉及電子裝置製造的領域,且具體來說涉及積體電路製造。 Embodiments as described herein relate to the field of electronic device fabrication, and in particular to integrated circuit fabrication.

通常,積體電路(IC)是指一組電子裝置,例如,形成在半導體材料(通常為矽)之小晶片上的電晶體。通常,結合到IC中的互連結構包括一或多層的金屬線,以將IC的電子裝置彼此連接和連接至外部連接。層間電介質放置在IC的金屬層之間用於絕緣。通常,互連結構的效率取決於每個金屬線的電阻和在金屬線之間產生的耦合電容。 Generally, an integrated circuit (IC) refers to a group of electronic devices, for example, a transistor formed on a small wafer of a semiconductor material (usually germanium). Typically, the interconnect structure incorporated into the IC includes one or more layers of metal lines to connect and connect the electronic devices of the IC to each other. An interlayer dielectric is placed between the metal layers of the IC for insulation. In general, the efficiency of an interconnect structure depends on the resistance of each metal line and the coupling capacitance created between the metal lines.

隨著IC尺寸減小,金屬線之間的間隔減小。小結構的積體更易於使用具有更高介電常數的強電介質,這增加了金屬線之間的耦合電容。金屬線之間之耦合電容的增加對沿著金屬線的信號傳輸具有負面影響。此外,耦合電容的增加增加了積體電路的能量消耗。 As the IC size decreases, the spacing between the metal lines decreases. Small structures are easier to use with a higher dielectric constant dielectric, which increases the coupling capacitance between the wires. The increase in coupling capacitance between the metal lines has a negative impact on signal transmission along the metal lines. In addition, the increase in coupling capacitance increases the energy consumption of the integrated circuit.

減小相鄰金屬線之間之電容耦合的一種傳統 技術涉及用較低k電介質材料來替換分離金屬線的較高k電介質材料。 A tradition of reducing the capacitive coupling between adjacent metal lines The technique involves replacing the higher k dielectric material of the separate metal lines with a lower k dielectric material.

100‧‧‧側視圖 100‧‧‧ side view

900‧‧‧三維視圖 900‧‧‧3D view

101‧‧‧基板 101‧‧‧Substrate

102‧‧‧絕緣層 102‧‧‧Insulation

103‧‧‧特徵 103‧‧‧Characteristics

104‧‧‧特徵 104‧‧‧Characteristics

110‧‧‧間距 110‧‧‧ spacing

131‧‧‧通孔 131‧‧‧through hole

200‧‧‧視圖 200‧‧‧ view

105‧‧‧刷層 105‧‧‧ brush layer

201‧‧‧部分 201‧‧‧ Section

202‧‧‧部分 Section 202‧‧‧

300‧‧‧視圖 300‧‧‧ view

106‧‧‧DSA層 106‧‧‧DSA layer

107‧‧‧元件方塊 107‧‧‧Component Block

111‧‧‧元件方塊 111‧‧‧Component Block

108‧‧‧部分 Section 108‧‧‧

109‧‧‧部分 109‧‧‧Parts

112‧‧‧結構 112‧‧‧ structure

113‧‧‧結構 113‧‧‧structure

301‧‧‧垂直軸 301‧‧‧ vertical axis

302‧‧‧寬度 302‧‧‧Width

303‧‧‧寬度 303‧‧‧Width

400‧‧‧視圖 400‧‧‧ view

114‧‧‧開口 114‧‧‧ openings

115‧‧‧開口 115‧‧‧ openings

401‧‧‧寬度 401‧‧‧Width

402‧‧‧寬度 402‧‧‧Width

410‧‧‧視圖 410‧‧‧ view

411‧‧‧開口 411‧‧‧ openings

500‧‧‧視圖 500‧‧‧ view

1300‧‧‧三維視圖 1300‧‧‧3D view

1303‧‧‧部分 Section 1303‧‧‧

1400‧‧‧上視圖 1400‧‧‧Upper view

116‧‧‧孔 116‧‧‧ hole

117‧‧‧孔 117‧‧‧ hole

1301‧‧‧孔 1301‧‧ hole

1302‧‧‧孔 1302‧‧‧ hole

503‧‧‧部分 Section 503‧‧‧

504‧‧‧部分 Section 504‧‧‧

501‧‧‧寬度 501‧‧‧Width

502‧‧‧寬度 502‧‧‧Width

600‧‧‧視圖 600‧‧‧ view

118‧‧‧覆蓋層 118‧‧‧ Coverage

119‧‧‧絕緣層 119‧‧‧Insulation

121‧‧‧圖案化硬遮罩層 121‧‧‧ patterned hard mask layer

601‧‧‧深度 601‧‧ depth

700‧‧‧視圖 700‧‧‧ view

122‧‧‧開口 122‧‧‧ openings

701‧‧‧溝槽區域 701‧‧‧ Groove area

702‧‧‧通孔區域 702‧‧‧through hole area

800‧‧‧視圖 800‧‧‧ view

123‧‧‧導電層 123‧‧‧ Conductive layer

1000‧‧‧三維視圖 1000‧‧‧3D view

1100‧‧‧三維視圖 1100‧‧‧3D view

1101‧‧‧間距 1101‧‧‧ spacing

1200‧‧‧三維視圖 1200‧‧‧3D view

1304‧‧‧間距 1304‧‧‧ spacing

1306‧‧‧水平軸 1306‧‧‧ horizontal axis

1307‧‧‧部分 Section 1307‧‧‧

1308‧‧‧部分 Section 1308‧‧‧

1501‧‧‧深度 1501‧‧ depth

1600‧‧‧影像 1600‧‧‧ images

1601‧‧‧金屬線 1601‧‧‧Metal wire

1602‧‧‧金屬線 1602‧‧‧Metal wire

1603‧‧‧金屬線 1603‧‧‧Metal wire

1605‧‧‧ILD層 1605‧‧‧ILD layer

1604‧‧‧開口 1604‧‧‧ openings

1606‧‧‧開口 1606‧‧‧ openings

1607‧‧‧開口 1607‧‧‧ openings

1700‧‧‧視圖 1700‧‧ view

1701‧‧‧圖 1701‧‧‧ Figure

1702‧‧‧曲線 1702‧‧‧ Curve

1703‧‧‧曲線 1703‧‧‧ Curve

1704‧‧‧資料 1704‧‧‧Information

1705‧‧‧資料 1705‧‧‧Information

1706‧‧‧資料 1706‧‧‧Information

1800‧‧‧視圖 1800‧‧ view

1801‧‧‧曲線 1801‧‧‧ Curve

1900‧‧‧中介層 1900‧‧‧Intermediary

1902‧‧‧第一基板 1902‧‧‧First substrate

1904‧‧‧第二基板 1904‧‧‧second substrate

1906‧‧‧球柵陣列 1906‧‧‧ Ball grid array

1908‧‧‧金屬互連 1908‧‧‧Metal interconnection

1910‧‧‧通孔 1910‧‧‧through hole

1912‧‧‧穿矽通孔 1912‧‧‧through through hole

1914‧‧‧嵌入式裝置 1914‧‧‧ embedded devices

2000‧‧‧計算裝置 2000‧‧‧ Computing device

2002‧‧‧積體電路晶粒 2002‧‧‧Integrated circuit die

2004‧‧‧處理器 2004‧‧‧ Processor

2006‧‧‧晶粒上記憶體 2006‧‧‧On-die memory

2008‧‧‧通訊晶片 2008‧‧‧Communication chip

2010‧‧‧揮發性記憶體 2010‧‧‧Volatile memory

2012‧‧‧非揮發性記憶體 2012‧‧‧Non-volatile memory

2014‧‧‧圖形處理單元 2014‧‧‧Graphic Processing Unit

2016‧‧‧數位信號處理器 2016‧‧‧Digital Signal Processor

2042‧‧‧密碼處理器 2042‧‧‧ cryptographic processor

2020‧‧‧晶片組 2020‧‧‧ chipsets

2022‧‧‧天線 2022‧‧‧Antenna

2024‧‧‧觸控螢幕顯示器 2024‧‧‧Touch screen display

2026‧‧‧觸控螢幕顯示器控制器 2026‧‧‧Touch Screen Display Controller

2029‧‧‧電池 2029‧‧‧Battery

2028‧‧‧全球定位系統裝置 2028‧‧‧Global Positioning System Installation

2032‧‧‧感測器 2032‧‧‧Sensor

2034‧‧‧揚聲器 2034‧‧‧Speakers

2036‧‧‧照相機 2036‧‧‧ camera

2038‧‧‧使用者輸入裝置 2038‧‧‧User input device

2040‧‧‧大容量儲存裝置 2040‧‧‧large capacity storage device

藉由參考用以說明本發明之實施例的以下描述和附圖可能最好地理解本發明的實施例。在附圖中:第1圖顯示根據一實施例之電子裝置之一部分的側視圖。 Embodiments of the present invention may be best understood by referring to the following description and the accompanying drawings. In the drawings: Figure 1 shows a side view of a portion of an electronic device in accordance with an embodiment.

第2圖是根據一實施例之在使用刷層修改絕緣層上的一或多個特徵之頂表面之後類似於第1圖的視圖。 2 is a view similar to FIG. 1 after modifying a top surface of one or more features on an insulating layer using a brush layer, in accordance with an embodiment.

第3圖是根據一實施例之在絕緣層上的特徵上沉積DSA層之後類似於第2圖的視圖。 Figure 3 is a view similar to Figure 2 after depositing a DSA layer on features on an insulating layer, in accordance with an embodiment.

第4A圖是根據一實施例之在DSA層之第二元件的結構被選擇性地移除以形成開口以暴露絕緣層之一些頂部之後類似於第3圖的視圖。 4A is a view similar to FIG. 3 after the structure of the second element of the DSA layer is selectively removed to form an opening to expose some of the top portions of the insulating layer, in accordance with an embodiment.

第4B圖是根據一實施例之在移除DSA層之第一元件的部分以增加DSA層中之開口的寬度之後類似於第4B圖的視圖。 Figure 4B is a view similar to Figure 4B after removing portions of the first element of the DSA layer to increase the width of the opening in the DSA layer, in accordance with an embodiment.

第5圖是根據一實施例之在使用DSA層作為遮罩在絕緣層中形成開口之後類似於第4A或4B圖之其一者的視圖。 Figure 5 is a view similar to one of the 4A or 4B drawings after forming an opening in the insulating layer using the DSA layer as a mask, according to an embodiment.

第6圖是根據一實施例之在覆蓋層上之絕緣層上的圖案化硬遮罩層沉積在絕緣層中的導電特徵上之後 類似於第5圖的視圖。 Figure 6 is a diagram of a patterned hard mask layer on an insulating layer over a cap layer deposited on a conductive feature in an insulating layer, in accordance with an embodiment Similar to the view in Figure 5.

第7圖是根據一實施例之在移除絕緣層的暴露部分以形成開口之後類似於第6圖的視圖。 Fig. 7 is a view similar to Fig. 6 after removing an exposed portion of the insulating layer to form an opening, according to an embodiment.

第8圖是根據一實施例之在導電層沉積至開口中之後類似於第7圖的視圖。 Figure 8 is a view similar to Figure 7 after deposition of a conductive layer into the opening, in accordance with an embodiment.

第9圖是根據一實施例之第1圖所示之電子裝置之部分的三維視圖。 Fig. 9 is a three-dimensional view of a portion of the electronic device shown in Fig. 1 according to an embodiment.

第10圖是根據一實施例之第2圖所示之電子裝置之部分的三維視圖。 Fig. 10 is a three-dimensional view of a portion of the electronic device shown in Fig. 2 according to an embodiment.

第11圖是根據一實施例之第3圖所示之電子裝置之部分的三維視圖。 Fig. 11 is a three-dimensional view of a portion of the electronic device shown in Fig. 3 according to an embodiment.

第12圖是根據一實施例之第4A圖所示之電子裝置之部分的三維視圖。 Figure 12 is a three-dimensional view of a portion of the electronic device shown in Figure 4A, in accordance with an embodiment.

第13圖是根據一實施例之第5圖所示之電子裝置之部分的三維視圖。 Figure 13 is a three-dimensional view of a portion of the electronic device shown in Figure 5, according to an embodiment.

第14圖是根據一實施例之第13圖所示之電子裝置之部分的上視圖。 Figure 14 is a top plan view of a portion of the electronic device shown in Figure 13 according to an embodiment.

第15圖是根據一實施例之沿部分的線A-A’的橫截面圖。 Fig. 15 is a cross-sectional view of a line A-A' along a portion according to an embodiment.

第16圖是根據一實施例之顯示在絕緣層上之自對準DSA遮罩之自頂向下掃描電子顯微鏡(SEM)影像的視圖。 Figure 16 is a top down scanning electron microscope (SEM) image of a self-aligned DSA mask displayed on an insulating layer, in accordance with an embodiment.

第17圖是顯示根據一實施例之楊氏模量對於各種ILD膜之多孔性之圖的視圖。 Figure 17 is a view showing a graph of Young's modulus for the porosity of various ILD films according to an embodiment.

第18圖是顯示根據一實施例之多孔性對孔半徑與孔間距離之比率的視圖。 Figure 18 is a view showing the ratio of porosity to hole radius to hole distance according to an embodiment.

第19圖繪示包括本發明之一或多個實施例的中介層。 Figure 19 illustrates an interposer including one or more embodiments of the present invention.

第20圖繪示根據本發明之一實施例的計算裝置。 Figure 20 illustrates a computing device in accordance with an embodiment of the present invention.

【發明內容及實施方式】 SUMMARY OF THE INVENTION AND EMBODIMENT

說明用以為積體電路製造提供有序的多孔性之方法及設備。在一實施例中,在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層。DSA層包含沉積在絕緣層上的一或多個第一結構。使用DSA層作為遮罩形成絕緣層中的一或多個開口以為絕緣材料提供多孔性。一或多個開口對一或多個導電特徵自對準。 Methods and apparatus for providing ordered porosity for integrated circuit fabrication are described. In one embodiment, a directed self-assembly (DSA) layer is deposited over one or more conductive features on an insulating layer on the substrate. The DSA layer includes one or more first structures deposited on the insulating layer. The DSA layer is used as a mask to form one or more openings in the insulating layer to provide porosity to the insulating material. The one or more openings are self aligned to the one or more conductive features.

通常,為了使電介質材料能夠縮小到低於2.0的低k值,電介質材料需要具有大於40%的多孔性。製造多孔電介質材料的傳統技術包括將背骨前體與選擇性地燒蝕或蝕刻出基質的致孔劑材料隨機混合。 Generally, in order for the dielectric material to shrink to a low k value below 2.0, the dielectric material needs to have a porosity greater than 40%. Conventional techniques for making porous dielectric materials include randomly mixing a back bone precursor with a porogen material that selectively ablates or etches the substrate.

然而,在高負載體積的致孔劑材料下,不再有背骨前體分子的連續互連網路,使得在燒蝕或蝕刻出致孔劑材料時,電介質材料崩潰。 However, under high loading volume porogen materials, there is no longer a continuous interconnect network of back bone precursor molecules such that the dielectric material collapses when ablating or etching the porogen material.

通常,致孔劑的增加負載導致50百分率(%)-60%的最大可實現多孔性。在最大多孔性或接近最大多孔性時,電介質材料的機械強度變得太低,以至於不 能承受用於線加工和組裝之後端的典型加工條件。 Generally, the increased loading of the porogen results in a maximum achievable porosity of 50% (%) to 60%. At maximum porosity or near maximum porosity, the mechanical strength of the dielectric material becomes too low to be Can withstand typical processing conditions for wire processing and assembly ends.

在一實施例中,增加層間電介質(ILD)材料的多孔性涉及使用DSA材料作為蝕刻遮罩來蝕刻ILD材料,以在與當前金屬化架構相容的金屬線之間形成ILD材料中的孔。形成在金屬線之間的每個孔具有沿著垂直軸延伸的形狀。在一實施例中,使用DSA材料作為遮罩在ILD中形成的垂直孔對沿著水平軸延伸的金屬線自對準。在層金屬化完成之後,在ILD中形成垂直孔。在ILD層中形成沿著水平軸對金屬線自對準的垂直孔增加了ILD材料的多孔性,同時最大化沿著垂直軸之ILD材料的機械強度。 In one embodiment, increasing the porosity of the interlayer dielectric (ILD) material involves etching the ILD material using a DSA material as an etch mask to form holes in the ILD material between the metal lines that are compatible with the current metallization architecture. Each of the holes formed between the metal wires has a shape extending along the vertical axis. In one embodiment, the DSA material is used as a vertical hole formed in the ILD to self-align the metal lines extending along the horizontal axis. After the layer metallization is completed, vertical holes are formed in the ILD. Forming a vertical hole in the ILD layer that self-aligns the metal lines along the horizontal axis increases the porosity of the ILD material while maximizing the mechanical strength of the ILD material along the vertical axis.

在一實施例中,DSA遮罩保護金屬層、阻擋層和附近ILD材料層的後化學機械拋光(CMP)頂表面。DSA遮罩的實施例可能提供三點保護,因為DSA遮罩可能防止在ILD蝕刻期間之金屬特徵、阻擋層和ILD的退化。在一實施例中,控制使用DSA材料作為遮罩蝕刻ILD層中之孔的蝕刻,使得在蝕刻之後小體積的ILD材料保留在阻擋層側壁上。 In one embodiment, the DSA mask protects the metal layer, the barrier layer, and the post-chemical mechanical polishing (CMP) top surface of the nearby ILD material layer. Embodiments of the DSA mask may provide three-point protection because the DSA mask may prevent degradation of metal features, barrier layers, and ILD during ILD etching. In one embodiment, the DSA material is used as an etch to mask the holes in the etched ILD layer such that a small volume of ILD material remains on the sidewalls of the barrier layer after etching.

由於在層的金屬化完成之後蝕刻ILD層中的孔,因此可用基本上比多孔ILD更強的無孔ILD進行金屬圖案化。由於金屬線之間之ILD的一些部分被移除,所以金屬線之間的電容減小。在形成垂直孔之後,ILD的一些部分保留在金屬線之間。金屬線之間之ILD的剩餘部分提供額外的機械強度,與傳統氣隙或多孔ILD技術相比, 其增加剪切模量和防止通孔疲勞。 Since the holes in the ILD layer are etched after the metallization of the layer is completed, metal patterning can be performed with a non-porous ILD that is substantially stronger than the porous ILD. Since some portions of the ILD between the metal lines are removed, the capacitance between the metal lines is reduced. Some portions of the ILD remain between the metal lines after the vertical holes are formed. The remainder of the ILD between the wires provides additional mechanical strength compared to conventional air gap or porous ILD technology. It increases the shear modulus and prevents through hole fatigue.

使用如本文所述之DSA層形成有序垂直孔之方法和設備的一些實施例可能避免對於遮罩插塞區域的需要,與其中插塞區域被遮罩的技術相比,例如一些氣隙技術,這可降低製造成本。傳統的氣隙技術將需要移除金屬線之間的所有ILD材料。與傳統技術相比,ILD之移除部分的尺寸被限制為由DSA材料決定的尺寸,並不需要對應於ILD之部分的尺寸,使得僅移除在金屬線之間的一部分ILD材料。引入高度多孔膜的結構順序不僅允許多孔性的延伸超出50%-60%,而且與具有未結構化孔形狀的傳統材料相比,所得到的機械性質顯著更高。在一實施例中,在金屬線之間的ILD層中形成有序的孔結構。在一實施例中,形成在ILD層中的每個孔結構具有圓柱形狀,並沿垂直軸朝向基板延伸,如在下面進一步詳細描述。 Some embodiments of methods and apparatus for forming ordered vertical apertures using a DSA layer as described herein may avoid the need for a mask plug region, such as some air gap techniques, as compared to techniques in which the plug region is masked. This can reduce manufacturing costs. Traditional air gap technology will require removal of all ILD material between the wires. The size of the removed portion of the ILD is limited to the size determined by the DSA material compared to conventional techniques, and does not require a size corresponding to the portion of the ILD such that only a portion of the ILD material between the metal lines is removed. The structural order in which the highly porous film is introduced not only allows the elongation of the porosity to exceed 50% to 60%, but also the mechanical properties obtained are significantly higher than those of the conventional material having an unstructured pore shape. In an embodiment, an ordered pore structure is formed in the ILD layer between the metal lines. In an embodiment, each of the aperture structures formed in the ILD layer has a cylindrical shape and extends toward the substrate along a vertical axis, as described in further detail below.

垂直圓柱多孔性安排可能沿垂直軸(層對層)遞送機械剛度,同時沿著橫(金屬線對金屬線)軸也有益處。因為當前的製造方法導致沿著垂直軸的最大機械應力(例如,在隨後的化學機械拋光(CMP)、晶粒/封裝組裝、及熱變化期間引起的應力),在金屬線之間的ILD層中形成有序的垂直取向的孔可能在一些實施例中對於給定的多孔性在垂直方向上提供機械剛度的益處。在一實施例中,與傳統技術相比,在金屬線之間之ILD的中間自對準的垂直取向的圓柱形孔允許甚至更大的多孔性值。在一實施例中,在金屬線之間之ILD的中間自對準的垂直 取向的圓柱形孔提供了將ILD壁保持在金屬線之右側不變的選擇,如下面進一步詳細描述的。 The vertical cylindrical porosity arrangement may deliver mechanical stiffness along the vertical axis (layer to layer) while also benefiting along the transverse (wire to wire) axis. Because current manufacturing methods result in maximum mechanical stress along the vertical axis (eg, stresses caused during subsequent chemical mechanical polishing (CMP), die/package assembly, and thermal changes), the ILD layer between the metal lines The formation of an ordered vertically oriented aperture in some embodiments may provide the benefit of mechanical stiffness in the vertical direction for a given porosity in some embodiments. In one embodiment, a self-aligned vertically oriented cylindrical aperture in the middle of the ILD between the metal lines allows for even greater porosity values than conventional techniques. In one embodiment, the self-aligned vertical in the middle of the ILD between the metal lines The oriented cylindrical aperture provides the option of maintaining the ILD wall to the right of the metal line, as described in further detail below.

在下面的描述中,將使用本領域之技藝者通常採用的術語來描述說明性實作的各種態樣,以向本領域的其他技藝者傳達他們的工作的實質。然而,對本領域之技藝者顯而易見的是,本發明可能僅利用所述態樣之一些者來實踐。為了說明的目的,闡述了具體的數字、材料和配置,以便提供對說明性實作的透徹理解。然而,對本領域之技藝者顯而易見的是,本發明可能在沒有具體細節的情況下實施。在其他實例中,省略或簡化熟知的特徵以免模糊說明性實作。 In the following description, various aspects of the illustrative embodiments will be described using the terms commonly employed by those skilled in the art to convey the substance of their work to those skilled in the art. However, it will be apparent to those skilled in the art that the present invention may be practiced only by some of the aspects described. For purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the illustrative embodiments. However, it is apparent to those skilled in the art that the present invention may be practiced without specific details. In other instances, well-known features are omitted or simplified to avoid obscuring the illustrative.

各種操作將以最有助於理解本發明的方式依次被描述為多個離散操作;然而,描述的順序不應被解釋為暗示這些操作必須依賴於順序。具體地,這些操作不需要按照呈現的順序來進行。 Various operations will be described as a plurality of discrete operations in a manner that is most helpful in understanding the present invention; however, the order of description should not be construed as implying that the operations must be dependent on the order. In particular, these operations do not need to be performed in the order presented.

雖然在附圖中描述和顯示某些示範實施例,但是應當理解,這些實施例僅僅是說明性的而不是限制性的,且實施例不限於所示和所述的具體結構和佈置,因為本領域之通常技藝者可能進行修改。 While the invention has been shown and described with reference to the exemplary embodiments embodiments The average artist in the field may make modifications.

在整個說明書中對「一實施例」、「另一實施例」或「實施例」的引用意味著結合實施例所述的特定特徵、結構、或特性包括在至少一實施例中。因此,在整個說明書之各個地方中之如「一實施例」和「實施例」之詞的出現不一定都指相同的實施例。再者,特定特徵、結 構、或特性可能在一或多個實施例中以任何適當的方式組合。 A reference to "an embodiment", "an embodiment" or "an embodiment" or "an embodiment" or "an" Therefore, the appearances of the "a" and "an" Furthermore, specific features, knots The configurations, or characteristics, may be combined in any suitable manner in one or more embodiments.

此外,本發明態樣在於少於單一揭露之實施例的所有特徵。因此,遵循詳細說明的申請專利範圍於此被明確地併入詳細說明中,其中每個申請專利範圍自身作為單獨的實施例。儘管本文已描述示範實施例,但是本領域之技藝者將認識到可利用如本文所述的修改和改變來實踐這些示範實施例。因此,描述被認為是說明性的而不是限制性的。 Moreover, the present invention resides in less than all features of a single disclosed embodiment. Therefore, the scope of the patent application, which is hereby incorporated by reference in its entirety herein, Although the exemplary embodiments have been described herein, it will be understood by those skilled in the art that Accordingly, the description is to be regarded as illustrative rather than limiting.

第1圖顯示根據一實施例之電子裝置之一部分的側視圖100。第9圖是根據一實施例之第1圖所示之電子裝置之部分的三維視圖900。在基板101上之絕緣層102上形成複數個特徵,例如特徵103和特徵104。 Figure 1 shows a side view 100 of a portion of an electronic device in accordance with an embodiment. Figure 9 is a three-dimensional view 900 of a portion of an electronic device shown in Figure 1 of an embodiment. A plurality of features, such as features 103 and features 104, are formed on insulating layer 102 on substrate 101.

在實施例中,基板101包含半導體材料,例如矽(Si)。在一實施例中,基板101是單晶Si基板。在另一實施例中,基板101是多晶矽基板。在另一實施例中,基板101表示先前的互連層。在又一實施例中,基板101是非晶矽基板。在替代實施例中,基板101包括矽、鍺(「Ge」)、矽鍺(「SiGe」)、III-V材料基材料,例如砷化鎵(「GaAs」)、或其任何組合。在一實施例中,基板101包括用於積體電路的金屬化互連層。在至少一些實施例中,基板101包括電子裝置,例如電晶體、記憶體、電容器、電阻器、光電裝置、開關、及由電性絕緣層分離的任何其它主動和被動電子裝置,例如層間電介 質、溝槽絕緣層、或電子裝置製造領域之通常技藝者已知的任何其它絕緣層。在至少一些實施例中,基板101包括配置以連接金屬化層的互連,例如通孔。 In an embodiment, substrate 101 comprises a semiconductor material, such as germanium (Si). In an embodiment, the substrate 101 is a single crystal Si substrate. In another embodiment, the substrate 101 is a polycrystalline germanium substrate. In another embodiment, substrate 101 represents a prior interconnect layer. In yet another embodiment, the substrate 101 is an amorphous germanium substrate. In an alternate embodiment, substrate 101 comprises germanium, germanium ("Ge"), germanium ("SiGe"), III-V material based materials such as gallium arsenide ("GaAs"), or any combination thereof. In an embodiment, substrate 101 includes a metallization interconnect layer for an integrated circuit. In at least some embodiments, substrate 101 includes electronic devices such as transistors, memories, capacitors, resistors, optoelectronic devices, switches, and any other active and passive electronic devices separated by an electrically insulating layer, such as interlayer dielectrics A quality, trench insulating layer, or any other insulating layer known to those of ordinary skill in the art of electronic device fabrication. In at least some embodiments, substrate 101 includes interconnects configured to connect metallization layers, such as vias.

在實施例中,基板101是包括體下部基板、中間絕緣層、和頂部單晶層的絕緣體上半導體(SOI)基板。頂部單晶層可能包含上面列出的任何材料,例如矽。 In an embodiment, the substrate 101 is a semiconductor-on-insulator (SOI) substrate including a bulk lower substrate, an intermediate insulating layer, and a top single crystal layer. The top single crystal layer may contain any of the materials listed above, such as germanium.

在各種實作中,基板可以是例如有機、陶瓷、玻璃、或半導體基板。在一實作中,半導體基板可能是使用體矽或絕緣體上矽結構形成的結晶基板。在其他實作中,半導體基板可能使用可能或可能不與矽結合的替代材料形成,其包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵、或III-V族或IV族材料的其它組合。雖然這裡描述可能形成基板之材料的幾個實例,但是可能用作可能構建被動和主動電子裝置(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電裝置、或任何其它電子裝置)之基礎的任何材料落在本發明的精神和範圍內。 In various implementations, the substrate can be, for example, an organic, ceramic, glass, or semiconductor substrate. In one implementation, the semiconductor substrate may be a crystalline substrate formed using a germanium or insulator germanium structure. In other implementations, the semiconductor substrate may be formed using alternative materials that may or may not be combined with germanium, including but not limited to germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, arsenic. Indium gallium, gallium antimonide, or other combinations of III-V or Group IV materials. Although several examples of materials that may form a substrate are described herein, it may be used as a possible construction of passive and active electronic devices (eg, transistors, memories, capacitors, inductors, resistors, switches, integrated circuits, amplifiers, optoelectronics). Any material based on the device, or any other electronic device, is within the spirit and scope of the present invention.

在一實施例中,絕緣層102是層間電介質(ILD)層。在一實施例中,絕緣層102是無孔絕緣層。在另一實施例中,絕緣層102具有小於10%的多孔性。在一實施例中,絕緣層102是具有大於3.9之k值的固體高k電介質。在一實施例中,絕緣層102是具有小於或等於3.9之k值的固體低k電介質層。在一實施例中,絕緣層102是氧化物層,例如氧化矽層、二氧化矽、碳摻雜氧化 物(「CDO」)、或其任何組合。在另一實施例中,絕緣層102是氮化物層,例如氮化矽層。在替代實施例中,絕緣層102包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(「SiOF」)玻璃、有機矽酸鹽玻璃(「SiOCH」)、其它金屬氧化物、或其任何組合。在替代實施例中,絕緣層102是氧化鋁、氮氧化矽、其它金屬氧化物/氮化物層、其任何組合、或由電子裝置設計決定的其它電性絕緣層。 In an embodiment, the insulating layer 102 is an interlayer dielectric (ILD) layer. In an embodiment, the insulating layer 102 is a non-porous insulating layer. In another embodiment, the insulating layer 102 has a porosity of less than 10%. In an embodiment, the insulating layer 102 is a solid high k dielectric having a k value greater than 3.9. In an embodiment, the insulating layer 102 is a solid low-k dielectric layer having a k value of less than or equal to 3.9. In an embodiment, the insulating layer 102 is an oxide layer, such as a hafnium oxide layer, hafnium oxide, carbon doped oxidation. ("CDO"), or any combination thereof. In another embodiment, the insulating layer 102 is a nitride layer, such as a tantalum nitride layer. In an alternate embodiment, the insulating layer 102 comprises a nitride, an oxide, a polymer, a phosphonium phosphate glass, a fluorosilicate ("SiOF") glass, an organic tellurite glass ("SiOCH"), and other metal oxides. , or any combination thereof. In an alternate embodiment, insulating layer 102 is aluminum oxide, hafnium oxynitride, other metal oxide/nitride layers, any combination thereof, or other electrically insulating layer as determined by electronic device design.

在一實施例中,絕緣層102的厚度由設計決定。在一實施例中,絕緣層102沉積至從大約20奈米(nm)到大約2微米(μm)的厚度。在實施例中,使用諸如但不限於化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋塗、或微電子裝置製造領域之通常技藝者已知的其它沉積技術之其中一個沉積技術在基板101上沉積絕緣層102。 In an embodiment, the thickness of the insulating layer 102 is determined by design. In an embodiment, the insulating layer 102 is deposited to a thickness of from about 20 nanometers (nm) to about 2 micrometers (μm). In embodiments, such as, but not limited to, chemical vapor deposition ("CVD") (eg, plasma enhanced chemical vapor deposition ("PECVD")), physical vapor deposition ("PVD"), molecular beam epitaxy (" One of the deposition techniques of MBE"), metal organic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), spin coating, or other deposition techniques known to those of ordinary skill in the art of microelectronic device fabrication is An insulating layer 102 is deposited on the substrate 101.

如第1和9圖所示,特徵103和104形成在絕緣層102中。在一實施例中,特徵103和104是導電特徵。在替代實施例中,導電特徵是導電線、連接至101層中之元件的導電通孔、溝槽、或其任何組合。在一實施例中,導電特徵之至少一者(例如導電特徵103)包含連接至基板101的通孔131。在一實施例中,導電特徵(間 距)之間的中心到中心距離小於約100nm。在一實施例中,導電特徵之間的間距110為約10奈米(nm)至約80nm。在更具體的實施例中,間距110為約20nm至約50nm。在一實施例中,導電特徵是互連層的一部分。 Features 103 and 104 are formed in insulating layer 102 as shown in FIGS. 1 and 9. In an embodiment, features 103 and 104 are electrically conductive features. In an alternate embodiment, the conductive features are conductive lines, conductive vias connected to elements in the 101 layer, trenches, or any combination thereof. In an embodiment, at least one of the conductive features (eg, conductive features 103) includes a via 131 that is coupled to substrate 101. In an embodiment, the conductive features The center-to-center distance between the distances is less than about 100 nm. In one embodiment, the spacing 110 between the conductive features is from about 10 nanometers (nm) to about 80 nm. In a more specific embodiment, the pitch 110 is from about 20 nm to about 50 nm. In an embodiment, the electrically conductive feature is part of an interconnect layer.

在一實施例中,使用微電子裝置製造領域之通常技藝者已知的其中一個導電特徵形成技術來形成導電特徵。在一實施例中,使用微電子裝置製造領域之通常技藝者已知的圖案化和蝕刻技術來圖案化和蝕刻絕緣層102以形成開口(例如,溝槽、或其它開口)。沉積一或多個導電層,例如基底層上的導電層,以填充絕緣層102中的開口。其中一個化學機械拋光(CMP)技術用以移除一或多個導電層延伸在絕緣層102之頂部上方的部分。沉積在絕緣層102中之開口內的一或多個導電層的部分不被移除並變成圖案化導電特徵,例如導電特徵103和104。在一實施例中,導電特徵的寬度小於約40nm。在一實施例中,導電特徵的寬度在大約5nm至大約40nm的範圍內。在一實施例中,導電特徵的高度小於約65nm。在一實施例中,導電特徵的厚度在8nm至65nm的近似範圍內。 In one embodiment, one of the conductive feature forming techniques known to those of ordinary skill in the art of microelectronic device fabrication is used to form the conductive features. In one embodiment, the insulating layer 102 is patterned and etched to form openings (eg, trenches, or other openings) using patterning and etching techniques known to those of ordinary skill in the art of microelectronic device fabrication. One or more conductive layers, such as a conductive layer on the substrate layer, are deposited to fill the openings in the insulating layer 102. One of the chemical mechanical polishing (CMP) techniques is used to remove portions of one or more conductive layers that extend above the top of the insulating layer 102. Portions of one or more conductive layers deposited within the openings in insulating layer 102 are not removed and become patterned conductive features, such as conductive features 103 and 104. In an embodiment, the conductive features have a width of less than about 40 nm. In an embodiment, the width of the conductive features is in the range of from about 5 nm to about 40 nm. In an embodiment, the height of the conductive features is less than about 65 nm. In an embodiment, the thickness of the conductive features is in the approximate range of 8 nm to 65 nm.

在一實施例中,基底層包括沉積在導電阻擋層、一或多個襯墊層、或兩者上的導電種子層。在一實施例中,種子層包括銅(Cu)。在另一實施例中,種子層包括鎢(W)。在替代實施例中,種子層是銅、氮化鈦、釕、鎳、鈷、鎢、或其任何組合。在更具體的實施例中,種子層是銅。在一實施例中,導電阻擋層包括鋁、鈦、氮 化鈦、鉭、氮化鉭、鎢、鈷、釕、其它金屬、或其任何組合。通常,導電阻擋層用以防止導電材料從種子層擴散到絕緣層102中,以提供對種子層的黏附,或兩者。在一實施例中,基底層包含沉積在絕緣層102中之開口的側壁和底部上之阻擋層上的種子層。在另一實施例中,基底層包括直接沉積在絕緣層102中之開口之側壁和底部上的種子層。導電阻擋層和種子層之各者可能使用半導體製造領域之通常技藝者已知的任何薄膜沉積技術來沉積,例如藉由濺射、覆蓋沉積等。在一實施例中,導電阻擋層和種子層之各者具有在大約0.5奈米(nm)至100nm之範圍內的厚度。在一實施例中,阻擋層可能是已經蝕刻以建立對下面之金屬層的導電性的薄電介質。在一實施例中,可能完全省略阻擋層,並可能使用銅線的適當摻雜來形成「自形成阻擋層」。 In an embodiment, the substrate layer comprises a conductive seed layer deposited on the conductive barrier layer, one or more liner layers, or both. In an embodiment, the seed layer comprises copper (Cu). In another embodiment, the seed layer comprises tungsten (W). In an alternate embodiment, the seed layer is copper, titanium nitride, tantalum, nickel, cobalt, tungsten, or any combination thereof. In a more specific embodiment, the seed layer is copper. In an embodiment, the conductive barrier layer comprises aluminum, titanium, nitrogen Titanium, tantalum, tantalum nitride, tungsten, cobalt, rhenium, other metals, or any combination thereof. Typically, a conductive barrier layer serves to prevent diffusion of conductive material from the seed layer into the insulating layer 102 to provide adhesion to the seed layer, or both. In one embodiment, the substrate layer includes a seed layer deposited on the sidewalls of the openings in the insulating layer 102 and the barrier layer on the bottom. In another embodiment, the substrate layer includes a seed layer deposited directly on the sidewalls and bottom of the opening in the insulating layer 102. Each of the conductive barrier layer and the seed layer may be deposited using any thin film deposition technique known to those of ordinary skill in the art of semiconductor fabrication, such as by sputtering, blanket deposition, and the like. In one embodiment, each of the electrically conductive barrier layer and the seed layer has a thickness in the range of from about 0.5 nanometers (nm) to 100 nm. In an embodiment, the barrier layer may be a thin dielectric that has been etched to establish electrical conductivity to the underlying metal layer. In an embodiment, the barrier layer may be omitted altogether, and a suitable self-doping of the copper wire may be used to form a "self-forming barrier layer."

在一實施例中,藉由電鍍程序將銅的導電層沉積到銅的種子層上。在另一實施例中,使用半導體製造領域之通常技藝者已知的其中一個選擇性沉積技術,例如電鍍、化學鍍、或類似技術,將導電層沉積到種子層上。在一實施例中,用於導電層之材料的選擇決定種子層之材料的選擇。例如,若用於導電層的材料包括銅,則用於種子層的材料也包括銅。在一實施例中,導電層包括例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀 (Pd)、金(Au)、鉑(Pt)、矽(Si)或其任何組合。 In one embodiment, a conductive layer of copper is deposited onto the copper seed layer by an electroplating process. In another embodiment, a conductive layer is deposited onto the seed layer using one of the selective deposition techniques known to those of ordinary skill in the art of semiconductor fabrication, such as electroplating, electroless plating, or the like. In one embodiment, the selection of the material for the conductive layer determines the choice of material for the seed layer. For example, if the material used for the conductive layer includes copper, the material used for the seed layer also includes copper. In an embodiment, the conductive layer includes, for example, copper (Cu), ruthenium (Ru), nickel (Ni), cobalt (Co), chromium (Cr), iron (Fe), manganese (Mn), titanium (Ti), Aluminum (Al), hafnium (Hf), tantalum (Ta), tungsten (W), vanadium (V), molybdenum (Mo), palladium (Pd), gold (Au), platinum (Pt), bismuth (Si), or any combination thereof.

在替代實施例中,可能用於導電層以形成導電特徵的導電材料之實例包括但不限於金屬(例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料、或其任何組合。 In alternative embodiments, examples of conductive materials that may be used in the conductive layer to form conductive features include, but are not limited to, metals (eg, copper, tantalum, tungsten, tantalum, titanium, hafnium, zirconium, aluminum, silver, tin, lead), Metal alloys, metal carbides (eg, tantalum carbide, zirconium carbide, titanium carbide, tantalum carbide, aluminum carbide), other conductive materials, or any combination thereof.

在一實施例中,藉由移除絕緣層102中之開口之外之導電層和基底層的部分來形成導電特徵。可能化學地(例如使用蝕刻)、機械地(例如使用拋光)、或藉由其組合技術(例如使用微電子裝置製造領域之通常技藝者已知的化學機械拋光(「CMP」)技術)來移除導電層的部分。 In an embodiment, the conductive features are formed by removing portions of the conductive layer and the base layer other than the openings in the insulating layer 102. It may be chemically (e.g., using etching), mechanically (e.g., using polishing), or by a combination thereof (e.g., using chemical mechanical polishing ("CMP") techniques known to those of ordinary skill in the art of microelectronic device fabrication). Except for the part of the conductive layer.

在另一實施例中,特徵103和104包含已經沉積在絕緣層102中之溝槽中代替導電材料的犧牲材料(例如,可填充碳硬遮罩、氧化物、氮化物、或如氮化鈦或鎢的犧牲金屬),以避免在稍後程序中損壞導電材料。在替代實施例中,使用其中一個犧牲層沉積技術(例如,濺射、覆蓋沉積、旋塗、或電子裝置製造領域之通常技藝者已知的其它沉積技術)來沉積犧牲層以填充絕緣層102中的開口。在一實施例中,使用其中一個化學機械拋光(CMP)技術來移除犧牲層在絕緣層102之頂部上方延伸的部分。 In another embodiment, features 103 and 104 comprise a sacrificial material (eg, a carbon hard mask, oxide, nitride, or titanium nitride) that has been deposited in a trench in insulating layer 102 in place of a conductive material. Or tungsten sacrificial metal) to avoid damage to the conductive material in later procedures. In an alternate embodiment, one of the sacrificial layer deposition techniques (eg, sputtering, blanket deposition, spin coating, or other deposition techniques known to those of ordinary skill in the art of electronic device fabrication) is used to deposit the sacrificial layer to fill the insulating layer 102. The opening in the middle. In one embodiment, one of the chemical mechanical polishing (CMP) techniques is used to remove portions of the sacrificial layer that extend over the top of the insulating layer 102.

第2圖是根據一實施例之在使用刷層105修改絕緣層102上的一或多個特徵之頂表面之後類似於第1 圖的視圖200。第10圖是根據一實施例之第2圖所示之電子裝置之部分的三維視圖1000。在一些實施例中,刷層包含在一些程序條件下結合到導體並不結合到絕緣層的材料。在一些實施例中,刷層包含當在大於室溫的溫度下退火時化學結合到導體並不結合到絕緣層的材料。如第2和10圖所示,刷層105的部分(例如部分201和部分202)沉積在特徵(例如特徵103和104)的頂表面上。刷層105不沉積在絕緣層102的頂表面上。在一實施例中,刷層105的材料是DSA材料。在一實施例中,刷層105包含耦接至尾部元件之終端元件。在一非限制性示範實施例中,刷層105的終端元件是硫醇、膦酸酯、酸、或其任何組合。在一非限制性示範實施例中,刷層105的終端元件是硫醇(-SH)、膦酸(-PO3R2,其中R=H、CH3、C2H5等)、羥基(-OH)、羧基(-COOH)基團、醛(-COH)、其任何衍生物、或其任何組合。在一實施例中,刷層105的尾部元件對應於在程序中稍後沉積在導電特徵上之DSA材料的元件。在一實施例中,刷層105的尾部元件是聚甲基丙烯酸甲酯(PMMA)材料。在一實施例中,刷層105的尾部元件是聚苯乙烯(PS)材料。在其他實施例中,使用其它材料作為刷層的終端和尾部元件。在一實施例中,刷層105的厚度為約0.5nm至約5nm。 2 is a view 200 similar to FIG. 1 after modifying the top surface of one or more features on the insulating layer 102 using the brush layer 105, in accordance with an embodiment. Figure 10 is a three-dimensional view 1000 of a portion of an electronic device shown in Figure 2, in accordance with an embodiment. In some embodiments, the brush layer comprises a material that is bonded to the conductor and not bonded to the insulating layer under some programming conditions. In some embodiments, the brush layer comprises a material that chemically bonds to the conductor and does not bond to the insulating layer when annealed at a temperature greater than room temperature. As shown in Figures 2 and 10, portions of brush layer 105 (e.g., portion 201 and portion 202) are deposited on the top surface of features (e.g., features 103 and 104). The brush layer 105 is not deposited on the top surface of the insulating layer 102. In an embodiment, the material of the brush layer 105 is a DSA material. In an embodiment, the brush layer 105 includes a termination element that is coupled to the tail element. In a non-limiting, exemplary embodiment, the terminal element of brush layer 105 is a thiol, phosphonate, acid, or any combination thereof. In a non-limiting exemplary embodiment, the terminal elements of the brush layer 105 are thiol (-SH), phosphonic acid (-PO 3 R 2 , where R = H, CH 3 , C 2 H 5 , etc.), hydroxyl groups ( -OH), a carboxyl (-COOH) group, an aldehyde (-COH), any derivative thereof, or any combination thereof. In one embodiment, the tail elements of the brush layer 105 correspond to elements of the DSA material that are later deposited on the conductive features in the program. In an embodiment, the tail element of brush layer 105 is a polymethyl methacrylate (PMMA) material. In an embodiment, the tail element of brush layer 105 is a polystyrene (PS) material. In other embodiments, other materials are used as the terminal and tail elements of the brush layer. In an embodiment, the brush layer 105 has a thickness of from about 0.5 nm to about 5 nm.

在一實施例中,使用其中一個旋塗技術將包括終端元件和尾部元件之刷層105的液體溶液沉積在導電 特徵和絕緣層102的頂表面上。在沉積之後,刷層105在大於室溫的溫度下烘烤以化學地結合到導電特徵。在一實施例中,刷層105在大約攝氏60度到大約攝氏200度之範圍內的溫度下烘烤。在一實施例中,刷層105藉由終端元件結合到特徵103和104。刷層105不與絕緣層102化學地結合。刷層105之在特徵外部的部分使用一或多種沖洗技術移除。在替代實施例中,使用許多沉積技術之其一者沉積刷層105,諸如但不限於化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或電子裝置製造領域之通常技藝者已知的其它沉積技術。 In one embodiment, a liquid solution comprising the brush layer 105 of the terminal element and the tail element is deposited on the conductive using one of the spin coating techniques. Features and top surface of insulating layer 102. After deposition, the brush layer 105 is baked at a temperature greater than room temperature to chemically bond to the conductive features. In one embodiment, the brush layer 105 is baked at a temperature ranging from about 60 degrees Celsius to about 200 degrees Celsius. In an embodiment, the brush layer 105 is bonded to features 103 and 104 by terminal elements. The brush layer 105 is not chemically bonded to the insulating layer 102. The portion of the brush layer 105 that is external to the feature is removed using one or more irrigation techniques. In an alternate embodiment, the brush layer 105 is deposited using one of a number of deposition techniques, such as, but not limited to, chemical vapor deposition ("CVD") (eg, plasma enhanced chemical vapor deposition ("PECVD")), physical gas Phase deposition ("PVD"), molecular beam epitaxy ("MBE"), metal organic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), or known to those of ordinary skill in the art of electronic device fabrication. Other deposition techniques.

第3圖是根據一實施例之在絕緣層102上的特徵上沉積DSA層106之後類似於第2圖的視圖300。第11圖是根據一實施例之第3圖所示之電子裝置之部分的三維視圖1100。在一實施例中,DSA層106包含聚合物鏈。在一實施方案中,DSA層106是二嵌段共聚物。如第3和10圖所示,DSA層106包含第一元件(例如,元件方塊107)和第二元件(例如,元件方塊111)。在一實施例中,第一元件是PMMA,且第二元件是PS。在另一實施例中,第一元件是PS,且第二元件是PMMA。在其它實施例中,其它材料用作DSA層的第一和第二元件。 FIG. 3 is a view 300 similar to FIG. 2 after deposition of the DSA layer 106 on features on the insulating layer 102, in accordance with an embodiment. Figure 11 is a three-dimensional view 1100 of a portion of an electronic device shown in Figure 3, in accordance with an embodiment. In an embodiment, the DSA layer 106 comprises a polymer chain. In one embodiment, the DSA layer 106 is a diblock copolymer. As shown in Figures 3 and 10, the DSA layer 106 includes a first component (e.g., component block 107) and a second component (e.g., component block 111). In an embodiment, the first component is PMMA and the second component is PS. In another embodiment, the first component is a PS and the second component is a PMMA. In other embodiments, other materials are used as the first and second components of the DSA layer.

如第3和11圖所示,第一元件的一些部分 (例如部分108)沉積在如特徵103和104上之刷層105之部分201的部分上。第一元件的一些部分(例如部分109)沉積在特徵103和104外部之絕緣層102的一些部分上。第二元件111的部分沉積在特徵103和104外部之絕緣層102的一些其它部分上。第二元件111的部分包含結構,例如結構112和結構113。在一實施例中,結構是沿垂直軸301從DSA層106的頂表面朝向基板101延伸的垂直圓柱體。在一實施例中,沿著垂直軸301延伸的結構112和113之各者具有在平行於DSA層106之頂表面之平面中的預定形狀(例如,圓形、橢圓形、卵形、三角形、矩形、六邊形、或其它形狀)。如第3圖所示,結構112和113之各者具有基本平行的相對側壁。如第3圖所示,在結構113之頂部處的寬度302基本上類似於在結構113之底部處的寬度303。在一非限制性實例中,結構113的寬度小於約100nm。在一非限制性實例中,結構113的寬度在約5nm至約50nm的近似範圍內。在更具體的非限制性實例中,結構113的寬度為約10nm至約30nm。 As shown in Figures 3 and 11, some parts of the first component (e.g., portion 108) is deposited on portions of portion 201 of brush layer 105 as features 103 and 104. Portions of the first component, such as portion 109, are deposited on portions of insulating layer 102 that are external to features 103 and 104. Portions of the second element 111 are deposited on some other portion of the insulating layer 102 outside of features 103 and 104. Portions of the second element 111 comprise structures, such as structure 112 and structure 113. In an embodiment, the structure is a vertical cylinder extending from the top surface of the DSA layer 106 toward the substrate 101 along the vertical axis 301. In an embodiment, each of the structures 112 and 113 extending along the vertical axis 301 has a predetermined shape (eg, circular, elliptical, oval, triangular, in a plane parallel to the top surface of the DSA layer 106). Rectangular, hexagonal, or other shape). As shown in Figure 3, each of structures 112 and 113 has substantially parallel opposing side walls. As shown in FIG. 3, the width 302 at the top of the structure 113 is substantially similar to the width 303 at the bottom of the structure 113. In one non-limiting example, structure 113 has a width of less than about 100 nm. In one non-limiting example, the width of structure 113 is in the approximate range of from about 5 nm to about 50 nm. In a more specific, non-limiting example, the structure 113 has a width of from about 10 nm to about 30 nm.

在一實施例中,DSA層106在大於室溫的溫度下烘烤,以將第二元件111自組織成諸如結構112和113的結構,使得第二元件111的結構僅僅在導電特徵外之絕緣層102的部分上。在一實施例中,DSA層106在大約100℃至大約250℃的溫度範圍內烘烤。 In one embodiment, the DSA layer 106 is baked at a temperature greater than room temperature to self-organize the second component 111 into structures such as structures 112 and 113 such that the structure of the second component 111 is only insulated outside of the conductive features. On the portion of layer 102. In one embodiment, the DSA layer 106 is baked at a temperature ranging from about 100 °C to about 250 °C.

在一實施例中,刷層105的尾部元件與DSA 層106的第一元件具有相同的材料。也就是說,藉由DSA刷層105修改導電特徵的頂表面迫使DSA層106的第二元件之結構在特徵103和104之間自對準。 In an embodiment, the tail element of the brush layer 105 and the DSA The first element of layer 106 has the same material. That is, modifying the top surface of the conductive features by the DSA brush layer 105 forces the structure of the second component of the DSA layer 106 to self-align between features 103 and 104.

在一實施例中,調整第一元件、第二元件、或其任何組合的體積分數以提供預定結構,以在導電特徵之間的絕緣層102中形成自對準有序孔。在一實施例中,DSA層106包含20%至約40%體積的第二元件和約60%至約80%體積的第一元件。在更具體的實施例中,DSA層106包括約30%體積的第二元件和約70%體積的第一元件。 In an embodiment, the volume fraction of the first element, the second element, or any combination thereof is adjusted to provide a predetermined structure to form a self-aligned ordered hole in the insulating layer 102 between the conductive features. In an embodiment, the DSA layer 106 comprises from 20% to about 40% by volume of the second component and from about 60% to about 80% by volume of the first component. In a more specific embodiment, the DSA layer 106 includes about 30% by volume of the second element and about 70% by volume of the first element.

在一實施例中,DSA層106之第二元件111的相鄰結構之間的間距1101被調整為對應於下面特徵103和104的間距110。在一實施例中,DSA層結構圖案的間距大於下面特徵103和104的間距除以約2除以3的平方根(例如,2×(3)-0.5 1.15)。在一實施例中,間距1101是DSA材料之第一元件分子和第二元件分子之總長度的函數。在一實施例中,基於下面導電圖案的間距來調整DSA材料的第一元件和第二元件分子的總長度。在一實施例中,調整DSA材料的第二元件和第一元件之至少一者的分子量以確保DSA層之第二元件的結構在導電特徵之間之絕緣層102的部分上產生。 In an embodiment, the spacing 1101 between adjacent structures of the second element 111 of the DSA layer 106 is adjusted to correspond to the pitch 110 of the features 103 and 104 below. In one embodiment, the pitch of the DSA layer structure pattern is greater than the pitch of the features 103 and 104 below divided by a square root of about 2 divided by 3 (eg, 2 x (3) - 0.5 1.15). In one embodiment, the pitch 1101 is a function of the total length of the first component molecule and the second component molecule of the DSA material. In an embodiment, the total length of the first and second element molecules of the DSA material is adjusted based on the pitch of the underlying conductive pattern. In one embodiment, the molecular weight of at least one of the second component and the first component of the DSA material is adjusted to ensure that the structure of the second component of the DSA layer is created over portions of the insulating layer 102 between the conductive features.

在一實施例中,DSA層106的厚度使得DSA層之第二元件之結構之間的間距對應於絕緣層102上之導電特徵之間的間距。在一實施例中,DSA層足夠薄,使 得DSA層之第二元件的基本上所有結構沿著垂直軸301延伸。在一實施例中,DSA層106的厚度為約10nm至約80nm。在更具體的實施例中,DSA層106的厚度為約30nm至約50nm。 In one embodiment, the thickness of the DSA layer 106 is such that the spacing between the structures of the second elements of the DSA layer corresponds to the spacing between the conductive features on the insulating layer 102. In an embodiment, the DSA layer is sufficiently thin to Substantially all of the structure of the second element of the DSA layer extends along the vertical axis 301. In an embodiment, the DSA layer 106 has a thickness of from about 10 nm to about 80 nm. In a more specific embodiment, the DSA layer 106 has a thickness of from about 30 nm to about 50 nm.

在一實施例中,將包含DSA材料的液體溶液旋塗到絕緣層102的頂部上和刷層105上。在替代實施例中,使用其它沉積技術沉積DSA層106,諸如但不限於化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或電子裝置製造領域之通常技藝者已知的其它沉積技術。 In one embodiment, a liquid solution comprising a DSA material is spin coated onto the top of insulating layer 102 and on brush layer 105. In an alternate embodiment, the DSA layer 106 is deposited using other deposition techniques such as, but not limited to, chemical vapor deposition ("CVD") (eg, plasma enhanced chemical vapor deposition ("PECVD")), physical vapor deposition (" PVD"), molecular beam epitaxy ("MBE"), metal organic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), or other deposition techniques known to those of ordinary skill in the art of electronic device fabrication.

第4A圖是根據一實施例之在DSA層106之第二元件的結構被選擇性地移除以形成開口114和115以暴露絕緣層102之一些頂部之後類似於第3圖的視圖400。第12圖是根據一實施例之第4A圖所示之電子裝置之部分的三維視圖1200。 4A is a view 400 similar to FIG. 3 after the structure of the second element of the DSA layer 106 is selectively removed to form openings 114 and 115 to expose some of the tops of the insulating layer 102, in accordance with an embodiment. Figure 12 is a three-dimensional view 1200 of a portion of an electronic device shown in Figure 4A, in accordance with an embodiment.

在一實施例中,DSA層106的第二元件111對DSA層106的第一元件107具有相當高的蝕刻選擇性。在一實施例中,第二元件111的蝕刻率與第一元件107的蝕刻率之間的比率為至少8:1。如第4A和12圖所示,DSA層106之第一元件的部分保留在特徵103和104上以及絕緣層102的一些其它頂部上。如第4A和13圖所示,開口114和115沿著垂直軸301延伸穿過DSA層106 的厚度。在一實施例中,開口114和115的形狀類似於結構112和113的形狀。在一實施例中,沿著垂直軸線301延伸的每個開口114和115具有圓柱形狀。在一實施例中,沿著垂直軸301延伸的每個開口114和115在平行於DSA層106之頂表面的平面中具有預定形狀(例如,圓形、橢圓形、卵形、三角形、矩形、六邊形、或任何其它形狀)。如第4A圖所示,開口114和115之各者具有基本上平行的相對側壁。如第4A和12圖所示,開口115之頂部處的寬度401基本上類似於開口115之底部處的寬度402。在一實施例中,開口114和115之各者的寬度是從約5nm至約50nm。 In an embodiment, the second component 111 of the DSA layer 106 has a relatively high etch selectivity to the first component 107 of the DSA layer 106. In an embodiment, the ratio between the etch rate of the second element 111 and the etch rate of the first element 107 is at least 8:1. As shown in Figures 4A and 12, portions of the first component of the DSA layer 106 remain on features 103 and 104 and some other tops of insulating layer 102. As shown in Figures 4A and 13, openings 114 and 115 extend through DSA layer 106 along vertical axis 301. thickness of. In an embodiment, the shapes of the openings 114 and 115 are similar to the shapes of the structures 112 and 113. In an embodiment, each of the openings 114 and 115 extending along the vertical axis 301 has a cylindrical shape. In an embodiment, each of the openings 114 and 115 extending along the vertical axis 301 has a predetermined shape in a plane parallel to the top surface of the DSA layer 106 (eg, circular, elliptical, oval, triangular, rectangular, Hexagon, or any other shape). As shown in Figure 4A, each of the openings 114 and 115 has substantially parallel opposing side walls. As shown in Figures 4A and 12, the width 401 at the top of the opening 115 is substantially similar to the width 402 at the bottom of the opening 115. In one embodiment, each of the openings 114 and 115 has a width of from about 5 nm to about 50 nm.

在一實施例中,使用電子裝置製造領域之通常技藝者已知的濕蝕刻、乾蝕刻、或其組合之一或多者來選擇性地移除DSA層106之第二元件的結構。在一實施例中,在選擇性地移除DSA層106之第二元件的結構之後,DSA層106在大於室溫的溫度下固化。 In one embodiment, one or more of wet etching, dry etching, or a combination thereof, known to those of ordinary skill in the art of electronic device fabrication, is used to selectively remove the structure of the second component of the DSA layer 106. In one embodiment, after selectively removing the structure of the second component of the DSA layer 106, the DSA layer 106 is cured at a temperature greater than room temperature.

在一實施例中,DSA層106之第二元件是PMMA材料,且DSA層106的第一元件是PS材料。在一實施例中,使用深紫外(DUV)曝光(以切割PMMA),接著選擇性濕蝕刻(例如,使用乙酸、異丙醇、或其它濕溶液)來選擇性地移除DSA層106的PMMA結構。DSA層的剩餘PS元件用作蝕刻遮罩以蝕刻到ILD層102中。 In one embodiment, the second component of the DSA layer 106 is a PMMA material and the first component of the DSA layer 106 is a PS material. In one embodiment, deep ultraviolet (DUV) exposure (to cut PMMA) followed by selective wet etching (eg, using acetic acid, isopropanol, or other wet solution) to selectively remove PMMA of DSA layer 106 structure. The remaining PS elements of the DSA layer are used as an etch mask to etch into the ILD layer 102.

第4B圖是根據一實施例之在移除DSA層106之第一元件的部分以增加DSA層106中之開口的寬度之 後類似於第4B圖的視圖410。如第4B圖所示,開口411比開口115寬。開口415比開口114寬。使用例如灰化技術、或濕蝕刻技術各向同性地蝕刻DSA層106的第一元件,以擴寬DSA層106中的開口。在一實施例中,使用基於氫的灰化技術蝕刻DSA層106的第一元件。在另一實施例中,使用基於氧的灰化技術蝕刻DSA層106的第一元件。 4B is a portion of the first component of the DSA layer 106 removed to increase the width of the opening in the DSA layer 106, in accordance with an embodiment. This is similar to view 410 of Figure 4B. As shown in FIG. 4B, the opening 411 is wider than the opening 115. The opening 415 is wider than the opening 114. The first element of the DSA layer 106 is isotropically etched using, for example, an ashing technique, or a wet etch technique to widen the opening in the DSA layer 106. In an embodiment, the first element of the DSA layer 106 is etched using a hydrogen based ashing technique. In another embodiment, the first element of the DSA layer 106 is etched using an oxygen based ashing technique.

如第4B圖所示,每個開口411和415具有基本平行的相對側壁。如第4B圖所示,開口411之頂部處的寬度基本上類似於開口411之底部處的寬度。在一實施例中,開口411和415之各者的寬度為約5nm至約50。在一些實施例中,開口411和415具有朝向底部的錐形,如下面進一步詳細描述的。 As shown in Figure 4B, each of the openings 411 and 415 has substantially parallel opposing side walls. As shown in FIG. 4B, the width at the top of the opening 411 is substantially similar to the width at the bottom of the opening 411. In one embodiment, each of the openings 411 and 415 has a width of from about 5 nm to about 50. In some embodiments, the openings 411 and 415 have a taper toward the bottom, as described in further detail below.

第5圖是根據一實施例之在使用DSA層作為遮罩並接著使用灰化、乾蝕刻、或濕化學蝕刻移除DSA遮罩在絕緣層102中形成開口之後類似於第4A或4B圖之其一者的視圖500。第13圖是根據一實施例之第5圖所示之電子裝置之部分的三維視圖1300。第14圖是根據一實施例之第13圖所示之電子裝置之部分1303的上視圖1400。第15圖是根據一實施例之沿部分1303的線A-A’的橫截面圖。 Figure 5 is a view similar to Figure 4A or 4B after using a DSA layer as a mask and then removing the DSA mask to form an opening in the insulating layer 102 using an ashing, dry etching, or wet chemical etch, in accordance with an embodiment. A view 500 of one of them. Figure 13 is a three-dimensional view 1300 of a portion of an electronic device shown in Figure 5, in accordance with an embodiment. Figure 14 is a top view 1400 of a portion 1303 of an electronic device shown in Figure 13 in accordance with an embodiment. Figure 15 is a cross-sectional view of line A-A' along portion 1303, in accordance with an embodiment.

如第5、13、14及15圖所示,在導電特徵外之絕緣層102中形成複數個開口(孔),例如孔116和117、1301和1302。如第5、13及15圖所示,在導電特 徵103和104之間的絕緣層102中形成孔。在特徵103和104下面的絕緣層102中不形成孔。孔被剩餘絕緣材料102的小部分(例如,部分503和504)與導電特徵分離。在一實施例中,剩餘絕緣材料102之部分的尺寸在約0.1nm至約20nm的範圍內。在更具體的實施例中,剩餘絕緣材料102之部分的尺寸在約1nm至約5nm的範圍內。在一實施例中,在平行於導電特徵的方向上,孔116、117、1301、和1302藉由剩餘絕緣材料102的部分(例如,部分1307和1308)彼此分離,如第13、14及15圖所示。移除DSA層106的第一元件及刷層201和202以暴露出導電特徵外之絕緣層102的特徵(例如,特徵103和104)和部分。 As shown in Figures 5, 13, 14 and 15, a plurality of openings (holes), such as holes 116 and 117, 1301 and 1302, are formed in the insulating layer 102 outside of the conductive features. As shown in Figures 5, 13 and 15, in conductive Holes are formed in the insulating layer 102 between the signs 103 and 104. No holes are formed in the insulating layer 102 under features 103 and 104. The apertures are separated from the conductive features by a small portion of the remaining insulating material 102 (eg, portions 503 and 504). In one embodiment, the portion of the remaining insulating material 102 has a size in the range of from about 0.1 nm to about 20 nm. In a more specific embodiment, the portion of the remaining insulating material 102 has a size in the range of from about 1 nm to about 5 nm. In one embodiment, the holes 116, 117, 1301, and 1302 are separated from one another by portions of the remaining insulating material 102 (eg, portions 1307 and 1308) in directions parallel to the conductive features, such as 13, 13, and 15 The figure shows. The first component and brush layers 201 and 202 of the DSA layer 106 are removed to expose features (e.g., features 103 and 104) and portions of the insulating layer 102 outside of the conductive features.

如第5、13及15圖所示,絕緣層102中的每個孔在與絕緣層102之頂表面平行的平面中具有預定形狀(例如,圓形、橢圓形、卵形、三角形、矩形、六邊形、或其他形狀)並沿著垂直軸301延伸穿過絕緣層102之厚度的預定深度。第14及15圖繪示用於絕緣層102之有序多孔性的實例,絕緣層102呈與金屬線自對準之垂直柱體的形狀。在一實施例中,絕緣層102中的孔之形狀類似於DSA層中之開口114和115的形狀。在另一實施例中,孔116和117的形狀類似於開口415和411的形狀。在一實施例中,絕緣層102中的孔具有沿著絕緣層之厚度(例如,沿著垂直軸301)的細長形狀。在一實施例中,蝕刻程序在孔的底部產生一些錐體。在一實施例中,絕緣層 102中的孔具有略微圓錐形的底部形狀。在至少一些實施例中,細長孔的寬度隨深度而變化,使得孔之頂部處的寬度不同於孔之底部處的寬度。在一實施例中,孔之頂部處的寬度大於孔之底部處的寬度。在另一實施例中,孔之頂部處的寬度小於孔之底部處的寬度。在一實施例中,絕緣層102中的每個孔具有類似於垂直定向圓柱體的形狀。在一實施例中,絕緣層102中的每個開口具有基本平行的相對側壁。如第5圖所示,開口117之頂部處的寬度501基本上類似於開口117之底部處的寬度502。在一實施例中,絕緣層102中之每個開口的寬度為從約5nm至約50nm。 As shown in FIGS. 5, 13, and 15, each of the holes in the insulating layer 102 has a predetermined shape in a plane parallel to the top surface of the insulating layer 102 (for example, a circle, an ellipse, an oval, a triangle, a rectangle, A hexagon, or other shape) and extending along the vertical axis 301 through a predetermined depth of the thickness of the insulating layer 102. 14 and 15 illustrate an example of the orderly porosity for the insulating layer 102, the insulating layer 102 being in the shape of a vertical cylinder that is self-aligned with the metal lines. In one embodiment, the shape of the holes in the insulating layer 102 is similar to the shape of the openings 114 and 115 in the DSA layer. In another embodiment, the shapes of the holes 116 and 117 are similar to the shapes of the openings 415 and 411. In an embodiment, the holes in the insulating layer 102 have an elongated shape along the thickness of the insulating layer (eg, along the vertical axis 301). In one embodiment, the etching process creates some cones at the bottom of the aperture. In an embodiment, the insulating layer The holes in 102 have a slightly conical bottom shape. In at least some embodiments, the width of the elongated aperture varies with depth such that the width at the top of the aperture is different than the width at the bottom of the aperture. In an embodiment, the width at the top of the aperture is greater than the width at the bottom of the aperture. In another embodiment, the width at the top of the aperture is less than the width at the bottom of the aperture. In an embodiment, each of the holes in the insulating layer 102 has a shape similar to a vertically oriented cylinder. In an embodiment, each opening in the insulating layer 102 has substantially parallel opposing sidewalls. As shown in FIG. 5, the width 501 at the top of the opening 117 is substantially similar to the width 502 at the bottom of the opening 117. In an embodiment, each of the openings in the insulating layer 102 has a width of from about 5 nm to about 50 nm.

如第13圖所示,絕緣層102中的開口(例如,開口117和開口1302)對導電特徵103和105自對準,導電特徵103和105沿平行於絕緣層102之頂表面的平面中的水平軸1306延伸。 As shown in FIG. 13, openings (eg, openings 117 and openings 1302) in the insulating layer 102 are self-aligned to the conductive features 103 and 105, and the conductive features 103 and 105 are in a plane parallel to the top surface of the insulating layer 102. The horizontal axis 1306 extends.

在一實施例中,基於特徵103和104之間的間距110來調整絕緣層中之開口1301和1302之間的間距1304。在一實施例中,開口層的間距1304大於特徵的間距110除以約2除以3的平方根(例如,2×(3)-0.5 1.15)。如第15和13圖所示,絕緣層102中之開口的深度(例如深度1501)類似於導電特徵的厚度。在另一實施例中,絕緣層102中之開口的深度大於導電特徵的厚度。在又一實施例中,絕緣層102中之開口的深度小於導電特徵的厚度。 In an embodiment, the spacing 1304 between the openings 1301 and 1302 in the insulating layer is adjusted based on the spacing 110 between the features 103 and 104. In one embodiment, the spacing 1304 of the opening layer is greater than the spacing 110 of the feature divided by about 2 divided by the square root of 3 (eg, 2 x (3) -0.5 1.15). As shown in Figures 15 and 13, the depth of the opening in the insulating layer 102 (e.g., depth 1501) is similar to the thickness of the conductive features. In another embodiment, the depth of the opening in the insulating layer 102 is greater than the thickness of the conductive features. In yet another embodiment, the depth of the opening in the insulating layer 102 is less than the thickness of the conductive features.

在一實施例中,使用乾蝕刻技術(例如,等離子體蝕刻技術或其它乾蝕刻技術)通過特徵103和104之間之DSA層106中的開口蝕刻絕緣層102中的開口。在一實施例中,使用基於氟化物的等離子體蝕刻來蝕刻特徵103和104之間之絕緣層102中的開口。在一實施例中,使用基於氟化碳(例如,CF4、CH2F2、CH3F、C4F8等)的等離子體蝕刻蝕刻特徵103和104之間之絕緣層102中的開口。 In one embodiment, the openings in the insulating layer 102 are etched through openings in the DSA layer 106 between features 103 and 104 using dry etch techniques (eg, plasma etch techniques or other dry etch techniques). In an embodiment, fluoride-based plasma etching is used to etch openings in the insulating layer 102 between features 103 and 104. In one embodiment, the openings in the insulating layer 102 between the features 103 and 104 are etched using a plasma based on fluorinated carbon (eg, CF 4 , CH 2 F 2 , CH 3 F, C 4 F 8 , etc.). .

在一實施例中,在形成絕緣層106中的開口之後,移除DSA層106之第一元件的部分。在一實施例中,使用乾蝕刻技術(例如等離子體蝕刻技術)、濕蝕刻技術、或其任何組合技術之其一者來移除DSA層106之第一元件的部分。 In an embodiment, after the opening in the insulating layer 106 is formed, portions of the first element of the DSA layer 106 are removed. In one embodiment, a portion of the first component of the DSA layer 106 is removed using one of a dry etch technique (eg, a plasma etch technique), a wet etch technique, or any combination thereof.

儘管絕緣層102中之孔的位置由DSA層106的圖案確定,但是孔的寬度及因此多孔性可通過對DSA層106之第一元件之部分的附加蝕刻來調整,如第4B圖所示。此蝕刻也可確定進入絕緣層102之孔的寬度。孔的寬度和深度都有助於多孔性,且因此有助於金屬線之間的電容。通常,隨著孔之寬度、深度或兩者增加,絕緣層的多孔性增加。在一實施例中,絕緣層102的上部分包含延伸通過絕緣層102之厚度之一部分的孔,使得絕緣層102的下面底部比ILD層的上部更堅固和緻密。 Although the location of the holes in the insulating layer 102 is determined by the pattern of the DSA layer 106, the width of the holes and thus the porosity can be adjusted by additional etching of portions of the first component of the DSA layer 106, as shown in FIG. 4B. This etching also determines the width of the holes that enter the insulating layer 102. Both the width and depth of the holes contribute to porosity and thus contribute to the capacitance between the wires. Generally, as the width, depth or both of the holes increase, the porosity of the insulating layer increases. In an embodiment, the upper portion of the insulating layer 102 includes holes that extend through a portion of the thickness of the insulating layer 102 such that the underside of the insulating layer 102 is stronger and denser than the upper portion of the ILD layer.

在另一實施例中,當特徵103和104包含犧牲材料時,絕緣層102中的垂直孔116和117使用一或多 種填充材料沉積技術(例如,旋塗沉積、可流動化學氣相沉積、或原子層沉積)用填充材料(例如,可填充碳硬遮罩、氧化物、氮化物、或如氮化鈦或鎢的犧牲金屬)來填充。可使用電子裝置製造領域之通常技藝者已知的一或多種犧牲材料移除技術(例如,乾蝕刻、濕蝕刻、或兩者)從絕緣層102中的溝槽移除犧牲材料。在一實施例中,在移除犧牲材料之後,絕緣層102中的溝槽被導電材料填充以形成導電特徵,如上面關於第1圖所述。 In another embodiment, when features 103 and 104 comprise sacrificial material, vertical holes 116 and 117 in insulating layer 102 use one or more Filler material deposition techniques (eg, spin-on deposition, flowable chemical vapor deposition, or atomic layer deposition) with filler materials (eg, can be filled with carbon hard masks, oxides, nitrides, or such as titanium nitride or tungsten The sacrificial metal) to fill. The sacrificial material may be removed from the trenches in the insulating layer 102 using one or more sacrificial material removal techniques known to those of ordinary skill in the art of electronic device fabrication (eg, dry etching, wet etching, or both). In an embodiment, after the sacrificial material is removed, the trenches in the insulating layer 102 are filled with a conductive material to form conductive features, as described above with respect to FIG.

如第5、13、14、及15圖所示,週期性垂直孔116、117、1301和1302被金屬線503和504之間之ILD的部分(壁)分開,並藉由ILD材料1307和1308的部分(壁)彼此分離。剩餘ILD材料的這些部分提供一些益處。首先,此剩餘ILD部分分流遠離通孔的一些垂直應力(以最小化通孔疲勞)。其次,ILD的這些部分提供額外的橫向機械強度並減少剪切應力下的故障風險。第三,在一些實施例中,剩餘的ILD 503和504可向金屬線的邊緣提供額外的介電穩定性。第三,在至少一些實施例中,在ILD蝕刻期間,DSA遮罩提供金屬和阻擋的保護。在至少一些實施例中,添加犧牲材料,在保護金屬的同時進行蝕刻的可能性或兩者均用以在DSA遮罩中產生大於金屬線之間之間隔的開口。在一實施例中,ILD中的有序孔不顯著地寬於導電特徵之間的半間距。在這種情況下,DSA遮罩在ILD蝕刻期間提供對金屬和阻擋的保護。在其他實施例中,例如當使用犧牲金屬時或當使用對ILD蝕 刻穩定的阻擋/金屬系統時,DSA遮罩的有序洞可大於導電特徵之間的半間距。在此實施例中,金屬線之間之ILD的總多孔性可增加超過34%的多孔性。 As shown in Figures 5, 13, 14, and 15, the periodic vertical holes 116, 117, 1301, and 1302 are separated by portions (walls) of the ILD between the metal lines 503 and 504, and by ILD materials 1307 and 1308. The parts (walls) are separated from each other. These portions of the remaining ILD material provide some benefits. First, this remaining ILD portion shunts some of the vertical stress away from the via (to minimize via fatigue). Second, these parts of the ILD provide additional lateral mechanical strength and reduce the risk of failure under shear stress. Third, in some embodiments, the remaining ILDs 503 and 504 can provide additional dielectric stability to the edges of the metal lines. Third, in at least some embodiments, the DSA mask provides metal and barrier protection during ILD etching. In at least some embodiments, the sacrificial material is added, the possibility of etching while protecting the metal, or both are used to create openings in the DSA mask that are larger than the spacing between the metal lines. In an embodiment, the ordered holes in the ILD are not significantly wider than the half pitch between the conductive features. In this case, the DSA mask provides protection against metal and blocking during the ILD etch. In other embodiments, such as when using a sacrificial metal or when using an ILD etch When a stable barrier/metal system is engraved, the ordered holes of the DSA mask can be larger than the half spacing between the conductive features. In this embodiment, the total porosity of the ILD between the metal lines can increase the porosity by more than 34%.

第6圖是根據一實施例之在覆蓋層118上之絕緣層119上的圖案化硬遮罩層121沉積在絕緣層102中的導電特徵103和104上之後類似於第5圖的視圖600。如第6圖所示,覆蓋層118橋接在開口116和117上。在一實施例中,覆蓋層118向下延伸到開口116和117中達到深度601。在替代實施例中,深度601為約0nm至約20nm。在更具體的實施例中,深度601為約3nm至約10nm。 6 is a view 600 similar to FIG. 5 after the patterned hard mask layer 121 on the insulating layer 119 on the cap layer 118 is deposited on the conductive features 103 and 104 in the insulating layer 102, in accordance with an embodiment. As shown in FIG. 6, the cover layer 118 is bridged over the openings 116 and 117. In an embodiment, the cover layer 118 extends down into the openings 116 and 117 to a depth 601. In an alternate embodiment, the depth 601 is from about 0 nm to about 20 nm. In a more specific embodiment, the depth 601 is from about 3 nm to about 10 nm.

在一實施例中,覆蓋層118的厚度為約2nm至約20nm。在一實施例中,覆蓋層118是蝕刻停止層。在一實施例中,覆蓋層118是氮化矽、碳化矽、或其任何組合。在替代實施例中,覆蓋層118是氧化物層(例如氧化矽層)、碳摻雜氧化物層(例如碳摻雜氧化矽層)、碳氧化矽(SiOC)層、氟摻雜氧化矽、金屬氧化物(例如氧化鈦、氧化鋁、氧化鉿、或任何其它金屬氧化物);氫化矽倍半氧烷(HSQ)、氟化無定形碳、甲基倍半矽氧烷(MSQ)、氮化物層(例如氮化矽、氮氧化矽)、碳化矽、或其它覆蓋層。 In an embodiment, the cover layer 118 has a thickness of from about 2 nm to about 20 nm. In an embodiment, the cap layer 118 is an etch stop layer. In an embodiment, the cap layer 118 is tantalum nitride, tantalum carbide, or any combination thereof. In an alternate embodiment, the cap layer 118 is an oxide layer (eg, a hafnium oxide layer), a carbon doped oxide layer (eg, a carbon doped hafnium oxide layer), a ceria (SiOC) layer, a fluorine doped ceria, Metal oxides (such as titanium oxide, aluminum oxide, cerium oxide, or any other metal oxide); hydrogenated sesquioxanes (HSQ), fluorinated amorphous carbon, methyl sesquiterpene oxide (MSQ), nitrogen a layer (such as tantalum nitride, hafnium oxynitride), tantalum carbide, or other cover layer.

如上所述,為了在積體架構中繼續積體隨後的上層,用覆蓋層118覆蓋下面ILD層中的垂直有序孔。在一實施例中,ILD層102中的垂直孔(洞)填充有犧 牲材料(未示出)(例如,可填充碳硬遮罩、氧化物、氮化物、或如氮化鈦或鎢的犧牲金屬)。在一實施例中,填充洞的犧牲材料使用CMP、蝕刻、或兩者略微凹陷在金屬特徵103和104下方。在一實施例中,在凹陷的犧牲材料上沉積半多孔篩層(例如,氮化矽、碳化矽、碳氧化矽、碳摻雜氧化物、或具有在5-30%體積之間之多孔性的上述組合)。在一實施例中,使用本領域之通常技藝者已知的其中一個犧牲材料移除技術,通過半多孔篩層移除犧牲材料。在一實施例中,在移除犧牲材料之後,使用任何孔填充策略(諸如PECVD沉積、ALD沉積、或旋塗)將半多孔篩網轉換為無孔覆蓋層,例如覆蓋層118。 As described above, in order to continue to build up the subsequent upper layer in the integrated structure, the vertical ordered holes in the lower ILD layer are covered with the cover layer 118. In an embodiment, the vertical holes (holes) in the ILD layer 102 are filled with sacrificial Material (not shown) (for example, may be filled with a carbon hard mask, an oxide, a nitride, or a sacrificial metal such as titanium nitride or tungsten). In an embodiment, the sacrificial material filling the holes is sagged below the metal features 103 and 104 using CMP, etching, or both. In one embodiment, a semi-porous screen layer (eg, tantalum nitride, tantalum carbide, tantalum carbon oxide, carbon doped oxide, or having a porosity between 5-30% by volume) is deposited on the recessed sacrificial material. The above combination). In one embodiment, the sacrificial material is removed through a semi-porous screen layer using one of the sacrificial material removal techniques known to those of ordinary skill in the art. In an embodiment, the semi-porous screen is converted to a non-porous cover layer, such as cover layer 118, using any hole filling strategy (such as PECVD deposition, ALD deposition, or spin coating) after removal of the sacrificial material.

在一實施例中,使用其中一個沉積技術來沉積覆蓋層118,諸如但不限於旋塗、化學氣相沉積(「CVD」)(例如等離子體增強化學氣相沉積(「PECVD」))、物理氣相沉積(「PVD」)、分子束外延(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或電子裝置製造領域之通常技藝者已知的其它沉積技術。如第6圖所示,在覆蓋層118上沉積絕緣層119。在一實施例中,絕緣層119是如上面關於第1圖所示之絕緣層102所述的其中一個絕緣層。在一實施例中,絕緣層119使用如上面關於第1圖所示之絕緣層102所述的一或多個絕緣層沉積技術來沉積。在一實施例中,絕緣層119是下一互連層的一部分。圖案化硬遮罩層121沉積在絕緣層119上以形成 下一互連層。圖案化硬遮罩層121暴露絕緣層119的部分。在一實施例中,硬遮罩層121是氮化物層,例如氮化矽、氮氧化矽、碳層、其他硬遮罩層、或其任何組合。可使用電子裝置製造領域之通常技藝者已知的其中一個硬遮罩層沉積和圖案化技術來形成圖案化遮罩層121。 In one embodiment, one of the deposition techniques is used to deposit a cap layer 118 such as, but not limited to, spin coating, chemical vapor deposition ("CVD") (eg, plasma enhanced chemical vapor deposition ("PECVD")), physics It is known to those skilled in the art of vapor deposition ("PVD"), molecular beam epitaxy ("MBE"), metal organic chemical vapor deposition ("MOCVD"), atomic layer deposition ("ALD"), or electronic device fabrication. Other deposition techniques. As shown in FIG. 6, an insulating layer 119 is deposited on the cap layer 118. In one embodiment, the insulating layer 119 is one of the insulating layers as described above with respect to the insulating layer 102 shown in FIG. In one embodiment, insulating layer 119 is deposited using one or more insulating layer deposition techniques as described above with respect to insulating layer 102 shown in FIG. In an embodiment, the insulating layer 119 is part of the next interconnect layer. A patterned hard mask layer 121 is deposited on the insulating layer 119 to form The next interconnect layer. The patterned hard mask layer 121 exposes portions of the insulating layer 119. In an embodiment, the hard mask layer 121 is a nitride layer such as tantalum nitride, hafnium oxynitride, a carbon layer, other hard mask layers, or any combination thereof. The patterned mask layer 121 can be formed using one of the hard mask layer deposition and patterning techniques known to those of ordinary skill in the art of electronic device fabrication.

第7圖是根據一實施例之在移除絕緣層102的暴露部分以形成開口122之後類似於第6圖的視圖700。如第7圖所示,開口122向下形成到導電特徵104。在一實施例中,開口122包含溝槽區域701和通孔區域702。在一實施例中,使用一或多個蝕刻技術(例如乾蝕刻、濕蝕刻、或電子裝置製造領域之通常技藝者已知的兩種技術)來移除絕緣層102的暴露部分。在一實施例中,使用電子裝置製造領域之通常技藝者已知的一或多個硬遮罩層移除技術來移除硬遮罩層121。在一實施例中,使用灰化技術移除硬遮罩層121。 FIG. 7 is a view 700 similar to FIG. 6 after removing the exposed portions of the insulating layer 102 to form the openings 122, in accordance with an embodiment. As shown in FIG. 7, opening 122 is formed down to conductive feature 104. In an embodiment, the opening 122 includes a trench region 701 and a via region 702. In one embodiment, the exposed portions of the insulating layer 102 are removed using one or more etching techniques (eg, dry etching, wet etching, or two techniques known to those of ordinary skill in the art of electronic device fabrication). In one embodiment, the hard mask layer 121 is removed using one or more hard mask layer removal techniques known to those of ordinary skill in the art of electronic device fabrication. In an embodiment, the hard mask layer 121 is removed using ashing techniques.

第8圖是根據一實施例之在導電層123沉積至開口122中之後類似於第7圖的視圖800。如第8圖所示,導電層123填充開口122以形成至導電特徵104的導電通孔。在一實施例中,使用半導體製造領域之通常技藝者已知的其中一個導電層沉積技術(例如電鍍、化學鍍、或其它導電層沉積技術)來沉積導電層123。在一實施例中,導電層123是如上面關於第1圖所述的其中一個導電層。 Figure 8 is a view 800 similar to Figure 7 after deposition of conductive layer 123 into opening 122, in accordance with an embodiment. As shown in FIG. 8, conductive layer 123 fills opening 122 to form conductive vias to conductive features 104. In one embodiment, conductive layer 123 is deposited using one of the conductive layer deposition techniques known in the art of semiconductor fabrication, such as electroplating, electroless plating, or other conductive layer deposition techniques. In one embodiment, conductive layer 123 is one of the conductive layers as described above with respect to FIG.

第16圖是根據一實施例之顯示在絕緣層上之 自對準DSA遮罩之自頂向下掃描電子顯微鏡(SEM)影像1600的視圖。如影像1600所示,金屬線(例如金屬線1601、1602和1603)沉積在ILD層1605上。DSA遮罩包含複數個開口,例如在ILD層1605之部分上居中的開口1604和開口1606。DSA遮罩在金屬線上沒有開口,如第16圖所示。開口1604和1606在金屬線1601和1602之間之ILD層1605的部分上居中,如第16圖所示。開口1604和1606被佈置為基本上平行於金屬線1601和1602,如第16圖所示。ILD層1605具有插塞區域,例如插塞區域1606。插塞區域是不具有金屬線的ILD區域。在這些區域中,DSA遮罩仍然產生週期性開口,例如開口1607。DSA遮罩下面的電介質材料被蝕刻以包括與如上所述之導電特徵之間之ILD層中的那些類似的複數個有序孔。由於在這些插塞區域中不存在金屬線,所以總多孔性比金屬線之間的總多孔性低約2分之一。相對於傳統氣隙處理,DSA遮罩提供額外的益處,其中插塞區域的所有ILD被完全蝕刻並對上述硬遮罩層118的處理提出挑戰。通常,插塞區域從氣隙蝕刻中被遮罩,且這需要另一個昂貴的光刻步驟。在至少一些實施例中,DSA遮罩僅允許在類似於導電特徵之間之插塞區域中產生蝕刻的ILD洞。積體硬遮罩層118的挑戰在插塞和非插塞區域兩者中類似,因此不需要額外的光刻步驟。 Figure 16 is a view showing an insulating layer according to an embodiment A view of a top-down scanning electron microscope (SEM) image 1600 of a self-aligned DSA mask. Metal lines (e.g., metal lines 1601, 1602, and 1603) are deposited on ILD layer 1605 as shown in image 1600. The DSA mask includes a plurality of openings, such as an opening 1604 and an opening 1606 centered over portions of the ILD layer 1605. The DSA mask has no openings on the wire, as shown in Figure 16. Openings 1604 and 1606 are centered over portions of ILD layer 1605 between metal lines 1601 and 1602, as shown in FIG. Openings 1604 and 1606 are arranged substantially parallel to metal lines 1601 and 1602, as shown in FIG. The ILD layer 1605 has a plug region, such as a plug region 1606. The plug area is an ILD area that does not have a metal line. In these areas, the DSA mask still produces periodic openings, such as opening 1607. The dielectric material under the DSA mask is etched to include a plurality of ordered holes similar to those in the ILD layer between the conductive features as described above. Since no metal wires are present in these plug regions, the total porosity is about one-half lower than the total porosity between the metal wires. The DSA mask provides an additional benefit over conventional air gap processing where all ILDs of the plug region are completely etched and challenge the handling of the hard mask layer 118 described above. Typically, the plug region is masked from the air gap etch and this requires another expensive lithography step. In at least some embodiments, the DSA mask only allows for the creation of etched ILD holes in plug regions similar to between conductive features. The challenge of the integrated hard mask layer 118 is similar in both plug and non-plug regions, so no additional lithography steps are required.

第17圖是顯示根據一實施例之楊氏模量對於各種ILD膜之多孔性之圖1701的視圖1700。通常,楊氏 模量是與材料之機械強度(或剛度)相關的參數。楊氏模量定義材料中之應力(每單位面積的力)和應變(比例變形)之間的關係。如圖1701所示,對於傳統膜1(曲線1702)和2(曲線1703),隨著多孔性增加和當孔不具有可控制的形狀或互連網路時,模量迅速減小。 Figure 17 is a view 1700 showing a graph 1701 of Young's modulus versus porosity for various ILD films in accordance with an embodiment. Usually, Yang Modulus is a parameter related to the mechanical strength (or stiffness) of the material. Young's modulus defines the relationship between stress (force per unit area) and strain (proportional deformation) in the material. As shown in Figure 1701, for conventional membranes 1 (curves 1702) and 2 (curve 1703), the modulus decreases rapidly as porosity increases and when the pores do not have a controllable shape or interconnected network.

當使用多孔性和孔徑分佈作為實驗輸入時,使用有限元件模型(FEM)技術為傳統膜2產生資料1704。資料1704精確地擬合用於傳統膜2的曲線1703。如上所述,使用用於非多孔ILD膜的FEM模型產生資料1705和資料1706,非多孔ILD膜被處理以提供可控制的垂直圓柱多孔性。FEM資料1705顯示具有有序垂直多孔性之膜的模量基於多孔性沿著圓柱體孔的縱向(垂直)軸顯著增加,例如從大約三倍(3X)到大約七倍(7X)。FEM資料1706顯示具有有序垂直多孔性之膜的模量沿著圓柱體孔的橫向軸增加約兩倍(~2X)。也就是說,具有垂直有序多孔性之ILD膜之縱向和橫向的機械剛度基本上皆大於傳統膜的。如本文所述之具有垂直有序孔之ILD膜的楊氏模量在垂直方向上增加高達約7倍,這決定了在積體、組裝、和熱應力期間的ILD機械完整性。第18圖是顯示根據一實施例之多孔性對孔之相對半徑的視圖1800。曲線1801顯示對於所有範圍的孔大小計算的多孔性。在圖中,R是DSA中之垂直洞的半徑(例如,距離401、402的一半),其對應於切入ILD所保持的尺寸和形狀。Pm是金屬互連的間距(例如,間距110)。在圖 中,ILD多孔性是指金屬線之間之間隔的多孔體積。插入點「a」繪示沉積在絕緣層上的金屬線(孔的半徑為零)。對於曲線1801在a和c之間的區域,孔足夠小並完全被限制在金屬線之間的ILD內(如插入點b所示)。當孔具有與金屬線之間的間隔基本上相同的寬度時(如插入點「c」所示),多孔性為約34%。如第4B圖所示,DSA遮罩的半徑可藉由蝕刻或灰化來增加。若金屬可容忍ILD蝕刻、使用替換(犧牲)金屬、或兩者,則插入點d、e、和f中所示的結構是可能的。使用DSA遮罩產生這些結構以在絕緣層中產生比金屬線之間之間隔寬的洞。當ILD材料被蝕刻時,金屬線阻擋蝕刻,使得產生插入點d、e、和f中所示的結構。 When porosity and pore size distribution were used as experimental inputs, data 1704 was generated for conventional membrane 2 using a finite element model (FEM) technique. The data 1704 accurately fits the curve 1703 for the conventional film 2. As described above, the material 1705 and data 1706 were generated using a FEM model for a non-porous ILD film that was treated to provide controllable vertical cylindrical porosity. FEM data 1705 shows that the modulus of the film having ordered vertical porosity is significantly increased based on porosity along the longitudinal (vertical) axis of the cylinder bore, for example from about three times (3X) to about seven times (7X). FEM data 1706 shows that the modulus of the film with ordered vertical porosity increases approximately two times (~2X) along the transverse axis of the cylinder bore. That is to say, the longitudinal and transverse mechanical stiffness of the ILD film having a vertically ordered porosity is substantially greater than that of the conventional film. The Young's modulus of an ILD film having vertically ordered pores as described herein increases by up to about 7 times in the vertical direction, which determines the mechanical integrity of the ILD during integration, assembly, and thermal stress. Figure 18 is a view 1800 showing the relative radius of porosity versus aperture in accordance with an embodiment. Curve 1801 shows the calculated porosity for all ranges of pore sizes. In the figure, R is the radius of the vertical hole in the DSA (eg, half of the distance 401, 402), which corresponds to the size and shape maintained by the cut-in ILD. Pm is the pitch of the metal interconnect (eg, pitch 110). In the picture Medium, ILD porosity refers to the porous volume of the spacing between metal lines. The insertion point "a" shows the metal line deposited on the insulating layer (the radius of the hole is zero). For the region of curve 1801 between a and c, the holes are small enough and are completely confined within the ILD between the wires (as indicated by insertion point b). When the pores have substantially the same width as the spacing between the metal wires (as indicated by the insertion point "c"), the porosity is about 34%. As shown in Figure 4B, the radius of the DSA mask can be increased by etching or ashing. The structure shown in the insertion points d, e, and f is possible if the metal can tolerate ILD etching, use of a replacement (sacrificial) metal, or both. These structures are created using a DSA mask to create a hole in the insulating layer that is wider than the spacing between the metal lines. When the ILD material is etched, the metal lines block the etch such that the structures shown in the insertion points d, e, and f are produced.

曲線1801顯示可藉由調整垂直孔的半徑來控制多孔性,如上面關於第4A和4B圖所述。基於覆蓋ILD膜上的DSA遮罩計算曲線1801。由於DSA遮罩區下面的金屬線通常佔據約50%的ILD空間,所以在金屬線之間之ILD的區域中多孔性將增加。 Curve 1801 shows that porosity can be controlled by adjusting the radius of the vertical holes as described above with respect to Figures 4A and 4B. Curve 1801 is calculated based on the DSA mask overlying the ILD film. Since the metal lines under the DSA mask area typically occupy about 50% of the ILD space, the porosity will increase in the region of the ILD between the metal lines.

曲線1801顯示根據一實施例之可藉由針對沒有金屬線之平坦ILD表面改變圓柱體的半徑r相對於圓柱體間距P(r/P)而實現的計算多孔性。在一實施例中,DSA遮罩在由框1802給定的多孔性範圍中提供有序的多孔性。在一實施例中,當DSA遮罩與下面的金屬溝槽圖案對準時,由DSA遮罩蝕刻之金屬線之間的ILD之所得多孔性在總多孔性的約20%至約45%的近似範圍內幾何地 增加。在一實施例中,如上文關於第4B圖所描述,藉由經由較長蝕刻或灰化程序徑向地增加ILD中的洞,金屬線之間的多孔性進一步增加到對應於框1803的範圍。在一實施例中,當圓柱形洞的直徑與金屬線之間的間隔匹配時,金屬線之間之ILD的最大多孔性為約34%。在一實施例中,DSA遮罩中之洞的半徑進一步增加,使得一些金屬線暴露在DSA遮罩的底部以增加金屬線之間的多孔性。 Curve 1801 shows the calculated porosity that can be achieved by varying the radius r of the cylinder relative to the cylinder pitch P(r/P) for a flat ILD surface without metal lines, according to an embodiment. In an embodiment, the DSA mask provides ordered porosity in the range of porosity given by block 1802. In one embodiment, when the DSA mask is aligned with the underlying metal trench pattern, the resulting porosity of the ILD between the etched metal lines by the DSA mask is approximately 20% to about 45% of the total porosity. Geometrically increase. In an embodiment, as described above with respect to FIG. 4B, the porosity between the metal lines is further increased to correspond to the range of block 1803 by radially increasing the holes in the ILD via a longer etching or ashing procedure. . In one embodiment, when the diameter of the cylindrical hole matches the spacing between the metal lines, the maximum porosity of the ILD between the metal lines is about 34%. In one embodiment, the radius of the hole in the DSA mask is further increased such that some of the metal lines are exposed at the bottom of the DSA mask to increase the porosity between the metal lines.

第19圖繪示包括本發明之一或多個實施例的中介層1900。中介層1900是用以將第一基板1902橋接至第二基板1904的中間基板。第一基板1902可能是例如積體電路晶粒。第二基板1904可能是例如記憶體模組、電腦主機板、或另一積體電路晶粒。通常,中介層1900的目的是將連接擴展到更寬的間距或將連接重新路由到不同的連接。例如,中介層1900可能將積體電路晶粒耦接至球柵陣列(BGA)1906,其可隨後耦接至第二基板1904。在一些實施例中,第一和第二基板1902/1904附接到中介層1900的相對側。在其它實施例中,第一和第二基板1902/1904附接到中介層1900的同一側。在進一步的實施例中,三個或更多個基板藉由中介層1900互連。 Figure 19 illustrates an interposer 1900 that includes one or more embodiments of the present invention. The interposer 1900 is an intermediate substrate for bridging the first substrate 1902 to the second substrate 1904. The first substrate 1902 may be, for example, an integrated circuit die. The second substrate 1904 may be, for example, a memory module, a computer motherboard, or another integrated circuit die. Typically, the purpose of the mediation layer 1900 is to extend the connection to a wider spacing or to reroute the connection to a different connection. For example, the interposer 1900 may couple the integrated circuit die to a ball grid array (BGA) 1906, which may then be coupled to the second substrate 1904. In some embodiments, the first and second substrates 1902 / 1904 are attached to opposite sides of the interposer 1900. In other embodiments, the first and second substrates 1902 / 1904 are attached to the same side of the interposer 1900. In a further embodiment, three or more substrates are interconnected by an interposer 1900.

中介層1900可能由環氧樹脂、玻璃纖維增強的環氧樹脂、陶瓷材料、或諸如聚酰亞胺的聚合物材料形成。在進一步的實作中,中介層可能由交替的剛性或柔性材料形成,其可能包括上述用於半導體基板中的相同材料,例如矽、鍺、及其它III-V族和IV族材料。 The interposer 1900 may be formed of an epoxy resin, a glass fiber reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In further implementations, the interposer may be formed of alternating rigid or flexible materials, which may include the same materials described above for use in a semiconductor substrate, such as tantalum, niobium, and other III-V and Group IV materials.

如上所述,中介層可能包括通孔1910,包括但不限於穿矽通孔(TSV)1912、在絕緣層中具有有序多孔性的金屬互連1908。中介層1900更可能包括嵌入式裝置1914,包括被動和主動裝置。這樣的裝置包括但不限於電容器、去耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、和靜電放電(ESD)裝置。還可能在中介層1900上形成更複雜的裝置,例如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器、和MEMS裝置。根據本發明的實施例,可能在中介層1900的製造中使用本文揭露的設備或程序。 As noted above, the interposer may include vias 1910 including, but not limited to, through vias (TSV) 1912, metal interconnects 1908 having ordered porosity in the insulating layer. Interposer 1900 is more likely to include embedded device 1914, including passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices. It is also possible to form more complex devices on the interposer 1900, such as radio frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices. In accordance with embodiments of the present invention, the devices or programs disclosed herein may be used in the manufacture of the interposer 1900.

在一實施例中,電子裝置包含在基板上的複數個金屬層。電子裝置的至少一個金屬層在絕緣層中具有相對於至少一導電特徵呈規則佈置的導電特徵和孔,如關於第5、13、14和15圖所述的。電子裝置的至少一個金屬層包含導電特徵和無孔絕緣層中的氣隙。 In an embodiment, the electronic device includes a plurality of metal layers on the substrate. At least one metal layer of the electronic device has conductive features and holes regularly arranged in the insulating layer with respect to at least one conductive feature, as described with respect to Figures 5, 13, 14, and 15. At least one metal layer of the electronic device includes a conductive feature and an air gap in the non-porous insulating layer.

在另一實施例中,電子裝置的每個金屬層在絕緣層中具有相對於至少一導電特徵呈規則佈置的導電特徵和孔,如關於第5、13、14和15圖所述的。 In another embodiment, each metal layer of the electronic device has electrically conductive features and holes in the insulating layer that are regularly arranged with respect to at least one electrically conductive feature, as described with respect to Figures 5, 13, 14, and 15.

第20圖繪示依照本發明之一實施例的計算裝置2000。計算裝置2000可能包括一些元件。在一實施例中,這些元件附接至一或多個主機板。在替代實施例中,這些元件被製作在單一系統晶片(SoC)晶粒而不是主機板上。在計算裝置2000中的元件包括但不限於積體電路晶粒2002和至少一通訊晶片2008。在一些實作中,通訊 晶片2008被製造為積體電路晶粒2002的一部分。積體電路晶粒2002可能包括如中央處理單元(CPU)的處理器2004、晶粒上記憶體2006,經常被用作快取記憶體,其可由如嵌入式DRAM(eDRAM)或自旋轉移力矩記憶體(STTM或STTM-RAM)之技術提供。 Figure 20 illustrates a computing device 2000 in accordance with an embodiment of the present invention. Computing device 2000 may include some components. In an embodiment, the components are attached to one or more motherboards. In an alternate embodiment, these components are fabricated on a single system die (SoC) die rather than a motherboard. Elements in computing device 2000 include, but are not limited to, integrated circuit die 2002 and at least one communication die 2008. In some implementations, communication Wafer 2008 is fabricated as part of integrated circuit die 2002. The integrated circuit die 2002 may include a processor 2004 such as a central processing unit (CPU), on-die memory 2006, which is often used as a cache memory, such as by embedded DRAM (eDRAM) or spin transfer torque. Memory (STTM or STTM-RAM) technology is available.

計算裝置2000可能包括可能或可能不是實體且電性耦接至主機板或在SoC晶粒內製造的其他元件。這些其他元件包括但不限於揮發性記憶體2010(例如,DRAM)、非揮發性記憶體2012(例如,ROM或快閃記憶體)、圖形處理單元2014(GPU)、數位信號處理器2016(DSP)、密碼處理器2042(在硬體內執行密碼學演算法的專用處理器)、晶片組2020、天線2022、顯示器或觸控螢幕顯示器2024、觸控螢幕顯示器控制器2026、電池2029或其他電力來源、全球定位系統(GPS)裝置2028、功率放大器(PA)、羅盤、運動協處理器或感測器2032(可能包括加速計、陀螺儀、和羅盤)、揚聲器2034、照相機2036、使用者輸入裝置2038(如鍵盤、滑鼠、手寫筆、和觸控板)、及大容量儲存裝置2040(如硬碟機、光碟(CD)、數位化多功能光碟(DVD)、等等)。 Computing device 2000 may include other components that may or may not be physically and electrically coupled to or fabricated within the motherboard. These other components include, but are not limited to, volatile memory 2010 (eg, DRAM), non-volatile memory 2012 (eg, ROM or flash memory), graphics processing unit 2014 (GPU), digital signal processor 2016 (DSP) ), cryptographic processor 2042 (a dedicated processor that performs cryptographic algorithms in the body), chipset 2020, antenna 2022, display or touchscreen display 2024, touchscreen display controller 2026, battery 2029, or other source of electrical power , Global Positioning System (GPS) device 2028, power amplifier (PA), compass, motion coprocessor or sensor 2032 (possibly including accelerometer, gyroscope, and compass), speaker 2034, camera 2036, user input device 2038 (such as keyboard, mouse, stylus, and trackpad), and mass storage device 2040 (such as hard disk drive, compact disc (CD), digital versatile compact disc (DVD), etc.).

通訊晶片2008啟動無線通訊來傳輸資料至計算裝置2000且從計算裝置2000傳輸資料。「無線」之詞及其衍生詞可能用以說明可能藉由使用透過非固態媒體之調變的電磁輻射來通訊資料之電路、裝置、系統、方法、 技術、通訊通道等。此詞並不意味著相關裝置不包含任何線路,雖然在一些實施例中它們可能不包含任何線路。通訊晶片2008可能實作一些無線標準或協定之任一者,包括但不限於WiFi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其衍生物、以及指定為3G、4G、5G以上的任何其他無線協定。計算裝置2000可能包括複數個通訊晶片2008。例如,第一通訊晶片2008可能專用於如WiFi和藍芽之較短範圍的無線通訊,且第二通訊晶片2008可能專用於如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他之較長範圍的無線通訊。 The communication chip 2008 initiates wireless communication to transfer data to and from the computing device 2000. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods that may communicate data by using electromagnetic radiation modulated by non-solid media. Technology, communication channels, etc. This term does not mean that the associated device does not contain any lines, although in some embodiments they may not contain any lines. Communication Chip 2008 may implement any of a number of wireless standards or protocols, including but not limited to WiFi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+ , HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, and any other wireless protocol designated as 3G, 4G, 5G or higher. Computing device 2000 may include a plurality of communication chips 2008. For example, the first communication chip 2008 may be dedicated to a shorter range of wireless communications such as WiFi and Bluetooth, and the second communication chip 2008 may be dedicated to applications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others. A longer range of wireless communications.

「處理器」之術語可能係指任何裝置或裝置的任何部分,其處理來自暫存器及/或記憶體的電子資料以將此電子資料轉換成可能儲存在暫存器及/或記憶體中的其他電子資料。例如積體電路晶粒2002、通訊晶片2008、GPU 2014、密碼處理器2042、DSP 2016、晶片組2020、和其他元件的一或多個元件可能包括依照本發明之實施例形成之有序的多孔性。在另外的實施例中,容納在計算裝置2000內的另一元件可能包含依照本發明之實施例形成之有序的多孔性。 The term "processor" may refer to any device or any part of a device that processes electronic data from a register and/or memory to convert the electronic data into potentially stored in a register and/or memory. Other electronic materials. One or more elements, such as integrated circuit die 2002, communication chip 2008, GPU 2014, cryptographic processor 2042, DSP 2016, chipset 2020, and other components, may include ordered porous formation in accordance with embodiments of the present invention. Sex. In further embodiments, another component housed within computing device 2000 may comprise ordered porosity formed in accordance with embodiments of the present invention.

在各種實施例中,計算裝置2000可能是膝上型電腦、小筆電、筆記型電腦、超輕薄電腦、智慧型手 機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。在進一步實作中,計算裝置2000可能是處理資料的任何其他電子裝置。 In various embodiments, computing device 2000 may be a laptop, a small notebook, a notebook computer, an ultra-thin computer, a smart hand Machine, tablet, personal digital assistant (PDA), ultra mobile PC, mobile phone, desktop computer, server, printer, scanner, monitor, set-top box, entertainment control unit, digital camera, portable Music player, or digital video recorder. In further implementations, computing device 2000 may be any other electronic device that processes data.

包括在摘要中所描述之本發明之實施例之示範實作的上述說明並不旨在窮舉或將本發明限制為所揭露的精確形式。儘管為了說明的目的而在本文說明用於本發明的具體實作或實例,但在本發明的範圍內之各種等同修改是可能的,如那些相關領域之技術人員將認知。 The above description of the exemplary embodiments of the present invention, which are described in the summary, are not intended to be exhaustive or to limit the invention. Various equivalent modifications are possible within the scope of the invention, as will be apparent to those skilled in the relevant art.

可能按照以上詳細說明對本發明進行這些修改。在下面的申請專利範圍中使用的術語不應被解釋為限制本發明為在說明書和申請專利範圍中所揭露的具體實作。相反,本發明的範圍完全是由下面的申請專利範圍確定,這是根據申請專利範圍解釋的既定原則來解釋。 These modifications may be made to the invention in light of the above detailed description. The use of the terms in the following claims should not be construed as limiting the invention. Instead, the scope of the invention is to be determined entirely by the scope of the following claims, which are to be construed in accordance

下面的實例關於其他實施例:在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。 The following examples relate to other embodiments: In one embodiment, a method of fabricating an electronic device includes depositing a directed self-assembled (DSA) layer on one or more conductive features on an insulating layer on a substrate, the DSA layer comprising a deposition One or more first structures on the insulating layer and one or more openings formed in the insulating layer using the DSA layer as a mask, wherein the one or more openings are self-aligned with respect to the one or more conductive features.

在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向 自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、移除一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。 In one embodiment, a method of fabricating an electronic device includes depositing an orientation on one or more conductive features on an insulating layer on a substrate A self-assembled (DSA) layer comprising one or more first structures deposited on an insulating layer, one or more first structures removed, and one or more formed in the insulating layer using a DSA layer as a mask An opening in which one or more openings are self-aligned with respect to one or more conductive features.

在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準,且其中一或多個開口之至少一者沿著第一軸朝向基板延伸。 In one embodiment, a method of fabricating an electronic device includes depositing a directed self-assembly (DSA) layer on one or more conductive features on an insulating layer on a substrate, the DSA layer comprising one or more deposited on the insulating layer a first structure, and one or more openings formed in the insulating layer using the DSA layer as a mask, wherein the one or more openings are self-aligned to the one or more conductive features, and wherein at least one of the openings One extends toward the substrate along the first axis.

在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準,且其中至少一開口之頂部處的寬度基本上類似於至少一開口之底部處的寬度。 In one embodiment, a method of fabricating an electronic device includes depositing a directed self-assembly (DSA) layer on one or more conductive features on an insulating layer on a substrate, the DSA layer comprising one or more deposited on the insulating layer a first structure, and one or more openings formed in the insulating layer using the DSA layer as a mask, wherein the one or more openings are self-aligned to the one or more conductive features, and wherein at least one of the openings is at the top The width is substantially similar to the width at the bottom of at least one opening.

在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準,且其中一或多個第一結構之至少一者具有圓柱形 狀。 In one embodiment, a method of fabricating an electronic device includes depositing a directed self-assembly (DSA) layer on one or more conductive features on an insulating layer on a substrate, the DSA layer comprising one or more deposited on the insulating layer a first structure, and one or more openings formed in the insulating layer using the DSA layer as a mask, wherein the one or more openings are self-aligned to the one or more conductive features, and wherein the one or more first structures At least one of them has a cylindrical shape shape.

在一實施例中,一種製造電子裝置的方法,包含在基板上沉積絕緣層;在絕緣層內沉積一或多個導電特徵;在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構、及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。 In one embodiment, a method of fabricating an electronic device includes depositing an insulating layer on a substrate; depositing one or more conductive features in the insulating layer; depositing an orientation on one or more conductive features on the insulating layer on the substrate a self-assembled (DSA) layer, the DSA layer comprising one or more first structures deposited on the insulating layer, and one or more openings formed in the insulating layer using the DSA layer as a mask, wherein one or more pairs of openings One or more conductive features are self-aligned.

在一實施例中,一種製造電子裝置的方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積刷層;在一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含沉積在絕緣層上的一或多個第一結構;及使用DSA層作為遮罩形成在絕緣層中的一或多個開口,其中一或多個開口對一或多個導電特徵自對準。 In one embodiment, a method of fabricating an electronic device includes depositing a brush layer on one or more conductive features on an insulating layer on a substrate; depositing a directed self-assembly (DSA) layer on one or more conductive features, The DSA layer includes one or more first structures deposited on the insulating layer; and one or more openings formed in the insulating layer using the DSA layer as a mask, wherein the one or more openings are for one or more conductive features alignment.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings.

在一實施例中,一種用以提供有序的多孔性 之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中一或多個第二開口對一或多個導電特徵自對準。 In one embodiment, one is used to provide ordered porosity A method comprising depositing a directed self-assembly (DSA) layer on one or more conductive features on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein the first portion of the first component is deposited in a a plurality of conductive features, a second portion of the first component being deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the one or more second portions of the insulating layer; removing the second component One or more portions to form one or more first openings in the DSA layer; and one or more second openings in the insulating layer through the one or more first openings, wherein the one or more second The opening is self-aligned with respect to one or more of the conductive features.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積刷層;在一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上的刷層上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口。 In one embodiment, a method for providing ordered porosity includes depositing a brush layer on one or more conductive features on an insulating layer on a substrate; depositing oriented self-assembly on one or more conductive features (DSA) layer, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on the one or more conductive features, and a second portion of the first component is deposited on the insulating layer Or a plurality of first portions, and wherein the second member is deposited on the one or more second portions of the insulating layer; removing one or more portions of the second member to form one or more first openings in the DSA layer And forming one or more second openings through the one or more first openings in the insulating layer.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部 分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中第二開口之至少一者沿著第一軸朝向基板延伸。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features and a second portion of the first component is deposited on the one or more first portions of the insulating layer Dividing, and wherein the second component is deposited on the one or more second portions of the insulating layer; removing one or more portions of the second component to form one or more first openings in the DSA layer; and insulating One or more second openings are formed in the layer through the one or more first openings, wherein at least one of the second openings extends toward the substrate along the first axis.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中至少一第二開口之頂部處的寬度基本上類似於至少一第二開口之底部處的寬度。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings, wherein the width at the top of the at least one second opening is substantially similar to the width at the bottom of the at least one second opening.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上沉積絕緣層;在絕緣層上沉積一或多個導電特徵;在絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一 或多個第二開口。 In one embodiment, a method for providing ordered porosity includes depositing an insulating layer on a substrate; depositing one or more conductive features on the insulating layer; and one or more conductive features on the insulating layer Depositing a directed self-assembly (DSA) layer, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features and a second portion of the first component is deposited on the insulating layer Or a plurality of first portions, and wherein the second member is deposited on the one or more second portions of the insulating layer; removing one or more portions of the second member to form one or more first openings in the DSA layer And forming one through the one or more first openings in the insulating layer Or a plurality of second openings.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中第二元件包含具有預定形狀的一或多個結構。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings, wherein the second member comprises one or more structures having a predetermined shape.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;退火DSA層;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer On one or more second portions; annealing the DSA layer; removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more in the insulating layer One or more second openings of the first opening.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵 上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中至少一第二開口的深度類似於或大於一或多個導電特徵的厚度。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein the first portion of the first component is deposited with one or more conductive features Upper portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the one or more second portions of the insulating layer; removing one or more of the second component Forming one or more first openings in the DSA layer; and forming one or more second openings in the insulating layer through the one or more first openings, wherein the depth of the at least one second opening is similar to or Greater than the thickness of one or more conductive features.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中移除第二元件包含選擇性地蝕刻第二元件。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings, wherein removing the second component comprises selectively etching the second component.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開 口的一或多個第二開口,其中一或多個第二開口係使用乾蝕刻來蝕刻。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings of the port, wherein the one or more second openings are etched using dry etching.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口;及蝕刻第一元件的第二部分;及蝕刻第一元件的第二部分。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings; and etching a second portion of the first component; and etching a second portion of the first component.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中基於導電特徵之間的距離來調整第二元件之部分之間的距離。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings, wherein the distance between portions of the second component is adjusted based on the distance between the conductive features.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上 沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;在絕緣層中形成通過一或多個第一開口的一或多個第二開口;在一或多個第二開口上沉積覆蓋層;及在覆蓋層上形成互連層。 In one embodiment, a method for providing ordered porosity includes one or more conductive features on an insulating layer on a substrate Depositing a directed self-assembly (DSA) layer, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features and a second portion of the first component is deposited on the insulating layer Or a plurality of first portions, and wherein the second member is deposited on the one or more second portions of the insulating layer; removing one or more portions of the second member to form one or more first openings in the DSA layer Forming one or more second openings through the one or more first openings in the insulating layer; depositing a capping layer on the one or more second openings; and forming an interconnect layer on the capping layer.

在一實施例中,一種用以提供有序的多孔性之方法,包含在基板上之絕緣層上的一或多個導電特徵上沉積定向自組裝(DSA)層,DSA層包含第一元件和第二元件,其中第一元件的第一部分沉積在一或多個導電特徵上,第一元件的第二部分沉積在絕緣層的一或多個第一部分上,且其中第二元件沉積在絕緣層的一或多個第二部分上;移除第二元件的一或多個部分以在DSA層中形成一或多個第一開口;及在絕緣層中形成通過一或多個第一開口的一或多個第二開口,其中一或多個導電特徵是導電線。 In one embodiment, a method for providing ordered porosity includes depositing a directed self-assembly (DSA) layer on a conductive feature on an insulating layer on a substrate, the DSA layer comprising a first component and a second component, wherein a first portion of the first component is deposited on one or more conductive features, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the second component is deposited on the insulating layer Removing one or more portions of the second component to form one or more first openings in the DSA layer; and forming one or more first openings in the insulating layer One or more second openings, wherein one or more of the conductive features are electrically conductive lines.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer that are self-aligned with respect to one or more conductive features.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中一或多個開口之 至少一者沿著第一軸朝向基板延伸。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, one or more of Opening At least one extends toward the substrate along the first axis.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中至少一開口之頂部處的寬度基本上類似於至少一開口之底部處的寬度。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, wherein at least one opening The width at the top is substantially similar to the width at the bottom of at least one opening.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中一或多個開口之至少一者具有圓柱形狀。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, one or more of At least one of the openings has a cylindrical shape.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中基於導電特徵之間的距離來調整開口之間的距離。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, wherein the conductive features are based The distance between them is to adjust the distance between the openings.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、在絕緣層中的複數個開口,對一或多個導電特徵自對準、在一或多個開口上的覆蓋層、及在覆蓋層上的互連層。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, a plurality of openings in the insulating layer, self-aligning one or more conductive features, one or more a cover layer on the opening and an interconnect layer on the cover layer.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中一或多個導電特徵是導電線。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, one or more of One conductive feature is a conductive line.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中至少一開口的寬 度為5奈米至50奈米。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, wherein at least one opening Width The degree is from 5 nm to 50 nm.

在一實施例中,一種電子裝置包含在基板上之絕緣層上的一或多個導電特徵、及在絕緣層中的複數個開口,對一或多個導電特徵自對準,其中至少一開口的深度類似於或大於一或多個導電特徵的厚度。 In one embodiment, an electronic device includes one or more conductive features on an insulating layer on a substrate, and a plurality of openings in the insulating layer, self-aligning one or more conductive features, wherein at least one opening The depth is similar to or greater than the thickness of one or more conductive features.

在前述說明書中,已經參考其具體示範性實施例描述了方法和設備。顯然,在不脫離如所附申請專利範圍中闡述之實施例之更廣泛的精神和範圍之情況下,可能對其進行各種修改。因此,說明書和附圖被認為是說明性的而不是限制性的。 In the foregoing specification, the methods and apparatus have been described with reference to the specific exemplary embodiments thereof. It is apparent that various modifications may be made thereto without departing from the spirit and scope of the invention as set forth in the appended claims. Accordingly, the specification and drawings are to be regarded as

100‧‧‧側視圖 100‧‧‧ side view

101‧‧‧基板 101‧‧‧Substrate

102‧‧‧絕緣層 102‧‧‧Insulation

103‧‧‧特徵 103‧‧‧Characteristics

104‧‧‧特徵 104‧‧‧Characteristics

110‧‧‧間距 110‧‧‧ spacing

131‧‧‧通孔 131‧‧‧through hole

Claims (20)

一種製造電子裝置的方法,包含:在一基板上之一絕緣層上的一或多個導電線上沉積一定向自組裝(DSA)層,該DSA層包含沉積在該絕緣層上的一或多個第一結構;使用該DSA層作為一遮罩形成在該絕緣層中的一或多個開口,其中該一或多個開口對該一或多個導電線自對準。 A method of fabricating an electronic device comprising: depositing a self-assembled (DSA) layer on one or more conductive lines on an insulating layer on a substrate, the DSA layer comprising one or more deposited on the insulating layer a first structure; using the DSA layer as a mask to form one or more openings in the insulating layer, wherein the one or more openings are self-aligned to the one or more conductive lines. 如申請專利範圍第1項所述之方法,更包含:移除該一或多個第一結構。 The method of claim 1, further comprising: removing the one or more first structures. 如申請專利範圍第1項所述之方法,其中該一或多個開口之至少一者沿著一第一軸朝向該基板延伸。 The method of claim 1, wherein at least one of the one or more openings extends toward the substrate along a first axis. 如申請專利範圍第1項所述之方法,其中該些開口之至少一者的寬度大於該些導電特徵之間的間隔。 The method of claim 1, wherein a width of at least one of the openings is greater than an interval between the conductive features. 如申請專利範圍第1項所述之方法,其中該一或多個開口之至少一者具有一細長形狀。 The method of claim 1, wherein at least one of the one or more openings has an elongated shape. 如申請專利範圍第1項所述之方法,更包含:在該基板上沉積該絕緣層;在該絕緣層內沉積該一或多個導電線。 The method of claim 1, further comprising: depositing the insulating layer on the substrate; depositing the one or more conductive lines in the insulating layer. 如申請專利範圍第1項所述之方法,更包含:在該一或多個導電線上沉積一刷層。 The method of claim 1, further comprising: depositing a brush layer on the one or more conductive lines. 一種用以提供一有序的多孔性之方法,包含:在一基板上之一絕緣層上的一或多個導電線上沉積一定向自組裝(DSA)層,該DSA層包含一第一元件和一 第二元件,其中該第一元件的一第一部分沉積在該一或多個導電線上,該第一元件的一第二部分沉積在該絕緣層的一或多個第一部分上,且其中該第二元件沉積在該絕緣層的一或多個第二部分上;移除該第二元件的一或多個部分以在該DSA層中形成一或多個第一開口;及在該絕緣層中形成通過該一或多個第一開口的一或多個第二開口。 A method for providing an ordered porosity comprising: depositing a self-assembled (DSA) layer on a conductive line on an insulating layer on a substrate, the DSA layer comprising a first component and One a second component, wherein a first portion of the first component is deposited on the one or more conductive lines, a second portion of the first component is deposited on the one or more first portions of the insulating layer, and wherein the first portion Depositing two elements on one or more second portions of the insulating layer; removing one or more portions of the second member to form one or more first openings in the DSA layer; and in the insulating layer One or more second openings are formed through the one or more first openings. 如申請專利範圍第8項所述之方法,其中該一或多個第二開口對該一或多個導電線自對準。 The method of claim 8, wherein the one or more second openings are self-aligned to the one or more conductive lines. 如申請專利範圍第8項所述之方法,更包含:在該一或多個導電線上沉積一刷層,其中該第一部分沉積在該刷層上。 The method of claim 8, further comprising: depositing a brush layer on the one or more conductive lines, wherein the first portion is deposited on the brush layer. 如申請專利範圍第8項所述之方法,其中該些第二開口之至少一者沿著一第一軸朝向該基板延伸。 The method of claim 8, wherein at least one of the second openings extends toward the substrate along a first axis. 如申請專利範圍第8項所述之方法,更包含:退火該DSA層。 The method of claim 8, further comprising: annealing the DSA layer. 如申請專利範圍第8項所述之方法,更包含:蝕刻該第一元件的該第二部分。 The method of claim 8, further comprising: etching the second portion of the first component. 如申請專利範圍第8項所述之方法,更包含:在該一或多個第二開口上沉積一覆蓋層;及在該覆蓋層上形成一互連層。 The method of claim 8, further comprising: depositing a cover layer on the one or more second openings; and forming an interconnect layer on the cover layer. 一種電子裝置,包含:在一基板上之一絕緣層上的一或多個導電線; 在該絕緣層中的複數個開口,在該一或多個導電線之間自對準。 An electronic device comprising: one or more conductive lines on an insulating layer on a substrate; A plurality of openings in the insulating layer are self-aligned between the one or more conductive lines. 如申請專利範圍第15項所述之電子裝置,其中該一或多個開口之至少一者沿著一第一軸朝向該基板延伸。 The electronic device of claim 15, wherein at least one of the one or more openings extends toward the substrate along a first axis. 如申請專利範圍第15項所述之電子裝置,其中該一或多個開口之至少一者具有一圓柱形狀。 The electronic device of claim 15, wherein at least one of the one or more openings has a cylindrical shape. 如申請專利範圍第15項所述之電子裝置,其中基於該些導電線之間的間距來調整該些開口之間的間距。 The electronic device of claim 15, wherein the spacing between the openings is adjusted based on a spacing between the conductive lines. 如申請專利範圍第15項所述之電子裝置,更包含:一覆蓋層,在該一或多個開口上;及一互連層,在該覆蓋層上。 The electronic device of claim 15, further comprising: a cover layer on the one or more openings; and an interconnect layer on the cover layer. 如申請專利範圍第15項所述之電子裝置,其中該些開口之至少一者的深度類似於或大於該一或多個導電線的厚度。 The electronic device of claim 15, wherein the depth of at least one of the openings is similar to or greater than the thickness of the one or more conductive lines.
TW105137103A 2015-12-16 2016-11-14 Methods and apparatuses to provide ordered porosity TWI720058B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US15/66196 2015-12-16
PCT/US2015/066196 WO2017105447A1 (en) 2015-12-16 2015-12-16 Methods and apparatuses to provide ordered porosity

Publications (2)

Publication Number Publication Date
TW201731059A true TW201731059A (en) 2017-09-01
TWI720058B TWI720058B (en) 2021-03-01

Family

ID=59057371

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137103A TWI720058B (en) 2015-12-16 2016-11-14 Methods and apparatuses to provide ordered porosity

Country Status (2)

Country Link
TW (1) TWI720058B (en)
WO (1) WO2017105447A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
JP4860248B2 (en) * 2005-11-26 2012-01-25 エルピーダメモリ株式会社 Phase change memory device and method of manufacturing phase change memory device
KR100771886B1 (en) * 2006-09-27 2007-11-01 삼성전자주식회사 Method of forming fine contact hole and method of fabricating semiconductor device using block copolymer
US8557128B2 (en) * 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
JP5173863B2 (en) * 2009-01-20 2013-04-03 パナソニック株式会社 Semiconductor device and manufacturing method thereof
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects

Also Published As

Publication number Publication date
TWI720058B (en) 2021-03-01
WO2017105447A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
TWI784884B (en) Methods of fabricating electronic device and computing device
US10483160B2 (en) Ultra thin helmet dielectric layer for maskless air gap and replacement ILD processes
TWI721303B (en) Staircase structure for memory device
TWI730099B (en) Dielectric helmet-based approaches for back end of line (beol) interconnect fabrication and structures resulting therefrom
US20070235847A1 (en) Method of making a substrate having thermally conductive structures and resulting devices
TWI645447B (en) High density capacitor structure and method
TW201635471A (en) Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
US10811351B2 (en) Preformed interlayer connections for integrated circuit devices
US10971394B2 (en) Maskless air gap to prevent via punch through
US8546915B2 (en) Integrated circuits having place-efficient capacitors and methods for fabricating the same
KR20190092401A (en) Self-aligned vias
US11018054B2 (en) Integrated circuit interconnects
US11011537B2 (en) Vertical interconnect methods for stacked device architectures using direct self assembly with high operational parallelization and improved scalability
TWI720058B (en) Methods and apparatuses to provide ordered porosity
US20220238376A1 (en) Grating replication using helmets and topographically-selective deposition
US20220130721A1 (en) Application of self-assembled monolayers for improved via integration
US11367684B2 (en) Recessed metal interconnects to mitigate EPE-related via shorting
US20220157708A1 (en) Vertical metal splitting using helmets and wrap-around dielectric spacers
TW202318618A (en) Bonded semiconductor structure and method for forming the same