TW201725516A - 用以針對實體層裝置的組件傳輸資料之控制器 - Google Patents

用以針對實體層裝置的組件傳輸資料之控制器 Download PDF

Info

Publication number
TW201725516A
TW201725516A TW105138085A TW105138085A TW201725516A TW 201725516 A TW201725516 A TW 201725516A TW 105138085 A TW105138085 A TW 105138085A TW 105138085 A TW105138085 A TW 105138085A TW 201725516 A TW201725516 A TW 201725516A
Authority
TW
Taiwan
Prior art keywords
components
configuration
phy device
data
phy
Prior art date
Application number
TW105138085A
Other languages
English (en)
Other versions
TWI736559B (zh
Inventor
蘭納拉雅南 木蘇卡魯潘
阿努普 K. 阿帕戴亞
瓜拉夫 高爾
阿密特 K. 斯瑞法斯塔瓦
Original Assignee
英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾公司 filed Critical 英特爾公司
Publication of TW201725516A publication Critical patent/TW201725516A/zh
Application granted granted Critical
Publication of TWI736559B publication Critical patent/TWI736559B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/28Supervision thereof, e.g. detecting power-supply failure by out of limits supervision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4004Coupling between buses
    • G06F13/4027Coupling between buses using bus bridges
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/445Program loading or initiating
    • G06F9/44505Configuring for program initiating, e.g. using registry, configuration files
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • Information Transfer Systems (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種組態介面匯流排可耦接至一實體層(PHY)裝置之組件。一組態控制器可與該組態介面匯流排耦接且可接收表示該PHY裝置之一功率狀態之一輸入信號。該組態控制器可進一步識別對應於該輸入信號之一指令集且可回應於該指令集之一執行經由該組態介面匯流排將組態資料傳輸至該PHY裝置之該等組件中之一或多者。該PHY裝置之該等一或複數個組件之該操作可基於該組態資料。

Description

用以針對實體層裝置的組件傳輸資料之控制器
發明領域 本文所描述之實施例大體上係關於資料之傳輸,及更特定而言係關於用以針對實體層裝置的組件傳輸資料之控制器。
大體而言,PHY裝置可包括在PHY裝置之操作期間使用的多個組件或區塊。舉例而言,PHY裝置可包括傳輸或傳輸器(TX)組件、接收或接收器(RX)組件、實體寫碼子層(PCS)組件及鎖相迴路(PLL)組件。PHY裝置之各種組件可在PHY裝置之操作期間於組件中之每一者之間接收及/或傳輸資料。
依據本發明之一實施例,係特地提出一種積體電路,其包含:一組態介面匯流排,其經耦接至一實體層(PHY)裝置之複數個組件;以及一組態控制器,其經耦接至該組態介面匯流排,以進行以下各者:接收表示該PHY裝置之一功率狀態之一輸入信號;識別對應於表示該PHY裝置之該功率狀態之該輸入信號之一指令集;以及回應於該指令集之一執行,經由該組態介面匯流排將組態資料傳輸至該PHY裝置之該等複數個組件中之一或多者,其中該PHY裝置之該等複數個組件中之該一或多者之一操作是基於該組態資料。
較佳實施例之詳細說明 本發明之態樣係針對用以針對實體層(PHY)裝置之組件傳輸資料之控制器。該控制器可用於改良對應於PHY裝置之處理器、處理裝置或基於處理器系統之效能。舉例而言,可隨著使用用於經由組態介面匯流排將資料傳輸至PHY裝置之組件的組態控制器而減小PHY裝置之設計之複雜度。大體而言,PHY裝置可包括在PHY裝置之操作期間使用的多個組件或區塊。舉例而言,PHY裝置可包括傳輸或傳輸器(TX)組件、接收或接收器(RX)組件、實體寫碼子層(PCS)組件及鎖相迴路(PLL)組件。PHY裝置之各種組件可在PHY裝置之操作期間於組件中之每一者之間接收及/或傳輸資料。
PHY裝置之組件中之每一者可分開經設計並用於PHY裝置之結構。然而,若組件中之一者之設計的變化在稍後實施,則PHY裝置之結構亦可基於對經修改組件的改變而修改。舉例而言,可需要基於對經修改組件的改變而修改或改變經修改組件與另一組件之間的資料通訊路徑(例如,匯流排)。PHY裝置之資料通訊路徑之回應於組件之修改的改變可需要PHY裝置之結構的額外測試及驗證。
組態控制器可用於通過控制資料經由耦接至PHY裝置之組件中之每一者的組態介面匯流排之發射及接收而建立通訊通道。組態控制器及組態介面匯流排之使用可移除PHY裝置之每一組件之間的專用互連或通訊路徑之使用。因此,在用於針對PHY裝置之組件中之每一者傳輸並接收資料的普通組態介面匯流排的情況下,PHY裝置內之特定組件之改變或修改可不需要改變或修改PHY裝置之結構,因為經改變或經修改組件仍可使用相同組態介面匯流排來傳輸並接收資料。因此,對PHY裝置之特定組件之改變可不導致需要改變包括組態控制器之PHY裝置之結構,且從而不需要驗證或測試PHY裝置之結構。
組態控制器可將組態資料傳輸至PHY裝置之組件之組配暫存器。組態資料可用於在PHY裝置之操作期間控制PHY裝置之組件之操作。此外,組態控制器可將功率管理資料傳輸至PHY裝置之功率閘組件以針對PHY裝置之組件中之每一者控制功率管理。在一些實施例中,組態控制器可基於由PHY裝置經由另一匯流排或介面自PHY控制器所接收之功率狀態輸入信號來傳輸組態資料及功率管理資料。組態控制器可包括儲存一或多個指令集及用於該等指令集之組態資料之記憶體,其中組態控制器可基於由PHY裝置所接收之功率狀態輸入信號之類型選擇儲存於記憶體中之指令集中之一者。組態控制器可執行基於已經接收之功率狀態輸入信號之類型所選擇的指令集以將組態資料及功率管理資料經由組態介面匯流排傳輸至PHY裝置之組件中之一或多者。
在以下描述內容中,闡述諸如以下各者之實例的眾多特定細節以便提供對本發明之透徹理解:特定類型之處理器及系統組配、特定硬體結構、特定架構及微架構細節、特定暫存器組配、特定指令類型、特定系統組件、特定量測/高度、特定處理器管線級及操作之實例等。然而,熟習此項技術者將顯而易見,無需使用此等特定細節來實踐本發明。在其他情況下,並未詳細描述熟知組件或方法,諸如特定及替代處理器架構、用於所描述演算法之特定邏輯電路/程式碼、特定韌體程式碼、特定互連操作、特定邏輯組配、特定製造技術及材料、特定編譯器實施、程式碼中之演算法之特定表達、特定切斷電源及閘控技術/邏輯及電腦系統之其他特定操作細節,以便避免不必要地混淆本發明。
儘管可能參考特定積體電路中(諸如,計算平台或微處理器中)之能量保留及能量效率描述以下實施例,但其他實施例適用於其他類型之積體電路及邏輯裝置。本文中所描述之實施例的類似技術及教示內容可應用至亦可受益於更好能效及能量節省的其他類型之電路或半導體裝置。舉例而言,所揭示之實施例不限於桌上型電腦系統。且又可用於其他裝置中,諸如手持型裝置、平板電腦、其他薄型筆記型電腦、系統單晶片(SOC)裝置及嵌入式應用中。手持型裝置之一些實例包括蜂巢式電話、網際網路協定裝置、數位攝影機、個人數位助理(PDA)及手持型PC。嵌入式應用通常包括微控制器、數位信號處理器(DSP)、系統單晶片、網路電腦(NetPC)、機上盒、網路集線器、廣域網路(WAN)交換器,或可進行下文所教示之功能及操作的任何其他系統。此外,本文所描述之設備、方法及系統不限於實體計算裝置,而是亦可係關於針對能量節省及效率之軟體最佳化。如在以下描述內容中將易於變得顯而易見的是,本文中所描述之方法、設備以及系統的實施例(不管參看硬體、韌體、軟體抑或其組合)對於藉由效能考量平衡的「綠色技術」遠景為至關重要的。
隨著計算系統進展,計算系統中之組件正變得更複雜。因此,用以在該等組件之間耦接及通訊之互連架構的複雜性亦增加,以確保對於最佳組件操作滿足頻寬要求。此外,不同市場區隔要求互連架構之不同態樣以適合市場之需求。舉例而言,伺服器需要較高效能,而行動生態系統有時能夠犧牲總體效能以實現電力節省。又,多數網狀架構的單一目的為在最大電力節省情況下提供最高可能效能。下文中,論述將潛在地受益於本文所描述之發明之態樣的多個互連。
參看 1 ,描繪包括多核心處理器之計算系統之方塊圖的實施例。處理器100包括任何處理器或處理裝置,諸如微處理器、嵌入式處理器、數位信號處理器(DSP)、網路處理器、手持型處理器、應用處理器、共處理器、系統單晶片(SOC)或其他裝置,以執行程式碼。在一個實施例中,處理器100包括至少兩個核心,即核心101及102,該等核心可包括不對稱核心或對稱核心(所說明實施例)。然而,處理器100可包括可係對稱或不對稱的任何數目個處理元件。
在一個實施例中,處理元件指支援軟體執行緒的硬體或邏輯。硬體處理元件之實例包括:執行緒單元、執行緒時槽、執行緒、程序單元、上下文、上下文單元、邏輯處理器、硬體執行緒、核心及/或任何其他元件,其能夠保持針對處理器的狀態,諸如執行狀態或架構狀態。換言之,在一個實施例中,處理元件指能夠獨立地與諸如軟體執行緒、作業系統、應用程式或其他程式碼的程式碼相關聯的任何硬體。實體處理器(或處理器插口)通常指積體電路,其潛在地包括任何數目個其他處理元件,諸如核心或硬體執行緒。
核心常常指位於積體電路上之能夠維持獨立架構狀態的邏輯,其中每一獨立維持之架構狀態與至少一些專用執行資源相關聯。與核心形成對比,硬體執行緒通常指位於積體電路上之能夠維持獨立架構狀態之任何邏輯,其中獨立維持之架構狀態共用對執行資源的存取。如可看出,當某些資源經共用且其他資源專用於架構狀態時,硬體執行緒與核心的命名法之間的線重疊。又常常地,核心及硬體執行緒由作業系統檢視作為個別邏輯處理器,其中作業系統能夠個別地排程對每一邏輯處理器進行的操作。
1 中所說明,實體處理器100包括兩個核心,即核心101及102。此處,核心101及102被視為對稱核心,(亦即具有相同組配、功能單元及/或邏輯的核心)。在另一實施例中,核心101包括無序處理器核心,而核心102包括有序處理器核心。然而,核心101及102可個別地選自任何類型之核心,諸如,原生核心、軟件管理核心、經調適以執行原生指令集架構(ISA)之核心、經調適以執行經轉譯之ISA之核心、共設計之核心或其他已知核心。在異質核心環境(亦即,不對稱核心)中,某形式之轉譯(諸如二進位轉譯)可用以排程或執行一個或兩個核心上的程式碼。又對於進一步論述,說明於核心101中之功能單元在下文中進一步詳細地描述,如核心102中之單元以所描繪實施中之類似方式操作一般。
如所描繪,核心101包括兩個硬體執行緒101a及101b,其亦可被稱作硬體執行緒時槽101a及101b。因此,諸如作業系統之軟體實體在一個實施例中潛在地檢視處理器100作為四個分離處理器,亦即,能夠並行地執行四個軟體執行緒的四個邏輯處理器或處理元件。如上文間接地提到,第一執行緒與架構狀態暫存器101a相關聯,第二執行緒與架構狀態暫存器101b相關聯,第三執行緒可與架構狀態暫存器102a相關聯,且第四執行緒可與架構狀態暫存器102b相關聯。此處,架構狀態暫存器(101a、101b、102a及102b)中的每一者可被稱作處理元件、執行緒時槽或執行緒單元,如上文所描述。如所說明,架構狀態暫存器101a在架構狀態暫存器101b中複寫,因此個別架構狀態/上下文能夠被儲存用於邏輯處理器101a及邏輯處理器101b。在核心101中,諸如分配器及重命名器區塊130中之指令指標以及重命名邏輯之其他較小資源亦可針對執行緒101a及101b經複寫。可經由分割來共用諸如重排序/引退單元135中之重排序緩衝器、指令轉譯緩衝器(ITLB) 120、載入/儲存緩衝器及佇列的一些資源。諸如通用內部暫存器、表表基礎暫存器、低階資料快取及資料-TLB 115、執行單元140及無序單元135之部分的其他資源經潛在地充分共用。
處理器100常常包括其他資源,該等資源可經充分共用、經由分割共用或由處理元件專用/或對於處理元件專用。在 1 中,說明具有處理器之例示性邏輯單元/資源的純粹例示性處理器的實施例。應注意,處理器可包括或省略此等功能單元中的任一者,以及包括任何其他已知功能單元、邏輯或並未描繪的韌體。如所說明,核心101包括簡化代表性無序(out-of-order;OOO)處理器核心。但在不同實施例中可利用有序處理器。OOO核心包括分支目標緩衝器120以預測待執行/採用之分支,以及指令轉譯緩衝器(I-TLB) 120,以儲存針對指令的位址轉譯項目。
核心101進一步包括耦接至提取單元120以解碼所提取元件的解碼模組125。在一個實施例中,提取邏輯包括分別與執行緒時槽101a、101b相關聯的個別定序器。通常,核心101與定義/指定在處理器100上可執行之指令的第一ISA相關聯。常常,為第一ISA之部分的機器碼指令包括指令之一部分(被稱作作業碼),其參考/指定待進行的指令或操作。解碼邏輯125包括電路,該電路自其作業碼辨識出此等指令,且在管線上傳遞經解碼指令以供如藉由第一ISA定義的處理。舉例而言,如下文更詳細地論述,解碼器125在一個實施例中包括經設計或經調適以辨識特定指令諸如交易指令的邏輯。作為由解碼器125進行之辨識的結果,架構或核心101採用特定預定義動作以執行與適當指令相關聯的任務。重要的是應注意,本文中所描述之任務、區塊、操作及方法中的任一者可回應於單一或多個指令而執行;指令中的一些可為新指令或舊指令。請注意,在一個實施例中,解碼器126辨識同一ISA (或其子集)。替代地,在異質核心環境中,解碼器126辨識第二ISA (第一ISA的子集或截然不同之ISA)。
在一個實例中,分配器及重命名器區塊130包括分配器以保留資源,諸如暫存器檔案以儲存指令處理結果。然而,執行緒101a及101b潛在地具有無序執行能力,其中分配器及重命名器區塊130亦保留其他資源,諸如重排序緩衝器以追蹤指令結果。單元130亦可包括暫存器重命名器以重命名程式/指令參考暫存器為在處理器100內部的其他暫存器。重排序/引退單元135包括組件,諸如上文所提及之重排序緩衝器、載入緩衝器及儲存緩衝器,以支援無序地執行之指令的無序執行及稍後的有序引退。
排程器及執行單元區塊140在一個實施例中包括排程器單元以在執行單元上排程指令/操作。舉例而言,浮點指令在具有可用浮點執行單元之執行單元的一埠上排程。與執行單元相關聯之暫存器檔案亦經包括以儲存資訊指令處理結果。例示性執行單元包括浮點執行單元、整數執行單元、跳轉執行單元、載入執行單元、儲存執行單元及其他已知執行單元。
低層級資料快取記憶體及資料轉譯緩衝器(D-TLB) 150耦接至執行單元140。資料快取記憶體係儲存最近使用/經操作之元素(諸如,資料運算元),該等元素潛在地保持在記憶體一致性狀態下。D-TLB儲存新近虛擬/線性位址至實體位址的轉譯。作為特定實例,處理器可包括頁表結構以將實體記憶體斷開成多個虛擬頁。
此處,核心101及102共用對較高階或更高階(further-out)快取記憶體的存取,諸如與晶片上介面110相關聯的第二階快取記憶體。應注意,較高階或更高階指自執行單元增加或變得更遠離執行單元之快取記憶體層級。在一個實施例中,較高階快取記憶體為末階資料快取記憶體(處理器100上的記憶體階層中之最末快取記憶體),諸如第二或第三階資料快取記憶體。然而,較高階快取記憶體並非如此受限,此是由於其可與指令快取記憶體相關聯或包括指令快取記憶體。追蹤快取記憶體(一類型之指令快取記憶體)替代地可在解碼器125之後耦接以儲存最近解碼之追蹤。此處,指令潛在地指巨型指令(亦即,由解碼器辨識之通用指令),其可被解碼成多個微指令(微操作)。
在所描繪組配中,處理器100亦包括晶片上介面110。歷史上,在下文更詳細地描述之記憶體控制器已包括於在處理器100外部的計算系統中。在此情境下,晶片上介面110與諸如以下各者之處理器100外部的裝置通訊:系統記憶體175、晶片組(常常包括記憶體控制器集線器以連接至記憶體175,及I/O控制器集線器以連接周邊裝置)、記憶體控制器集線器、北橋或其他積體電路。且在此情境下,匯流排105可包括任何已知互連件,諸如多點匯流排、點對點互連件、串列互連件、並列匯流排、相干(例如,快取記憶體相干)匯流排、分層協定架構、差分匯流排及噴補收發器邏輯(Gunning transceiver logic;GTL)匯流排。在一些實施例中,晶片上介面110可包括如下文進一步詳細所描述之組態控制器111。
記憶體175可專用於處理器100或與系統中的其他器件共用。記憶體175之類型之普通實例包括動態隨機存取記憶體(DRAM)、狀態隨機存取記憶體(SRAM)、非依電性記憶體(NV記憶體)及其他已知儲存裝置。應注意,裝置180可包括圖形加速器、耦接至記憶體控制器集線器之處理器或卡、耦接至輸入/輸出(I/O)控制器集線器之資料儲存器、無線收發器、快閃裝置、音訊控制器、網路控制器或其他已知裝置。
然而,由於更多邏輯及裝置經整合於單個晶粒(諸如,系統單晶片(SoC))上,此等裝置中之每一者可併入處理器100上。舉例而言,在一個實施例中,記憶體控制器集線器與處理器100在同一封裝體及/或晶粒上。此處,核心之一部分(核心上部分)包括用於與諸如記憶體175或圖形裝置180之其他裝置介接的一或多個控制器。包括用於與此等裝置介接之互連及控制器的組配常常被稱作核心上組配(或非核心組配)。作為一實例,晶片上介面110包括用於晶片上通訊之環形互連件,及用於晶片外通訊的高速串列點對點鏈結105。又,在SOC環境中,甚至更多裝置諸如網路介面、共處理器、記憶體175、圖形處理器180及任何其他已知電腦裝置/介面可整合於單一晶粒或積體電路上以提供具有高功能性及低功率消耗的小型外觀尺寸。
在一個實施例中,處理器100能夠執行編譯程式、最佳化及/或轉譯器程式碼177以編譯、轉譯及/或最佳化應用程式碼176以支援本文中所描述的設備及方法並與之介接。編譯程式常常包括程式或程式集合以將原始文本/程式碼轉譯成目標文本文/程式碼。通常,程式/應用程式碼藉由編譯程式的編譯以多個階段及多個遍次進行,以將高階程式設計語言程式碼轉換成低階機器或組合語言程式碼。又,單一遍次編譯程式可仍用於簡單編譯。編譯程式可利用任何已知編譯技術並執行任何已知編譯程式操作,諸如詞彙分析、預處理、剖析、語義分析、程式碼產生、程式碼轉換及程式碼最佳化。
較大編譯程式常常包括多個階段,但最常見地此等階段包括於兩個通用階段內:(1)前端,亦即大體而言語法處理、語義處理及一些變換/最佳化可發生之處,及(2)後端,亦即大體而言分析、變換、最佳化及程式碼產生發生之處。一些編譯程式指中間編譯程式,其說明編譯程式之前端與後端之間的描繪的模糊化。因此,對編譯程式之插入、關聯、產生或其他操作的提及可發生於前述階段或遍次中之任一者中以及編譯程式的任何其他已知階段或遍次中。作為例示性實例,編譯程式在一或多個編譯階段中潛在地插入操作、呼叫、函式等,諸如在編譯之前端階段中插入呼叫/操作,且接著在變換階段期間將呼叫/操作變換成較低層級程式碼。應注意,在動態編譯期間,編譯程式之程式碼或動態最佳化程式碼可插入此等操作/調用,以及在執行階段期間最佳化程式碼以供執行。作為特定例示性實例,二元程式碼(已編譯程式碼)在執行階段期間可經動態最佳化。此處,程式碼可包括動態最佳化程式碼、二元程式碼或其組合。
類似於編譯程式,諸如二元轉譯程式之轉譯程式靜態或動態地轉譯程式碼以最佳化及/或轉譯程式碼。因此,對碼、應用程式碼、程式碼或其他軟體環境之執行的參考可指代:(1)動態地或靜態地執行編譯程式,最佳化程式碼最佳化器或轉譯器以編譯程式碼,以保持軟體結構,以執行其他操作,以最佳化程式碼或以轉譯程式碼;(2)執行包括操作/呼叫之主程式碼,諸如,已經最佳化/編譯之應用程式碼;(3)執行與主程式碼相關聯之其他程式碼(諸如程式庫)以保持軟體結構,以執行其他軟體相關之操作或以最佳化程式碼;或(4)其組合。
一個互連網狀架構包括周邊組件互連(PCI)高速(PCIe)架構。PCIe之主要目標為使得來自不同供應商之組件及裝置能夠在開放式架構中互操作,從而跨越多個市場分割;用戶端(桌上型電腦及行動裝置)、伺服器(標準及企業)以及嵌入式及通訊裝置。PCI高速為針對廣泛之多種將來計算及通訊平台定義的高效能之通用I/O互連。諸如其使用模型、載入儲存架構及軟體介面的一些PCI屬性已經由其修訂版得以保持,而先前並行匯流排實施已由高度可調式之充分串列介面來替換。PCI高速之最近版本利用點對點互連、基於開關之技術及封包化協定的進展來遞送新層級的效能及特徵。功率管理、服務品質(QoS)、熱插/熱拔支援、資料完整性以及錯誤處置為由PCI高速支援之高階特徵中的一些。
參看 2 ,說明由互連一組組件之點對點鏈結構成之網狀架構的實施例。系統200包括耦接至控制器集線器215之處理器205及系統記憶體210。處理器205包括任何處理元件,諸如微處理器、主機處理器、嵌入式處理器、共處理器或其他處理器。處理器205經由前側匯流排(FSB) 206耦接至控制器集線器215。在一個實施例中,FSB 206為如下文所描述的串列點對點互連件。在另一實施例中,鏈結206包括與不同互連件標準相容的串列差分互連件架構。
系統記憶體210包括任何記憶體器件,諸如隨機存取記憶體(RAM)、非依電性(NV)記憶體,或由系統200中之裝置可存取的其他記憶體。系統記憶體210經由記憶體介面216耦接至控制器集線器215。記憶體介面之實例包括雙資料速率(DDR)記憶體介面、雙通道DDR記憶體介面及動態RAM (DRAM)記憶體介面。
在一個實施例中,控制器集線器215為周邊組件互連高速(PCIe或PCIE)互連階層中之根集線器、根複合體或根控制器。控制器集線器215之實例包括晶片組、記憶體控制器集線器(MCH)、北橋、互連件控制器集線器(ICH)、南橋及根控制器/集線器。常常,術語晶片組指兩個實體分離之控制器集線器,亦即,耦接至互連控制器集線器(ICH)之記憶體控制器集線器(MCH)。應注意,當前系統常常包括與處理器205整合的MCH,而控制器215以如下文所描述之類似方式與I/O裝置通訊。在一些實施例中,同級間路由視需要經由根複合體215支援。
此處,控制器集線器215經由串列鏈結219耦接至開關/橋220。輸入/輸出模組217及221 (其亦可被稱為介面/埠217及221)包括/實施分層協定堆疊,以提供控制器集線器215與開關220之間的通訊。在一個實施例中,多個裝置能夠耦接至開關220。
開關/橋220將封包/訊息自裝置225向上游(亦即,將階層向根複合體提昇)路由到控制器集線器215,及自處理器205或系統記憶體210向下游(亦即,向下降階層遠離根控制器)路由至裝置225。在一個實施例中,開關220被稱作多個虛擬PCI至PCI橋裝置的邏輯總成。裝置225包括待耦接至電子系統之任何內部或外部裝置或組件,諸如I/O裝置、網路介面控制器(NIC)、添加式卡、音訊處理器、網路處理器、硬碟機、儲存裝置、CD/DVD ROM、監視器、印表機、滑鼠、鍵盤、路由器、攜帶型儲存裝置、火線裝置、通用串列匯流排(USB)裝置、掃描儀,及其他輸入/輸出裝置。常常,在PCIe中,諸如裝置之俗名(vernacular)被稱作端點。儘管未具體展示,但裝置225可包括PCIe至PCI/PCI-X橋以支援舊版或其他版本PCI裝置。PCIe中之端點裝置常常分類為舊版PCIe或根複合體整合式端點。裝置225可經由串列鏈結223耦接至控制器集線器215。
圖形加速器230亦經由串列鏈結232耦接至控制器集線器215。在一個實施例中,圖形加速器230耦接至MCH (其耦接至ICH)。開關220且因此I/O裝置225接著耦接至ICH。I/O模組231及218亦實施分層協定堆疊以在圖形加速器230與控制器集線器215之間通訊。類似於上文所論述之MCH,圖形控制器或圖形加速器230自身可整合於處理器205中。
轉向 3 ,說明分層協定堆疊之實施例。分層協定堆疊300包括任何形式之分層通訊堆疊,諸如快速路徑互連(QPI)堆疊、PCIe堆疊、下一代高效能計算互連堆疊或其他分層堆疊。儘管就在下文參看 2 至圖 5 進行的論述內容與PCIe堆疊相關,但相同概念可應用於其他互連堆疊。在一個實施例中,協定堆疊300係包括異動層305、鏈結層310及實體層320的PCIe協定堆疊。諸如圖2中之介面217、218、221、222、226及231的介面可表示為通訊協定堆疊300。作為通訊協定堆疊之表示亦可被稱作實施/包括協定堆疊的模組或介面。
PCI高速使用封包來在組件之間傳達資訊。封包形成於異動層305與資料鏈結層310中以將資訊自傳輸組件攜載至接收組件。由於所傳輸封包流過其他層,因此該等封包藉由在彼等層處處置封包必要的額外資訊擴展。在接收側,反向程序發生,且封包自其實體層320之表示轉換至資料鏈結層310的表示,且最終(對於異動層封包)轉換至可由接收裝置之異動層305處理的形式。異動層
在一個實施例中,異動層305將提供裝置之處理核心與互連架構之間的介面,諸如資料鏈結層310及實體層320。就此而言,異動層305之主要職責為裝配及分解封包(亦即,異動層封包,或TLP)。轉譯層305通常管理TLP的基於信用之流程控制。PCIe實施分裂異動(亦即,具有由時間分離之請求及回應的異動),從而允許鏈結攜載其他訊務同時目標器件收集針對回應的資料。
此外,PCIe利用基於信用之流程控制。在此方案中,裝置公告針對異動層305中接收緩衝器中之每一者的最初信用量。鏈結之相對末端處的外部裝置(諸如, 2 中之控制器集線器215)對由每一TLP消耗之信用數目進行計數。若異動未超出信用限制,則可傳輸異動。在接收到回應之後,復原信用量。信用方案之優點為,信用傳回之潛時並不影響效能,其限制條件為並未遭遇到信用限制。
在一個實施例中,四個異動位址空間包括組配位址空間、記憶體位址空間、輸入/輸出位址空間及訊息位址空間。記憶體空間異動包括讀取請求及寫入請求中之一或多者以將資料傳送至記憶體映射位置/自記憶體映射位置傳送資料。在一個實施例中,記憶體空間異動能夠使用兩種不同位址格式,例如,諸如32位元位址的短位址格式或諸如64位元位址的長位址格式。組配空間異動用以存取PCIe裝置的存取組配空間。對組配空間之異動包括讀取請求及寫入請求。訊息空間異動(或簡單地訊息)經定義以支援PCIe代理程式之間的頻帶內通訊。
因此,在一個實施例中,異動層305裝配封包標頭/有效酬載306。用於當前封包標頭/有效酬載之格式可在PCIe規範網站上之PCIe規範中發現。
參看 4 ,說明PCIe異動描述符之實施例。在一個實施例中,異動描述符400為用於攜載異動資訊的機制。就此而言,異動描述符400支援系統中異動的識別。其他潛在用途包括追蹤預設異動排序之修改,及異動與通道的關聯。
異動描述符400包括全域識別符欄位402、屬性欄位404及通道識別符欄位406。在所說明之實例中,全域識別符欄位402描繪為包含本端異動識別符欄位408及源識別符欄位410。在一個實施例中,全域異動識別符402對於所有突出請求為獨特的。
根據一個實施,本端異動識別符欄位408為藉由請求代理產生之欄位,且其對於要求該請求代理之完成的所有突出請求為獨特的。此外,在此實例中,源識別符410獨特地識別PCIe階層內的請求者代理。因此,與源ID 410一起,本端異動識別符408欄位提供階層域內異動的全域識別。
屬性欄位404指定異動的特性及關係。就此而言,屬性欄位404潛在地用以提供允許修改異動之預設處置的額外資訊。在一個實施例中,屬性欄位404包括優先權欄位412、保留欄位414、排序欄位416及非窺探欄位418。此處,優先權子欄位412可由啟動器修改以指派對異動的優先權。保留屬性欄位414保留以供將來使用或供供應商定義的使用。使用優先權或安全性屬性的可能使用模型可使用保留屬性欄位來實施。
在此實例中,排序屬性欄位416用以供應傳送排序之類型的可選資訊,該排序類型可修改預設排序規則。根據一個實例實施,為「0」之排序屬性指示將應用預設排序規則,其中為「1」之排序屬性指示鬆弛排序,其中寫入可傳遞相同方向上的寫入,且讀取完成可傳遞相同方向上的寫入。窺探屬性欄位418用以判定異動是否被窺探。如圖所示,通道ID欄位406識別異動與之相關聯的通道。鏈結層
亦稱作資料鏈結層310之鏈結層310充當異動層305與實體層320之間的中間級。在一個實施例中,資料鏈結層310之職責為提供用於經由鏈結在兩個組件之間交換異動層封包(TLP)的可靠機制。資料鏈結層310之一側接受由異動層305裝配的TLP,應用封包序列識別符311,亦即識別號或封包號,計算並應用錯誤偵測程式碼,亦即循環冗餘檢查(CRC)312,並將經修改TLP提交至實體層320以供跨越實體層傳輸到外部裝置。實體層
在一個實施例中,實體層320包括邏輯子區塊321及電氣區塊322以將封包實體傳輸至外部裝置。此處,邏輯子區塊321對實體層321之「數位」功能負責。就此而言,邏輯子區塊包括準備去話資訊以供實體子區塊322傳輸的傳輸區段,及在將接收到之資訊傳遞至鏈結層310之前識別並準備接收到之資訊的接收器區段。
實體區塊322包括傳輸器及接收器。由邏輯子區塊321向傳輸器供應符號,傳輸器使該等符號串列化並傳輸至外部裝置上。接收器自外部裝置被供應有串列化符號,且將所接收信號變換成位元串流。位元串流經解串列化並供應給邏輯子區塊321。在一個實施例中,使用8b/10b傳輸碼,藉由該8b/10b傳輸碼傳輸/接收十位元符號。此處,特殊符號用以由訊框323向封包加訊框。此外,在一個實例中,接收器亦提供自傳入串列串流復原的符號時脈。
如上所陳述,儘管異動層305、鏈結310及實體層320參看PCIe協定堆疊之特定實施例論述,但分層協定堆疊並不因此受限。實際上,可包括/實施任何分層協定。作為一實例,表示為分層協定之埠/介面包括:(1)裝配封包之第一層,亦即異動層;定序封包之第二層,亦即鏈結層;及傳輸封包之第三層,亦即實體層。作為具體實例,利用共同標準介面(CSI)分層協定。
接著參看 5 ,說明PCIe串列點對點網狀架構500的實施例。儘管說明了PCIe串列點對點鏈結之實施例,但串列點對點鏈結並非如此受限,此是由於其包括用於傳輸串列資料的任何傳輸路徑。在所展示之實施例中,基本PCIe鏈結包括兩個低電壓之差分驅動信號對:傳輸對506/511及接收對512/507。因此,裝置505包括傳輸資料至裝置510的傳輸邏輯506,及自裝置510接收資料的接收邏輯507。換言之,兩個傳輸路徑(亦即,路徑516及517)以及兩個接收路徑(亦即,路徑518及519)包括於PCIe鏈結中。
傳輸路徑指代用於傳輸資料的任何路徑,諸如傳輸線、銅線、光學線、無線通訊通道、紅外線通訊鏈結或其他通訊路徑。兩個裝置(諸如裝置505與裝置510)之間的鏈結被稱作鏈結,諸如鏈結415。鏈結可支援一個單工通道,各單工通道表示差分信號對之集合(一對信號用於傳輸,一對信號用於接收)。為了縮放頻寬,鏈結可聚集藉由xN指明的多個單工通道,其中N為任何所支援鏈結寬度,諸如1、2、4、8、12、16、32、64或更寬之鏈結寬度。
差分對指代用以傳輸差分信號之兩個傳輸路徑,諸如線416及417。作為一實例,當線416自低電壓位準雙態觸發至高電壓位準(亦即,上升邊緣)時,線417自高邏輯位準驅動至低邏輯位準(亦即,下降邊緣)。差分信號潛在地證明更好電特性,諸如更好信號完整性(亦即,交叉耦合、電壓突增/下衝、響鈴等)。此情形允許更好的時序窗,其啟用更快之傳輸頻率。
6 為說明具有組態控制器610之實體層(PHY)裝置600之方塊圖。組態控制器610可對應於 1 之組態控制器111。大體而言,PHY裝置600可接收功率狀態輸入信號605及/或外部組態資料618且可包括功率閘組件611、組態控制器610、端點615、外部組態資料接收器620、組態資料傳輸器625、組態介面匯流排640及各自與單獨組態資料解碼器650相關聯之PHY裝置組件651、652、653、654、655及656。在替代性實施例中,該等組件中之一或多者之功能性可組合或分割。舉例而言,單個組件可執行PHY裝置600之各種組件之功能性。可替代地,組件中之每一者之功能性可在多個子組件之間分離。
6 中所示,PHY裝置600可接收功率狀態輸入信號605。在一些實施例中,功率狀態輸入信號605可對應於系統通電(亦即,啟動)或系統斷電信號。在相同或替代實施例中,功率狀態輸入信號605可對應於冷重啟(亦即,冷啟動或硬重啟)或熱重啟(亦即,軟重啟)。冷重啟可指代其中PHY裝置600從無電狀態開始的啟動程序及執行PHY裝置600之通電自測的程序。熱重啟可指代其中PHY裝置600不從無電狀態開始(例如,自軟體起始的重設)且不執行通電自測之啟動程序。
可經由互連件或匯流排630自PHY裝置控制器(未展示)來接收功率狀態輸入信號605。舉例而言,可自功率管理控制器及/或PHY裝置600外部之PHY控制器接收功率狀態輸入信號605。可經由單獨互連件或匯流排自組態介面匯流排640接收功率狀態輸入信號605。此外,PHY裝置600可包括對應於記憶體位置之端點615,其中功率狀態輸入信號605之資料儲存於PHY裝置600中且由組態控制器610存取。
組態控制器610可判定PHY組件651、652、653、654、655及656之功率管理及組配之效能的條件。舉例而言,組態控制器610可通過控制功率閘組件611來控制PHY組件651-656之功率管理。在一些實施例中,功率閘組件611可確定功率被提供至特定PHY組件651-656之時間。舉例而言,回應於第一指令,組態控制器610可控制功率閘組件611提供功率至第一PHY組件651及第二PHY組件652並不提供功率至第三到第六PHY組件653-656。組態控制器610可產生功率管理資料以控制功率閘組件611。組態控制器610可進一步提供或控制組態資料至PHY組件651-656之傳輸。舉例而言,如先前所描述,組態控制器610可基於功率狀態輸入信號605識別指令集,當執行該等指令時使得組態控制器610將由組態控制器610儲存之特定組態資料傳輸至PHY組件651-656中之一或多者。PHY組件651-656中之每一者可包括儲存控制各別PHY組件之操作之組態資料之組配暫存器或記憶體。組態控制器610可將組態資料傳輸至可編碼組態資料之編碼器625以供通過組態介面匯流排640傳輸至PHY組件651-656。
參看 6 ,PHY組件651-656中之每一者可包括可轉換或解碼由組態控制器610經由組態介面匯流排640傳輸之所接收經編碼組態資料的組態資料轉換器650。關於組態控制器610的更多細節將結合 7 至圖 10 揭示。
PHY裝置600可進一步接收可對應於將儲存於PHY組件651-656之組配暫存器中之資料的另一信號618。在一些實施例中,信號618可將組態控制器610外部之組態資料傳輸至PHY組件651-656。舉例而言,信號618可對應於基於聯合測試行動群組(JTAG)或高級周邊匯流排(APB)協定儲存資料之指令。信號618可由接收器組件620接收,該接收器組件620可接收JTAG或APB指令或資料並將JTAG或APB指令或資料傳輸至PHY組件651-656之組配暫存器。
由此,PHY裝置600可包括多個PHY組件。PHY組件中之每一者可耦接至用於將資料傳輸至PHY組件以及自PHY組件接收資料之組態介面匯流排。組態控制器可用於判定經由組態介面匯流排將資料傳輸至PHY組件之時間。此外,組態控制器可通過控制與PHY組件中之每一者相關聯的功率閘功能而提供PHY組件之功率管理。
圖7 為用以傳輸組態資料之方法700之流程圖。在一些實施例中,方法700可由組態控制器111或611執行。舉例而言,方法700可由 1 之組態控制器111或 6 之組態控制器611用來將功率管理資料及組態資料傳輸至PHY裝置之組件。方法700亦可由可包含硬體(例如,電路、專用邏輯、可規劃邏輯、微碼等)、軟體、韌體或其組合的處理邏輯執行。可替代地,計算系統之其他組件可執行方法700之操作中之一些或全部。
7 中所示,方法700可以處理邏輯在區塊710處接收功率狀態輸入信號開始。舉例而言,可接收PHY裝置之熱重啟、冷重啟或斷電之指示。由此,功率狀態輸入信號可表示PHY裝置之功率狀態。處理邏輯可基於所接收之功率狀態輸入信號進一步擷取指令集(區塊720)。舉例而言,組態控制器之記憶體可儲存多個指令集。回應於第一類型之功率狀態輸入信號,可自記憶體擷取第一指令集。然而,若接收到第二類型之功率狀態輸入信號,則可自組態控制器之記憶體擷取與第一指令集不同之第二指令集。因此,可基於功率狀態輸入信號選擇或識別特定指令集。指令集可包括將PHY裝置之一或多個PHY組件通電及斷電(例如,傳輸功率管理資料)並將組態資料傳輸至一或多個PHY組件之一系列指令。組態資料可用於控制PHY組件之操作或可在PHY組件之運行期間使用。
參看 7 ,處理邏輯可基於所擷取之指令集進一步擷取組態資料(區塊730)。處理邏輯可基於所接收之功率狀態輸入信號進一步傳輸與組件相關聯之功率管理資料(區塊740)。舉例而言,可基於功率管理資料組配控制提供電流至PHY裝置之組件的功率閘控組件。此外,處理邏輯可基於指令集將組態資料傳輸至組件之暫存器(區塊750)。舉例而言,可經由組態介面匯流排將組態資料傳輸至PHY裝置之PHY組件之組配暫存器。在一些實施例中,組態資料可包括用於控制PHY組件之功率管理以及PHY組件之操作的功率管理資料。舉例而言,組態資料可為用於校準PHY組件之資料或用於執行PHY組件之邊緣操作之資料。組態資料可經傳輸至經編碼狀態中的PHY組件,在此狀態下PHY組件之解碼器組件在接收之後可解碼經編碼組態資料。將結合 8 至圖 10 描述關於組態資料及傳輸組態資料之指令之執行的其他細節。
由此,可接收對應於PHY裝置之功率狀態之信號。基於該信號之類型,指令集可選自多個所儲存之指令集。所選擇指令集可指定用於經由耦接至PHY裝置之PHY組件之組態介面匯流排來傳輸組態資料之一系列指令。舉例而言,回應於執行所選擇指令集之第一指令,組態資料之第一部分可在第一時間傳輸至第一PHY組件。執行第一指令之後,回應於執行相同的所選擇指令集之第二指令,組態資料之第二部分可在第二時間傳輸至第一PHY組件或另一PHY組件。
作為一實例,回應於對應於PHY裝置之冷重啟的信號,可擷取特定的指令集。第一指令可指定提供資料至對應於PHY裝置之鎖相迴路(PLL)組件之PHY組件以執行PHY裝置之時脈操作。接收PLL組件之成功時脈操作之應答消息(例如,指示產生具有關於輸入信號之階段之輸出信號的互鎖信號)之後,可執行指令集之第二指令以提供額外資料從而執行PHY裝置之傳輸器(TX)組件及/或PHY裝置之接收器(RX)組件之校準操作。在一些實施例中,資料可指定各別PHY組件將執行的操作類型以及當執行該類型之操作時提供由各別PHY組件使用之資料。
圖8 為說明組態控制器之區塊之方塊圖。大體而言,組態控制器800可對應於 1 6 之組態控制器111或610。
8 中所示,組態控制器800可包括接收功率狀態輸入信號805之功率管理組件810、儲存指令集之指令記憶體820、指令解碼器及編碼器組件830、有限狀態機840及轉換邏輯850。功率管理組件810可接收功率狀態輸入信號805。在一些實施例中,功率管理組件810可進一步(例如,經由互連件或網狀架構自PHY控制器)接收在PHY裝置外部之控制信號。
功率管理組件810可基於功率狀態輸入信號805傳輸功率管理資料811。舉例而言,功率管理資料811可指定PHY裝置之哪些PHY組件將在特定時間通電或斷電。在一些實施例中,功率管理資料811可經由組態介面匯流排傳輸至執行控制到PHY組件之電流的功率閘組件。舉例而言,功率狀態輸入信號805可對應於將使用第一PHY組件及第二PHY組件且將不使用第三PHY組件之操作。回應於此類操作,功率管理組件810可傳輸指定功率閘組件提供電流至第一PHY組件及第二PHY組件而不提供電流至第三PHY組件之功率管理資料811。在一些實施例中,功率管理資料811可傳輸至與PHY裝置之資料通道相關聯之第一功率閘組件及傳輸至與PHY裝置之普通通道相關聯之第二功率閘組件。此外,PHY裝置可包括多個通道,其中資料通道之每一通道包括TX組件及RX組件。組態控制器可針對每一通道產生功率管理資料及組態資料。由此,功率管理組件810可產生用於將包括組態控制器800之PHY裝置之特定組件通電或斷電之功率管理資料。
有限狀態機840可識別或選擇儲存於指令記憶體820中之指令集中之一者。舉例而言,可基於提供所接收功率狀態輸入信號805之類型之識別的功率管理組件805之輸出選擇指令集。在一些實施例中,有限狀態機840可自功率管理組件810之記憶體讀取或存取功率狀態輸入信號之類型的識別。有限狀態機840可執行所選指令集以經由耦接至多個PHY組件之組態介面匯流排寫入或傳輸資料從而將組態資料寫入或儲存於PHY組件之組配暫存器中。有限狀態機840可進一步執行提供與PHY裝置相關聯之資料邊緣操作或除錯操作的指令。結合 9 描述有限狀態機840關於PHY組件之功能性之其他細節。
參看 8 ,有限狀態機840可提供指定待執行之操作類型之輸出841及/或組態資料。指令解碼器及編碼器830可自所選擇指令集擷取指令中之一或多者並解碼該等指令以供經由組態介面匯流排傳輸至PHY組件。舉例而言,組態資料及/或由指令所指定之操作之識別可由轉換邏輯850轉換成格式以供經由組態介面匯流排傳輸至PHY組件之解碼器。轉換邏輯850之輸出851可為經由組態介面匯流排傳輸以儲存於PHY組件中之一或多者之組配暫存器中的經編碼組態資料。
9 為用以執行組態控制器之指令之方法900的流程圖。在一些實施例中,方法900可由組態控制器111或611執行。舉例而言,方法900可由 1 之組態控制器111或 6 之組態控制器611用以判定功率管理資料及組態資料至PHY裝置之組件的傳輸。方法900亦可由可包含硬體(例如,電路、專用邏輯、可規劃邏輯、微碼等)、軟體、韌體或其組合的處理邏輯執行。可替代地,計算系統之其他組件可執行方法900之操作中之一些或全部。
9 中所示,方法900可以回應於接收對應於PHY裝置之系統啟動、或冷啟動或重啟的功率狀態輸入信號,處理邏輯在區塊910處接收指令集而開始。舉例而言,可自功率狀態輸入信號識別PHY裝置之通電。回應於接收功率狀態輸入信號,處理邏輯可執行來自對應於PHY裝置的啟動狀態之所擷取指令集的第一指令(區塊920)。在一些實施例中,執行對應於啟動狀態之第一指令可包括通過控制提供電流至PHY組件之功率閘組件而對PHY裝置之PHY組件供電。第一指令可進一步執行對資料通道之PHY組件(例如,TX組件、RX組件及PCS組件)以及普通通道之PHY組件(例如,PLL組件及補償組件)通電的操作。第一指令可進一步提供對PHY控制器(例如,經由接收功率狀態輸入信號所藉由的互連件或網狀架構)以及提供將經由RX組件或TX組件傳輸之資料的指示。第一指令可進一步指定若PHY裝置接收斷電或斷開之控制信號或資料不由PHY裝置接收或傳輸,則可不再提供經由功率閘組件提供至PHY組件之功率。
參看 9 ,處理邏輯可進一步執行來自對應於PHY裝置之組配狀態之指令集之第二指令(區塊930)。在一些實施例中,第二指令可執行經由組態介面匯流排將組態資料傳輸或廣播至PHY組件之操作。回應於經由組態介面匯流排傳輸組態資料,處理邏輯可進一步等待自PHY組件接收組態資料之應答消息。舉例而言,通過經由用於將組態資料傳輸至PHY組件之相同組態介面匯流排自PHY組件中之一或多者接收應答消息,處理邏輯可驗證組態資料已經成功地傳輸至PHY組件。經由組態介面匯流排傳輸組態資料及自至少一個PHY組件接收應答消息之後,PHY組件之內部校準可視為完成(例如,基於使用組態資料)且處理邏輯可判定是否已經接收到除錯信號(區塊940)。在一些實施例中,除錯信號可為經由外部互連件或網狀構架自PHY裝置之PHY控制器所接收之信號。若已經接收到除錯信號,則處理邏輯可執行對應於除錯狀態之第三指令(區塊950)。第三指令可經由組態介面匯流排將額外組態資料傳輸至PHY組件。舉例而言,對應於除錯狀態之額外組態資料可在組配狀態之後執行且可包括控制用於傳輸資料之功率量及/或資料之傳輸速率的資料邊緣操作。若未接收除錯信號或除錯狀態完成,則處理邏輯可執行對應於功能狀態之指令集之第四指令(區塊960)。在一些實施例中,功能狀態可對應於經由PHY裝置之RX及TX組件傳輸及接收資料。
作為一實例,可接收對應於PHY裝置之啟動的功率狀態輸入信號。PHY裝置之組態控制器可提供功率管理資料從而經由一或多個資料通道及普通通道之功率閘組件(例如,TX及RX組件之多個群組)將功率或電流連續地提供至PHY組件。自經由功率閘組件通電之PHY組件中之每一者接收應答消息之後,組態控制器可經由組態介面匯流排將資料傳輸至PHY裝置之PLL組件以產生時脈信號。PHY裝置可接著進入組配狀態,其中組態資料經由組態介面匯流排傳輸至PHY組件之組配暫存器。舉例而言,可執行指令以傳輸用於PCS組件之組態資料從而控制經由RX及TX組件傳輸或接收之資料之解碼及/或編碼,不同資料通道之間的同步化等。在組配PCS組件之後,可執行另一指令以自TX及RX組件傳輸或接收資料。
10 為用以基於經更新指令集傳輸組態資料之實例方法1000之流程圖。在一些實施例中,方法1000可由 1 6 之組態控制器111或611執行。方法1000亦可由可包含硬體(例如,電路、專用邏輯、可規劃邏輯、微碼等)、軟體、韌體或其組合的處理邏輯執行。可替代地,計算系統之其他組件可執行方法1000之操作中之一些或全部。
10 中所示,方法1000可以回應於接收功率狀態輸入信號處理邏輯在區塊1010處擷取指令集開始。處理邏輯可進一步基於第一指令集經由組態介面匯流排傳輸組態資料(區塊1020)。隨後,處理邏輯可接收對應於功率狀態輸入信號之經更新指令集(區塊1030)。舉例而言,所擷取指令集可對應於特定類型之功率狀態輸入信號(例如,冷啟動)。經更新指令集可對應於與先前所擷取指令集相同的特定類型之功率狀態輸入信號。由此,可由經更新指令集更新或替換組態控制器之指令記憶體中之指令集。然後,可基於經更新指令集經由組態介面匯流排傳輸後續組態資料(區塊1040)。由此,回應於更新指令集,經執行以經由組態介面匯流排將特定組態資料傳輸至PHY組件的指令可改變。指令集之改變可因此修改執行PHY裝置之啟動狀態或改變PHY裝置之除錯或邊緣操作的操作。
接下來轉而參看 11 ,描繪根據本發明之系統單晶片(SoC)設計之實施例。作為一實例,SoC 1100包括於使用者設備(UE)中。在一個實施例中,UE指待由終端使用者使用以通訊的任何裝置,諸如手持式電話、智慧型電話、平板電腦、超薄筆記型電腦、具有寬頻配接器的筆記型電腦,或任何其他類似通訊裝置。UE常連接至基地台或節點,該基地台或節點本質上潛在地對應全球行動通訊系統(GSM)網路中之行動台(MS)。
此處,SoC 1100包括2個核心,即1106及1107。與上文之論述類似,核心1106及1107可符合指令集架構。核心1106及1107耦接至與匯流排介面單元1109及L2快取記憶體1110相關聯之快取記憶體控制1108從而與系統1100之其他部分通訊。互連件1110包括晶上互連件,諸如晶上系統網狀結構、高級微控制器匯流排架構(AMBA)或上文所述之其他互連件,所述互連件潛在地實施本發明之一或多個態樣。
介面1110提供至其他組件之通信通道,諸如用以與SIM卡介接之用戶識別模組(SIM) 1130、用以保持用於由核心1106及1107執行以初始化及啟動SOC 1100之啟動程式碼的啟動rom 1135、用以與外部記憶體(例如DRAM 1160)介接之SDRAM控制器1140、用以與非依電性記憶體(例如,快閃記憶體1165)介接之快閃記憶體控制器1145、用以與周邊裝置介接之周邊控制Q1650 (例如,串聯周邊介面)、用以顯示及接收輸入(例如觸控啟用輸入)之視訊編解碼器1120及視訊介面1125、用以執行圖形相關計算之GPU 1115等。此等介面中之任一者可併入有本文中所描述之本發明的態樣。
此外,系統說明用於通訊之周邊裝置,諸如藍芽模組1170、3G數據機1175、GPS 1180及WiFi 1185。應注意,如上文所陳述,UE包括用於通訊之無線電。因此,此等周邊通訊模組並非皆被需要。然而,在UE中,應包括用於外部通訊之某形式的無線電。
應注意,上述設備、方法及系統可按前述內容實施於任何電子裝置或系統中。作為特定說明,以下諸圖提供用於利用如本文中所描述之發明的例示性系統。由於在下文更詳細地描述系統,揭示、描述多個不同互連件並自以上論述內容重訪多個不同互連件。且如易於顯而易見的是,上述進展可應用至彼等互連件、網狀架構或架構中之任一者。
現參看 12 ,說明存在於根據本發明之實施例之電腦系統中的組件之方塊圖。如 12 中所展示,系統1200包括組件之任何組合。此等組件可實施為IC、其部分、離散電子裝置或其他模組、邏輯、硬體、軟體、韌體或其在電腦系統中經調適之組合,或實施為以其他方式併入於電腦系統之底盤內之組件。亦應注意,圖12之方塊圖意欲展示電腦系統之許多組件之高級視圖。然而,應理解,可省略所展示之組件中之一些組件,可存在額外組件,且可在其他實施中出現所展示之組件之不同配置。因此,可在下文所說明或描述之互連件中之一或多者的任何部分中實施上文所描述之揭示內容。在一些實施例中,系統1200可進一步包括如先前所描述之組態控制器。
12 中所見,在一個實施例中,系統1200包括微處理器、多核心處理器、多執行緒處理器、超低電壓處理器、嵌入式處理器或其他已知處理元件。在所說明實施中,處理器1210充當用於與系統1200之各種組件中之許多組件通訊的主處理單元及中央集線器。作為一實例,將系統1200實施為系統單晶片(SoC)。下文將進一步論述在一實施中的關於處理器1210之架構及操作之某些細節以提供例示性實例。
在一個實施例中,處理器1210與系統記憶體1215通訊。作為例示性實例,該實例在一實施例中可經由多個記憶體裝置來實施,以提供給定量之系統記憶體。作為實例,記憶體可根據基於聯合電子裝置工程委員會(JEDEC)低功率雙資料速率(LPDDR)之設計,諸如,LPDDR2標準或被稱作將提供LPDDR2之擴展的LPDDR3或LPDDR4之LPDDR標準以增大頻寬。在各種實施中,個別記憶體裝置可具有不同封裝類型,諸如單模封裝(SDP)、雙模封裝(DDP)或四模封裝(Q17P)。在一些實施例中,此等裝置直接焊接至主機板上以提供低構形解決方案,而在其他實施例中,裝置經組配為藉由給定連接器又耦接至主機板之一或多個記憶體模組。其他記憶體實施係可能的,諸如其他類型之記憶體模組,例如,不同種類之雙列直插記憶體模組(DIMM),包括但不限於microDIMM、MiniDIMM。在一些實施例中,記憶體經制定為2GB與16GB之間的大小,且可經組配為經由球狀柵格陣列(BGA)焊接至主板上的DDR3LM封裝或LPDDR2或LPDDR3記憶體。
為了提供諸如資料、應用程式、一或多個作業系統等之資訊之持久性儲存,亦可將大容量儲存器1220耦接至處理器1210。在各種實施例中,為了實現更薄及更輕系統設計以及為了改良系統回應性,此大容量儲存器可經由固態驅動器(SSD)實施。然而,在其他實施例中,可主要使用硬碟機(HDD)來實施大容量儲存器,該硬碟機具有較少量之SSD儲存器以充當SSD快取記憶體以實現在切斷電源事件期間之上下文狀態及其他此資訊之非依電性儲存以使得可在重新起始系統活動時發生快速通電。如 12 中所示,快閃裝置1222可例如經由串列周邊介面(SPI)耦接至處理器1210。此快閃裝置可提供系統軟體之非依電性儲存,包括基本輸入/輸出軟體(BIOS)以及系統之其他韌體。
在各種實施例中,獨自藉由SSD來實施系統之大容量儲存器,或將大容量儲存器實施為磁碟機、光碟機或具有SSD快取記憶體之其他磁碟機。在一些實施例中,將大容量儲存器實施為SSD或實施為HDD連同復原(RST)快取記憶體模組。在各種實施中,HDD提供介於320 GB至4太位元組(TB)之間的儲存,且當藉由具有24 GB至256 GB之容量之SSD實施RST快取記憶體時,HDD朝上。應注意,可將此SSD快取記憶體組配為單層快取記憶體(SLC)或多層快取記憶體(MLC)選項以提供適當層級之回應性。在僅SSD選項中,模組可容納於各種位置中,諸如,在微串列附接件(mSATA)或下一代外觀尺寸(NGFF)時槽中。作為實例,SSD具有範圍為120 GB至1 TB之容量。
各種輸入/輸出(IO)裝置可存在於系統1200內。具體言之,在圖12之實施例中展示顯示器1224,該顯示器可為組配於底盤之罩蓋部分內之高清晰度LCD或LED面板。此顯示面板亦可提供觸控式螢幕1225 (例如,在外部調適的在顯示面板之上之觸控式螢幕),以使得經由使用者與此觸控式螢幕之互動,可將使用者輸入提供至系統從而使得能夠進行所要操作,例如,關於資訊之顯示、對資訊之存取等等。在一個實施例中,顯示器1224可經由顯示器互連件耦接至處理器1210,該顯示器互連件可實施為高效能圖形互連件。觸控式螢幕1225可經由另一互連件耦接至處理器1210。如圖12中進一步展示,除觸控式螢幕1225之外,亦可經由觸控板1230發生借助於觸摸進行之使用者輸入,該觸控板可組配於底盤內且亦可耦接至與觸控式螢幕1225相同之互連件。
顯示面板可在多種模式中操作。在第一模式中,顯示面板可組配於透明狀態中,其中顯示面板對於可見光而言為可透射的。在各種實施例中,除了周邊周圍之帶槽框以外,大部分顯示面板可為顯示器。當系統在筆記型電腦模式中操作且顯示面板在透明狀態中操作時,使用者可檢視呈現於顯示面板上之資訊,同時亦能夠檢視在顯示器之後的物件。另外,顯示於顯示面板上之資訊可被位於顯示器之後的使用者檢視到。或者顯示面板之操作狀態可為不透明狀態,其中可見光並不會透射穿過顯示面板。
在平板電腦模式中,系統經摺疊關閉以使得顯示面板之背部顯示表面擱置於一位置中以使得當基礎面板之底表面擱置於一表面上或由使用者固持時,該背部顯示表面面向外部朝向使用者。在平板電腦操作模式中,背部顯示表面執行顯示器及使用者介面之作用,此係因為此表面可具有觸控式螢幕功能性且可執行習知觸控式螢幕裝置(諸如,平板電腦裝置)之其他已知功能。為此目的,顯示面板可包括透明度調整層,其安置於觸控式螢幕層與前部顯示表面之間。在一些實施例中,透明度調整層可為電致變色層(EC)、LCD層或EC層與LCD層之組合。
在各種實施例中,顯示器可為不同大小,例如,11.6''或13.3''之螢幕,且可具有16:9之縱橫比,及至少300尼特之亮度。又,顯示器可具有全高清晰度(HD)解析度(至少1920×1080p),與嵌入式顯示埠(eDP)相容,且可為具有面板自動再新之低功率面板。
關於觸控式螢幕能力,系統可提供顯示器多點觸控面板,該顯示器多點觸控面板為多點觸控電容性的且具備至少5指之能力。且在一些實施例中,顯示器可具備10指之能力。在一個實施例中,觸控式螢幕容納於耐損壞及刮擦玻璃及低摩擦以減小「手指燙傷」並避免「手指跳躍」的鍍層內。為了提供增強型觸控體驗及回應性,在一些實施中,觸控面板具有多點觸控功能性,諸如,在捏合縮小期間每一靜態視圖小於2個訊框(30 Hz),及200 ms情況下每一訊框小於1 cm (30 Hz)之單一觸控功能性(關於手指至指標之滯後)。在一些實施中,顯示器支撐具有最少螢幕帶槽框之邊緣至邊緣玻璃,該最少螢幕帶槽框亦與面板表面齊平,且當使用多點觸控時,具有有限IO干擾。
為了感知計算及其他目的,各種感測器可存在於系統內且可按不同方式耦接至處理器1210。某些慣性及環境感測器可透過感測器集線器1240 (例如經由I2 C互連件)耦接至處理器1210。在 12 中所示之實施例中,此等感測器可包括加速度計1241、環境光感測器(ALS) 1242、羅盤1243及陀螺儀1244。其他環境感測器可包括一或多個熱感測器1246,其在一些實施例中經由系統管理匯流排(SMBus)匯流排耦接至處理器1210。
使用平台中存在之各種慣性及環境感測器,可實現許多不同使用狀況。此等使用狀況使得能夠進行包括感知計算之高階計算操作,且亦考慮到關於功率管理/電池壽命、安全及系統回應性之增強。
舉例而言,關於功率管理/電池壽命問題,至少部分基於來自環境光感測器之資訊,判定平台之位置中之環境光條件且相應地控制顯示器之強度。因此,在操作顯示器時消耗之功率在某些照明條件下得以減少。
關於安全操作,基於自感測器獲得之上下文資訊(諸如,位置資訊),可判定是否允許使用者存取某些安全文件。舉例而言,可准許使用者在工作地點或起始點位置存取此等文件。然而,防止了使用者在平台存在於公共位置時存取此等文件。在一實施例中,此判定係基於位置資訊,例如,經由GPS感測器或對路標之攝影機辨識判定之位置資訊。其他安全操作可包括提供裝置在彼此接近之範圍內之配對,例如,如本文所描述之攜帶型平台及使用者之桌上型電腦、行動電話等等。在一些實施中,當此等裝置如此配對時,經由近場通訊來實現某些共享。然而,當裝置超出某一範圍時,可停用此共用。此外,當使如本文所描述之平台與智慧型電話配對時,報警器可經組配以在裝置彼此移動超過預定距離時、當處於公共位置時,觸發報警器。相比之下,當此等配對裝置處於安全位置(例如,工作地點或家庭位置)時,該等裝置可超出此預定限制而不觸發此報警器。
亦可使用感測器資訊來增強回應性。舉例而言,甚至當平台處於低功率狀態時,亦仍可啟用感測器從而在相對較低頻率下執行。因此,判定(例如)如藉由慣性感測器、GPS感測器等等判定之平台之位置的任何改變。若尚未登記此等改變,則發生至先前無線集線器(諸如,Wi-Fi存取點或類似無線啟用器)之較快速連接,此係因為在此狀況下不需要掃描可用無線網路資源。因此,當自低功率狀態醒來時,達成較大層級之回應性。
應理解,可使用經由如本文所描述之平台內之整合感測器獲得的感測器資訊實現許多其他使用狀況,且上述實例僅用於說明之目的。使用如本文所描述之系統,感知計算系統可考慮到替代輸入模態之添加,包括手勢辨識,且使得系統能夠感測使用者操作及意圖。
在一些實施例中,可能存在一或多個紅外線或其他熱感測元件,或用於感測使用者之存在或移動之任何其他元件。此等感測元件可包括在一起工作、按順序工作或該等兩者之多個不同元件。舉例而言,感測元件包括進行以下操作之元件:提供初始感測,諸如光或聲音投射,繼之藉由(例如)超音波飛行時間攝影機或經圖案化光攝影機感測姿勢偵測。
又,在一些實施例中,系統包括用以產生照射光線之光產生器。在一些實施例中,此光線提供關於虛擬邊界之視覺提示,即,假想或虛擬空間位置,其中將使用者通過或突破虛擬邊界或平面之動作解譯為與計算系統接合之意圖。在一些實施例中,照射光線可隨著計算系統關於使用者轉變至不同狀態而改變色彩。照射光線可用以為使用者提供關於虛擬空間邊界之視覺提示,且可供系統用以判定電腦關於使用者之狀態之轉變,包括判定使用者何時希望與電腦接合。
在一些實施例中,電腦感測使用者位置且進行操作以將使用者之手穿過虛擬邊界之移動解譯為指示使用者與電腦接合之意圖之姿勢。在一些實施例中,當使用者穿過虛擬線或平面時,由光產生器產生之光可改變,藉此將關於使用者已進入用於提供姿勢以將輸入提供至電腦之區域的視覺反饋提供給使用者。
顯示螢幕可提供計算系統關於使用者之狀態之轉變的視覺指示。在一些實施例中,在第一狀態下提供第一螢幕,其中系統(諸如)經由使用感測元件中之一或多者感測使用者之存在。
在一些實施中,系統起作用以(諸如)藉由面部辨識感測使用者身分。此處,可在第二狀態下提供至第二螢幕之轉變,其中計算系統已辨識到使用者身分,其中此第二螢幕將關於使用者已轉變至新狀態之視覺反饋提供給使用者。可在第三狀態下發生至第三螢幕之轉變,其中使用者已確認對使用者之辨識。
在一些實施例中,計算系統可使用轉變機制來判定針對使用者之虛擬邊界之位置,其中虛擬邊界之位置可隨使用者及上下文而變化。計算系統可產生光(諸如,照射光線)以指示用於與系統接合之虛擬邊界。在一些實施例中,計算系統可處於等待狀態,且可按第一色彩產生光。計算系統可(諸如)藉由使用感測元件感測使用者之存在及移動而偵測使用者是否已穿過虛擬邊界。
在一些實施例中,若已偵測到使用者越過虛擬邊界(諸如,使用者之手比虛擬邊界線更接近計算系統),則計算系統可轉變至用於接收來自使用者之姿勢輸入之狀態,其中用以指示轉變之機制可包括指示虛擬邊界改變成第二色彩之光。
在一些實施例中,計算系統可接著判定是否偵測到姿勢移動。若偵測到姿勢移動,則計算系統可繼續進行姿勢辨識處理程序,該姿勢辨識處理程序可包括使用來自姿勢資料庫之資料,該姿勢資料庫可駐留於計算裝置中之記憶體中或可另外由計算裝置來存取。
若辨識使用者之姿勢,則計算系統可回應於輸入而執行功能,且若使用者在虛擬邊界內,則計算系統返回至接收額外姿勢。在一些實施例中,若並未辨識姿勢,則計算系統可轉變至錯誤狀態,其中用以指示錯誤狀態之機制可包括指示虛擬邊界改變成第三色彩之光,其中若使用者在虛擬邊界內,則系統返回至接收額外姿勢以用於與計算系統接合。
如上文所提及,在其他實施例中,系統可經組配為可用於至少兩種不同模式中之可轉換之平板電腦系統:平板電腦模式及筆記型電腦模式。可轉換之系統可具有兩個面板(即,顯示面板與基礎面板),以使得在平板電腦模式中,兩個面板呈堆疊形式安置於彼此之上。在平板電腦模式中,顯示面板面向外部且可提供如可見於習知平板電腦中之觸控式螢幕功能性。在筆記型電腦模式中,該等兩個面板可配置於開放貝殼掀蓋式組配中。
在各種實施例中,加速度計可為具有至少50 Hz之資料速率之3軸加速度計。亦可包括陀螺儀,其可為3軸陀螺儀。另外,可存在電子羅盤/磁力計。又,可提供一或多個鄰近感測器(例如,罩蓋打開以感測何時個人(或不)在系統附近並調整功率/效能以延長電池壽命)。對於一些作業系統,包括加速度計、陀螺儀及羅盤之能力可提供增強型特徵。另外,當系統之剩餘部分處於低功率狀態時,經由具有實時時脈(RTC)之感測器集線器,可實現來自感測器機制之喚醒以接收感測器輸入。
在一些實施例中,內部罩蓋/顯示器開啟開關或感測器以指示罩蓋何時關閉/開啟,且可用以將系統置於連接待命或自動地自連接待命狀態喚醒。其他系統感測器可包括用於內部處理器、記憶體及表面溫度監視之ACPI感測器以基於所感測之參數實現對處理器及系統操作狀態之改變。
在實施例中,OS可經由如本文中所描述之平台提供極低超閒置功率以使得應用程式能夠保持以極低功率消耗已連接(例如)至基於雲之位置。平台可支援3個功率狀態,即:螢幕開啟(正常);連接待命(作為預設「關閉」狀態);及關斷(例如,零瓦特之功率消耗)。因此在連接待命狀態下,平台邏輯上開啟(在最少功率位準下),即使螢幕關閉亦如此。在此平台中,可使得功率管理對於應用程式而言為透明的且維護恆定連接性,此部分地歸因於使得最低供電組件能夠執行操作之卸載技術。
亦在 12 中所見,各種周邊裝置可經由低接腳計數(LPC)互連件耦接至處理器1210。在所示之實施例中,各種組件可經由嵌入式控制器1235耦接。此類組件可包括鍵盤1236、風扇1237及熱感測器1239。在一些實施例中,觸控板1230亦可經由PS2介面耦接至EC 1235。另外,安全性處理器還可經由此LPC互連件耦接至處理器1210。然而,應理解,就此而言,本發明之範疇不受限制,且安全資訊之安全處理及儲存可處於另一受保護之位置,諸如安全共處理器中之靜態隨機存取記憶體(SRAM),或作為僅在受安全區域(SE)處理器模式保護時才進行解密之加密資料點。
在特定實施中,周邊埠可包括高清晰度媒體介面(HDMI)連接器(可具有不同外觀尺寸,諸如,完整大小、迷你或微型);一或多個USB埠,當該系統在連接待命狀態中且插入AC壁功率中時,該等USB埠中之至少一者經供電以用於充電USB裝置(諸如,智慧型電話)。另外,可提供一或多個其他埠。其他埠可包括可在外部存取之讀卡器,諸如完整大小之安全數位經擴展容量(SD-XC)讀卡器及/或SIM卡讀卡器。對於音訊,可存在具有立體聲及麥克風能力(例如,組合功能性)之3.5 mm插口,其中支援插口偵測(例如,在於電纜中具有麥克風之罩蓋或頭戴式耳機中,頭戴式耳機僅支援使用麥克風)。在一些實施例中,此插口可在立體聲頭戴式耳機與立體聲麥克風輸入之間重新分派任務。又,可提供用於耦接至AC磚之電源插口。
系統1200可按多種方式(包括無線地)與外部裝置通訊。在 12 中所示之實施例中,存在各種無線模組,該等無線模組中之各者可對應於經組配用於特定無線通訊協定之無線電。一種用於短程(諸如,近場)無線通訊之方式可為經由近場通訊(NFC)單元1245,在一實施例中,該近場通訊單元可經由SMBus與處理器1210通訊。應注意,經由此NFC單元1245,彼此最緊密接近之裝置可進行通訊。舉例而言,使用者可使得系統1200能夠經由以下操作與使用者之另一攜帶型裝置(諸如,智慧型電話)通訊:調適在一起關係密切之兩個裝置,及實現諸如識別資訊、支付資訊之資訊、諸如影像資料之資料等之傳送。亦可使用NFC系統來執行無線電力傳送。
使用本文所描述之NFC單元,使用者可藉由充分利用此等裝置中之一或多者的線圈之間的耦接來邊至邊碰撞裝置且並列放置裝置以用於實現近場耦合功能(諸如,近場通訊及無線功率傳送(WPT))。更具體言之,實施例提供具有戰略上經塑形且經置放之鐵氧體材料之裝置,以提供線圈之更好耦合。各線圈具有與其相關聯之電感,該電感可結合系統之電阻性特徵、電容性特徵及其他特徵來選擇以實現系統之共同諧振頻率。
12 中進一步所見,額外無線單元可包括其他短程無線引擎,包括WLAN單元1250及藍芽單元1252。使用WLAN單元1250可實現根據各種標準之通訊,而經由藍芽單元1252,可發生經由藍芽協定之短程通訊。此等單元可經由(例如)USB鏈結或通用異步接收器傳輸器(UART)鏈結與處理器1210通訊。或此等單元可經由互連件根據周邊組件互連高速™(PCIe™)協定或另一此類協定(諸如,串列資料輸入/輸出(SDIO)標準)耦接至處理器1210。當然,此等周邊裝置(其可組配於一或多個內插卡中)之間的實際實體連接可借助於適於主機板之NGFF連接器進行。
另外,無線廣域通訊(例如,根據蜂巢式或其他無線廣域協定)可經由無線廣域網路(WWAN)單元1256發生,該無線廣域網路單元1256又可耦接至用戶識別模組(SIM) 1257。另外,為了使得能夠接收及使用位置資訊,亦可存在GPS模組1255。應注意,在圖12中所展示之實施例中,WWAN單元1256及整合式捕獲裝置(諸如,攝影機模組1254)可經由給定USB協定(諸如,USB 2.0或3.0鏈結,或通用異步接收器/傳輸器(UART)或I2 C協定來通訊。此外,此等單元之實際實體連接可經由NGFF內插卡至組配於主機板上之NGFF連接器之調適進行。
在特定實施例中,可模組化地提供無線功能性,例如,藉由WiFiTM 802.11ac解決方案(例如,與IEEE 802.11abgn回溯相容之內插卡)及對Windows 8 CS之支援。可在內部時槽中組配此卡(例如,經由NGFF配接器)。額外模組可提供藍芽能力(例如,具有回溯相容性之藍芽4.0)以及Intel®無線顯示功能性。另外,可經由單獨裝置或多功能裝置提供NFC支援,且作為一實例,可將其定位於底盤之右前部分中以用於實現容易接近。再一額外模組可為WWAN裝置,其可提供對3G/4G/LTE及GPS之支援。可在內部(例如,NGFF)時槽中實施此模組。可提供整合式天線支援以用於WiFi™、藍芽、WWAN、NFC及GPS,從而實現自WiFi™至WWAN無線電之無縫轉變、根據無線千兆位元規格(2010年7月)之無線千兆位元(WiGig),且反之亦然。
如上文所描述,可將整合式攝影機併入於罩蓋中。作為一實例,此攝影機可為高解析度攝影機,例如,具有至少2.0百萬像素(MP)之解析度且擴展至6.0 MP及超出該範圍。
為了提供音訊輸入及輸出,可經由數位信號處理器(DSP)1260實施音訊處理器,該數位信號處理器可經由高清晰度音訊(HDA)鏈結耦接至處理器1210。類似地,DSP 1260可與整合式寫碼器/解碼器(編解碼器)及放大器1262通訊,該整合式寫碼器/解碼器(編解碼器)及放大器又可耦接至可在底盤內實施之輸出揚聲器1263。類似地,放大器及編碼解碼器1262可經耦接以自麥克風1265接收音訊輸入,在一實施例中,可經由雙陣列麥克風(諸如,數位麥克風陣列)實施該麥克風以提供高品質音訊輸入以實現對系統內之各種操作之語音啟動控制。亦應注意,可將音訊輸出自放大器/編解碼器1262提供至頭戴式耳機插口1264。儘管在圖12之實施例中展示具有此等特定組件,但應理解,就此而言,本發明之範疇不受限制。
在特定實施例中,數位音訊編解碼器及放大器能夠驅動立體聲頭戴式耳機插口、立體聲麥克風插口、內部麥克風陣列及立體聲揚聲器。在不同實施中,可將編解碼器整合至音訊DSP中或經由HD音訊路徑將編解碼器耦接至周邊控制器集線器(PCH)。在一些實施中,除整合式立體聲揚聲器之外,亦可提供一或多個低音揚聲器,且該揚聲器方案可支援DTS音訊。
在一些實施例中,可藉由一外部電壓調節器(VR)及整合於處理器模內部之多個內部電壓調節器(被稱作完全整合之電壓調節器(FIVR))來為處理器1210供電。處理器中之多個FIVR之使用使得能夠將組件分群成單獨電源平面,以使得藉由FIVR來調節電力及將電力僅供應至群組中之彼等組件。在功率管理期間,當處理器置於某一低功率狀態時,可將一個FIVR之給定電源平面切斷電源或關閉電源,而另一FIVR之另一電源平面保持作用中,或完全供電。
在一實施例中,可在一些深睡眠狀態期間使用持續電源平面以將用於若干I/O信號之I/O接腳通電,諸如處理器與PCH之間的介面、與外部VR之介面及與EC 1235之介面。此持續電源平面亦為支援機載SRAM或其他快取記憶體之晶粒上電壓調節器供電,其中在睡眠狀態期間儲存處理器上下文。持續電源平面亦用以將處理器之監視及處理各種喚醒源信號之喚醒邏輯通電。
在功率管理期間,當在處理器進入某些深睡眠狀態時將其他電源平面切斷電源或關閉電源時,持續電源平面保持通電以支援上文所參考之組件。然而,當不需要彼等組件時,此情形可導致不必要之功率消耗或耗散。為此目的,實施例可使用專用電源平面提供連接待命睡眠狀態以維護處理器上下文。在一個實施例中,連接待命睡眠狀態使用PCH之資源促進處理器喚醒,PCH自身可與處理器一起存在於封裝中。在一個實施例中,連接待命睡眠狀態促進持續進行PCH中之處理器架構功能,直至處理器喚醒為止,此情形使得能夠關斷先前在深睡眠狀態期間保持通電之所有不必要之處理器組件(包括關斷所有時脈)。在一個實施例中,PCH含有時間戳計數器(TSC)及用於在連接待命狀態期間控制系統之連接待命邏輯。用於持續電源平面之整合式電壓調節器亦可駐留於PCH上。
在實施例中,在連接待命狀態期間,整合式電壓調節器可充當專用電源平面,其在處理器進入深睡眠狀態及連接待命狀態時保持通電以支援專用快取記憶體,諸如關鍵狀態變數之處理器上下文儲存於該專用快取記憶體中。此關鍵狀態可包括與架構、微架構、除錯狀態相關聯之狀態變數,及/或與處理器相關聯之類似狀態變數。
在連接待命狀態期間,可將來自EC 1235之喚醒源信號發送至PCH而非處理器,以使得PCH可管理喚醒處理而非處理器。另外,在PCH中維護TSC以促進持續進行處理器架構功能。儘管在圖12之實施例中展示具有此等特定組件,但應理解,就此而言,本發明之範疇不受限制。
處理器中之功率控制可導致增強型功率節省。舉例而言,可在核心之間動態地分配功率,個別核心可改變頻率/電壓,且可提供多個深低功率狀態以實現極低功率消耗。另外,對核心或獨立核心部分之動態控制可藉由在組件不使用時將組件切斷電源來提供減少之功率消耗。
一些實施可提供特定功率管理IC (PMIC)以控制平台功率。使用此解決方案,當處於給定待命狀態時(諸如,當處於Win8連接待命狀態時),系統可在延長之持續時間(例如,16小時)內經歷極低(例如,小於5%)電池降級。在Win8閒置狀態下,可實現超過(例如) 9小時之電池壽命(例如,在150尼特)。關於視訊播放,可實現長電池壽命,例如,可在最小6小時內發生完整HD視訊播放。在一個實施中,對於使用SSD之Win8 CS,平台可具有(例如) 35瓦特時(Whr)之能量容量,且對於使用具有RST快取記憶體組配之HDD之Win8 CS,平台可具有(例如) 40至44 Whr。
特定實施可提供對15 W標稱CPU熱設計功率(TDP)之支援,具有高達大約25 W TDP設計點之可組配之CPU TDP。平台可包括由於上文所描述之熱特徵導致之最少通風口。另外,平台為墊座友好的(因為無熱空氣吹拂在使用者上)。可取決於底盤材料而實現不同的最高溫度點。在塑膠底盤之一個實施中(至少關係到塑膠之罩蓋或底座部分),最高操作溫度可為攝氏52度(C)。且,對於金屬底盤之實施,最高操作溫度可為46℃。
在不同實施中,可將諸如TPM之安全模組整合至處理器中或安全模組可為諸如TPM 2.0裝置之離散裝置。由於具有整合式安全性模組(亦被稱作平台信任技術(PTT)),可使得BIOS/韌體能夠暴露用於某些安全性特徵之某些硬體特徵,包括安全指令、安全啟動及安全使用者介面,諸如,安全鍵盤及顯示器。
以下實例涉及其他實施例。
實例1為包含耦接至實體層(PHY)裝置之多個組件之組態介面匯流排及耦接至組態介面匯流排之組態控制器的積體電路。組態控制器將接收表示PHY裝置之功率狀態之輸入信號,識別對應於表示PHY裝置之功率狀態之輸入信號的指令集,並經由組態介面匯流排將回應於指令集之執行的組態資料傳輸至PHY裝置之多個組件中之一或多者。PHY裝置之多個組件中之一或多者之操作是基於組態資料。
在實例2中,在實例1之積體電路中,組態控制器將基於表示PHY裝置之功率狀態之輸入信號進一步產生對應於PHY裝置之多個組件之功率管理資料並經由組態介面匯流排將功率管理資料傳輸至一或多個功率管理組件。
在實例3中,在實例1至2中之任一者之積體電路中,一或多個功率管理組件基於功率管理資料將功率閘控功能性提供至PHY裝置之多個組件中之每一者。
在實例4中,在實例1至3中之任一者之積體電路中,組態資料包含用於PHY裝置之傳輸器(TX)組件、接收器(RX)組件及實體寫碼子層(PCS)組件中之至少一者的操作條件。
在實例5中,在實例1至4中之任一者之積體電路中,組態控制器在經由組態介面匯流排傳輸組態資料之前進一步編碼組態資料。
在實例6中,在實例1至5中之任一者之積體電路中,組態控制器進一步接收對於對應於輸入信號之指令集之更新及回應於表示功率狀態之後續輸入信號經由組態介面匯流排將對應於經更新指令集之經更新組態資料傳輸至PHY裝置之多個組件中之一或多者。
在實例7中,在實例1至6中之任一者之積體電路中,PHY裝置之多個組件對應於PHY裝置之資料通道及普通通道中之組件。
在實例8中,方法包含接收表示包含多個組件之PHY裝置之功率狀態的輸入信號,識別對應於表示PHY裝置之功率狀態之輸入信號的指令集,及回應於指令集之執行,藉由處理裝置及經由組態介面匯流排將組態資料傳輸至PHY裝置之多個組件中之一或多者,其中PHY裝置之多個組件中之一或多者之操作是基於組態資料。
在實例9中,在實例8之方法中,該方法進一步包含基於表示PHY裝置之功率狀態的輸入信號產生對應於PHY裝置之多個組件之功率管理資料,及經由組態介面匯流排將功率管理資料傳輸至一或多個功率管理組件。
在實例10中,在實例8至9中之任一者之方法中,一或多個功率管理組件基於功率管理資料將功率閘控功能性提供至PHY裝置之多個組件中之每一者。
在實例11中,在實例8至10中之任一者之方法中,組態資料包含用於PHY裝置之傳輸器(TX)組件、接收器(RX)組件及實體寫碼子層(PCS)組件中之至少一者的操作條件。
在實例12中,在實例8至11中之任一者之方法中,該方法進一步包含在經由組態介面匯流排傳輸組態資料之前編碼組態資料。
在實例13中,在實例8至12中之任一者之方法中,該方法進一步包含接收對於對應於輸入信號之指令集之更新及回應於表示功率狀態之後續輸入信號,經由組態介面匯流排將對應於經更新指令集之經更新組態資料傳輸至PHY裝置之多個組件中之一或多者。
在實例14中,設備包含以產生表示PHY裝置之功率狀態之信號的功率管理單元、與功率管理單元耦接以接收表示功率狀態之信號及產生與PHY裝置相關聯之功率管理資料的組態控制器、與組態控制器耦接以自微控制器接收功率管理資料及經由匯流排傳輸功率管理資料的匯流排及與匯流排耦接並基於經由匯流排傳輸之功率管理資料接收功率之PHY裝置之多個組件。
在實例15中,在實例14之設備中,組態控制器進一步識別來自儲存於記憶體中之對應於表示PHY裝置之功率狀態之信號的來自多個指令集之指令集並回應於指令集之執行,經由匯流排將組態資料傳輸至PHY裝置之多個組件中之一或多者。PHY裝置之多個組件中之一或多者之操作是基於組態資料。
在實例16中,在實例14至15中之任一者之設備中,設備進一步包含一或多個功率管理組件以基於經由匯流排傳輸之功率管理資料將功率閘控功能性提供至PHY裝置之多個組件中之每一者。
在實例17中,在實例14至16中之任一者之設備中,組態資料包含用於PHY裝置之傳輸器(TX)組件、接收器(RX)組件及實體寫碼子層(PCS)組件中之至少一者的操作條件。
在實例18中,在實例14至17中之任一者之設備中,組態控制器在經由組態介面匯流排傳輸組態資料之前進一步編碼組態資料。
在實例19中,在實例14至18中之任一者之設備中,組態控制器進一步接收記憶體中對應於輸入信號之指令集之更新且回應於表示功率狀態之後續信號,經由匯流排將對應於經更新指令集之經更新組態資料傳輸至PHY裝置之多個組件中之一或多者。
在實例20中,系統包含包含接收器(RX)組件及傳輸器(TX)組件之實體層(PHY)裝置之資料通道、包含鎖相迴路(PLL)組件及實體寫碼子層(PCS)組件之PHY裝置之普通通道、耦接至PHY裝置之資料通道及普通通道之組態介面匯流排及與組態介面匯流排耦接之組態控制器,以接收表示PHY裝置之功率狀態之輸入信號,識別儲存於記憶體中對應於表示PHY裝置之功率狀態之輸入信號的來自多個指令集之指令集,並回應於指令集之執行經由組態介面匯流排將組態資料傳輸至PHY裝置之資料通道或普通通道之組件中之一或多者,其中PHY裝置之資料通道或普通通道之組件中之一或多者之操作是基於組態資料。
在實例21中,在實例20之系統中,組態控制器基於表示PHY裝置之功率狀態之輸入信號進一步產生對應於一或多個組件之功率管理資料並經由組態介面匯流排將功率管理資料傳輸至一或多個功率管理組件。
在實例22中,在實例20至21中之任一者之系統中,一或多個功率管理組件基於功率管理資料將功率閘控功能性提供至PHY裝置之普通通道及資料通道之組件中之每一者。
在實例23中,在實例20至22中之任一者之系統中,組態控制器在經由組態介面匯流排傳輸組態資料之前進一步編碼組態資料。
在實例24中,在實例20至23中之任一者之系統,組態控制器進一步接收記憶體中對應於輸入信號之指令集之更新並回應於表示功率狀態之後續輸入信號,經由組態介面匯流排將對應於經更新指令集之經更新組態資料傳輸至PHY裝置之資料通道或普通通道之組件中之一或多者。
在實例25中,在實例20至24中之任一者之系統中,組態資料包含用於PHY裝置之RX組件、TX組件、PLL組件及PCS組件中之至少一者的操作條件。
在實例26中,系統單晶片(SoC)包含耦接至實體層(PHY)裝置之多個組件之組態介面匯流排及耦接至組態介面匯流排之組態控制器,以接收表示PHY裝置之功率狀態之輸入信號,識別對應於表示PHY裝置之功率狀態之輸入信號的指令集及回應於指令集之執行經由組態介面匯流排將組態資料傳輸至PHY裝置之多個組件中之一或多者,其中PHY裝置之多個組件中之一或多者之操作是基於組態資料。
在實例27中,在實例26之SoC中,SoC可進一步包括實例2至7中之任一者之標的物。
在實例28中,在實例26之SoC中,組態控制器可進一步可操作以執行實例9至13中之任一者之標的物。
在實例29中,在實例26之SoC中,SoC可進一步包括實例14至19中之任一者之標的物。
在實例30中,設備包含用於接收表示PHY裝置之功率狀態之輸入信號之構件,用於識別對應於表示PHY裝置之功率狀態的輸入信號之指令集之構件,及用於回應於指令集之執行經由組態介面匯流排將組態資料傳輸至PHY裝置之多個組件中之一或多者之構件,其中PHY裝置之多個組件中之一或多者之操作是基於組態資料。
在實例31中,在實例30之設備中,設備可進一步包含如請求項1至7及14至25中任一項之標的物。
在實例32中,設備包含記憶體及耦接至該記憶體且包含組態控制器之處理器,其中組態控制器經組配以執行實例8至13中之任一者之方法。
在實例33中,在實例32之設備中,設備可進一步包括如請求項1至7及14至25中任一項之標的物。
在實例34中,非暫時性機器可讀儲存媒體包括指令,當由處理裝置存取該等指令時使得處理裝置執行包含以下各者之操作:接收表示PHY裝置之功率狀態之輸入信號,識別對應於表示PHY裝置之功率狀態之輸入信號的指令集,及回應於指令集之執行經由組態介面匯流排將組態資料傳輸至PHY裝置之多個組件中之一或多者,其中PHY裝置之多個組件中之一或多者之操作是基於組態資料。
在實例35中,在實例34之非暫時性機器可讀儲存媒體,操作進一步包含實例8至13中之任一者之標的物。
雖然已關於有限數目個實施例描述本發明,但熟習此項技術者將瞭解自本發明的眾多修改及變化。希望隨附申請專利範圍涵蓋如屬於本發明之真實精神及範疇內的所有此等修改及變化。
在本文中之描述中,闡述諸如以下各者之實例的眾多特定細節以便提供對本發明之透徹理解:特定類型之處理器及系統組配、特定硬體結構、特定架構及微架構細節、特定暫存器組配、特定指令類型、特定系統組件、特定量測/高度、特定處理器管線級及操作之實例等。然而,熟習此項技術者將顯而易見,無需使用此等特定細節來實踐本發明。在其他情況下,並未詳細描述熟知組件或方法,諸如特定及替代處理器架構、用於所描述演算法之特定邏輯電路/程式碼、特定韌體程式碼、特定互連操作、特定邏輯組配、特定製造技術及材料、特定編譯器實施、程式碼中之演算法之特定表達、特定切斷電源及閘控技術/邏輯及電腦系統之其他特定操作細節,以便避免不必要地混淆所描述實施例。
參考特定積體電路中(諸如,計算平台或微處理器中)之快取記憶體資料壓縮及解壓縮來描述實施例。實施例亦可適用於其他類型之積體電路及可規劃邏輯裝置。舉例而言,所揭示之實施例並不限於桌上型電腦系統或攜帶型電腦。且又可用於其他裝置中,諸如手持型裝置、平板電腦、其他薄型筆記型電腦、系統單晶片(SOC)裝置及嵌入式應用中。手持型裝置之一些實例包括蜂巢式電話、網際網路協定裝置、數位攝影機、個人數位助理(PDA)及手持型PC。嵌入式應用通常包括微控制器、數位信號處理器(DSP)、系統單晶片、網路電腦(NetPC)、機上盒、網路集線器、廣域網路(WAN)交換器,或可進行下文所教示之功能及操作的任何其他系統。其描述了該系統可為任何種類之電腦或嵌入型系統。所揭示之實施例可尤其用於低端裝置,類似可穿戴式裝置(例如,手錶)、電子插入物、感測及控制基礎設施裝置、控制器、監控及資料獲取(SCADA)系統或類似物。此外,本文所描述之設備、方法及系統不限於實體計算裝置,而是亦可係關於針對能量節省及效率之軟體最佳化。如在以下描述內容中將易於變得顯而易見的是,本文中所描述之方法、設備以及系統的實施例(不管參看硬體、韌體、軟體抑或其組合)對於藉由效能考量平衡的「綠色技術」遠景為至關重要的。
儘管本文中之實施例係參考處理器來描述,但其他實施例適用於其他類型之積體電路及邏輯裝置。本發明之實施例的類似技術及教示可應用於可受益於較高管線輸送量及改良效能的其他類型之電路或半導體裝置。本發明之實施例之教示適用於執行資料調處之任何處理器或機器。然而,本發明不限於執行512位元、256位元、128位元、64位元、32位元或16位元資料操作之處理器或機器且可應用於執行資料操縱或管理之任何處理器及機器。另外,本文中之描述提供實例,且隨附圖式出於說明目的而展示各種實例。然而,不應在限制意義上解釋此等實例,此係因為該等實例僅意欲提供本發明之實施例的實例而非提供本發明之實施例的所有可能實施之詳盡清單。
雖然以下實例描述執行單元及邏輯電路之上下文中之指令處置及分佈,但是可通過儲存於機器可讀有形媒體上之資料或指令實現本發明之其他實施例,當由機器執行該等或指令時使得機器執行根據本發明之至少一個實施例的功能。在一個實施例中,與本發明之實施例相關聯的功能係以機器可執行指令予以體現。該等指令可用以使藉由該等指令規劃之通用或專用處理器執行本發明之步驟。本發明之實施例可被提供為電腦程式產品或軟體,其可包括機器或電腦可讀媒體,該媒體具有儲存於其上之指令,該等指令可用以規劃電腦(或其他電子裝置)以執行根據本發明之實施例的一或多個操作。可替代地,本發明之實施例的操作可藉由含有用於執行該等操作之固定功能邏輯的特定硬體組件或藉由規劃電腦組件與固定功能硬體組件之任何組合來執行。
用以規劃邏輯以執行本發明之實施例的指令可儲存於系統中之記憶體(諸如,DRAM、快取記憶體、快閃記憶體或其他儲存裝置)內。此外,該等指令可經由網路或藉助於其他電腦可讀媒體來散佈。因此,機器可讀媒體可包括用於儲存或傳輸呈可由機器(例如,電腦)讀取之形式之資訊的任何機制,但不限於磁碟片、光碟、緊密光碟、唯讀記憶體(CD-ROM)及磁光碟、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、可抹除可規劃唯讀記憶體(EPROM)、電可抹除可規劃唯讀記憶體(EEPROM)、磁卡或光學卡、快閃記憶體或用於在網際網路上經由電、光學、聲學或其他形式之傳播信號(例如,載波、紅外線信號、數位信號等)傳輸資訊中使用的有形的機器可讀儲存裝置。因此,電腦可讀媒體包括適合於以可由機器(例如,電腦)讀取之形式儲存或傳輸電子指令或資訊的任何類型之有形機器可讀媒體。
設計可經歷各種階段,自建立至模擬至製造。表示設計之資料可用多種方式表示設計。首先,如在模擬中有用的,可使用硬體描述語言或另一功能描述語言表示硬體。另外,可在設計程序之一些階段處產生具有邏輯及/或電晶體閘之電路層級模型。此外,在某一階段,大部分設計達到表示各種裝置在硬體模型中之實體置放的資料之層級。在使用習知半導體製造技術之狀況下,表示硬體模型之資料可為指定各種特徵在用於用以產生積體電路之遮罩的不同遮罩層上之存在或不存在的資料。在設計之任何表示中,資料可儲存於任何形式之機器可讀媒體中。記憶體或磁性或光學儲存裝置(諸如,光碟)可為用以儲存資訊之機器可讀媒體,該資訊係經由經調變或以其他方式產生以傳輸此資訊之光波或電波傳輸。當傳輸指示或攜載程式碼或設計之電載波時,就執行電信號之複製、緩衝或重新傳輸而言,產生新副本。因此,通訊提供者或網路提供者可至少臨時將體現本發明之實施例之技術的物件(諸如,編碼成載波之資訊)儲存於有形機器可讀媒體上。
如本文所使用之模組係指硬體、軟體及/或韌體之任何組合。作為一實例,模組包括與非暫時性媒體相關聯之硬體(諸如,微控制器),該非暫時性媒體儲存經調適以由微控制器執行之程式碼。因此,在一個實施例中,對模組之參考指硬體,具體言之,該硬體經組配以辨識及/或執行待保持於非暫時性媒體上之程式碼。此外,在另一實施例中,對模組之使用係指包括程式碼之非暫時性媒體,具體言之,該程式碼經調適以由微控制器執行以執行預定操作。且因為可進行推斷,因此在又一實施例中,術語模組(在此實例中)可指微控制器及非暫時性媒體之組合。常常,說明為單獨邊界之模組邊界通常變化且有可能重疊。舉例而言,第一模組及第二模組可共用硬體、軟體、韌體或其組合,同時有可能保持一些獨立硬體、軟體或韌體。在一個實施例中,術語邏輯之使用包括硬體,諸如電晶體、暫存器或其他硬體,諸如可規劃邏輯裝置。
在一個實施例中,片語「經組配以」之使用指配置、放在一起、製造、供出售、引入及/或設計設備、硬體、邏輯或元件以執行所指明或所判定任務。在此實例中,若並未在操作中之設備或其元件經設計、耦接及/或互連以執行該所指明任務,則該設備或其元件仍「經組配以」執行所指明任務。作為純粹例示性實例,邏輯閘可在操作期間提供0或1。但「經組配以」提供啟用信號至時脈的邏輯閘不包括可提供1或0的每個可能邏輯閘。實情為,邏輯閘極為以某一方式耦接的邏輯閘,以使得在操作期間1或0輸出將啟用時脈。再一次應注意,術語「經組配以」之使用並不需要操作,而實情為,集中於設備、硬體及/或元件之潛伏狀態,其中在潛伏狀態下,設備、硬體及/或元件經設計以在設備、硬體及/或元件正操作時執行特定任務。
此外,在一個實施例中,片語「能夠」及/或「可操作以」之使用係指某一設備、邏輯、硬體及/或元件,其係按使得能夠按指定方式使用設備、邏輯、硬體及/或元件之方式設計。應注意,如上文所提及,在一個實施例中,以、能夠或可操作以之使用指設備、邏輯、硬體及/或元件之潛伏狀態,其中該設備、邏輯、硬體及/或元件並未在操作中,但其係以使得能夠以指定方式使用設備之方式進行設計。
如本文所使用,值包括數字、狀態、邏輯狀態或二進位邏輯狀態之任何已知表示。常常,邏輯位準、邏輯值(logic value)或邏輯值(logical value)之使用亦被稱作1及0,其簡單地表示二進位邏輯狀態。舉例而言,1係指高邏輯位準且0係指低邏輯位準。在一個實施例中,諸如電晶體或快閃記憶體胞元之儲存裝置胞元可能能夠保持單一邏輯值或多個邏輯值。然而,已使用電腦系統中之值之其他表示。舉例而言,十進位數字十亦可表示為二進位值1010及十六進位字母A。因此,值包括能夠保留在電腦系統中之資訊的任何表示。
此外,可藉由值或值之部分來表示狀態。作為一實例,諸如邏輯一之第一值可表示預設或初始狀態,而諸如邏輯零之第二值可表示非預設狀態。另外,在一個實施例中,術語重設及設定分別係指預設及經更新值或狀態。舉例而言,預設值潛在地包括高邏輯值(亦即重設),而更新值潛在地包括低邏輯值(亦即設定)。應注意,可利用值之任何組合來表示任何數目個狀態。
上文所闡述之方法、硬體、軟體、韌體或程式碼集合之實施例可經由可由處理元件執行的儲存於機器可存取、機器可讀、電腦可存取或電腦可讀媒體上之指令或程式碼來實施。非暫時性機器可存取/可讀媒體包括提供(亦即,儲存及/或傳輸)呈由諸如電腦或電子系統之機器可讀之形式的資訊的任何機制。舉例而言,非暫時性機器可存取媒體包括隨機存取記憶體(RAM),諸如靜態RAM (SRAM)或動態RAM (DRAM);ROM;磁性或光學儲存媒體;快閃記憶體裝置;電儲存裝置;光學儲存裝置;聲學儲存裝置;用於保持自暫時性(傳播)信號(例如,載波、紅外線信號、數位信號)接收之資訊的其他形式之儲存裝置;等,該等非暫時性機器可存取媒體應區別於非暫時性媒體,非暫時性媒體可自非暫時性機器可存取媒體接收資訊。
用以規劃邏輯以執行本發明之實施例的指令可儲存於系統中之記憶體(諸如,DRAM、快取記憶體、快閃記憶體或其他儲存裝置)內。此外,該等指令可經由網路或藉助於其他電腦可讀媒體來散佈。因此,機器可讀媒體可包括用於儲存或傳輸呈可由機器(例如,電腦)讀取之形式之資訊的任何機制,但不限於磁碟片、光碟、緊密光碟、唯讀記憶體(CD-ROM)及磁光碟、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、可抹除可規劃唯讀記憶體(EPROM)、電可抹除可規劃唯讀記憶體(EEPROM)、磁卡或光學卡、快閃記憶體或用於在網際網路上經由電、光學、聲學或其他形式之傳播信號(例如,載波、紅外線信號、數位信號等)傳輸資訊中使用的有形的機器可讀儲存裝置。因此,電腦可讀媒體包括適合於儲存或傳輸呈可由機器(例如,電腦)讀取之形式之電子指令或資訊的任何類型之有形的機器可讀媒體。
貫穿於本說明書中的對「一個實施例」或「一實施例」的參考意謂結合實施例所描述的特定特徵、結構或特性包括於本發明的至少一個實施例中。因此,片語「在一個實施例中」或「在一實施例中」貫穿本說明書在各處之出現未必皆參考同一實施例。此外,在一或多個實施例中,具體特點、結構或特徵可以任何適合方式組合。
在前述說明書中,已參考特定例示性實施例給出詳細描述。然而,以下情形將為顯而易見的:可在不偏離如所附申請專利範圍中所闡述的本發明之更廣泛精神及範疇之情況下,對本發明作出各種修改及改變。因此,應在例示性意義上而非限制性意義上看待說明書及圖式。此外,實施例及其他例示性語言之前述使用未必參考同一實施例或同一實例,而可參考不同及相異實施例,以及有可能參考同一實施例。
本文之詳細描述的一些部分係在對電腦記憶體內之資料位元之操作的演算法及符號表示方面呈現。此等演算法描述及表示為藉由熟習資料處理之技術者用以將其工作之主旨最有效地傳達至其他熟習此項技術者的方式。演算法係在此處,且大體上構想為產生所要結果之計算的自一致序列。操作為需要物理量之實體操縱的操作。通常(雖然未必),此等量採取能夠儲存、傳送、組合、比較及以其他方式操縱的電信號或磁信號之形式。已證明將此等信號稱為位元、值、元素、符號、字符、項、數字或其類似者時常(主要為了普通用途)為便利的。本文所描述之區塊可為硬體、軟體、韌體或其組合。
然而,應牢記,所有此等及相似術語將與適當實體量相關聯,且僅為應用於此等量之便利標籤。除非如自以上論述顯而易見的以其他方式予以特定地陳述,應瞭解,在本說明書中,利用諸如「定義」、「接收」、「判定」、「發出」、「鏈接」、「關聯化」、「獲得」、「鑑認」、「阻止」、「執行」、「請求」、「通訊」或類似者之術語的論述係指計算系統或相似電子計算裝置之動作及程序,計算系統或相似電子計算裝置操縱表示為計算系統之暫存器及記憶體內的實體(例如,電子)量之資料及將該資料轉換成以相似方式表示為計算系統記憶體或暫存器或其他此類資訊儲存、傳輸或顯示裝置內之實體量的其他資料。
詞「實例」或「例示性」在本文用以意謂充當實例、例子或說明。不必將本文中描述為「實例」或「例示性」之任何態樣或設計理解為比其他態樣或設計較佳或有利。實際上,使用詞「實例」或「例示性」意欲以具體方式呈現概念。如本申請案中所使用,術語「或」欲意謂包含性「或」而非排他性「或」。亦即,除非另外規定,否則或根據上下文顯而易見,「X包含A或B」欲意謂天然包含性置換中任一者。亦即,若X包含A;X包含B;或X包含A及B兩者,則「X包含A或B」在前述情況中任一者下滿足。另外,如在本申請案及所附申請專利範圍中使用的冠詞「一」應大體解釋為意謂「一或多個」,除非另外規定或由上下文清楚可見係關於單數形式。另外,文中術語「一實施例」或「一個實施例」或「一實施」或「一個實施」之使用並不欲意謂同一實施例或實施,除非描述為此。又,如本文中所使用之術語「第一」、「第二」、「第三」、「第四」等意謂區分不同元件之標記且可不必具有根據其數值番號的序數含義。
100、205、1210‧‧‧處理器
101、102、1106、1107‧‧‧核心
101a、101b‧‧‧邏輯處理器/硬體執行緒/硬體執行緒時槽/架構狀態暫存器
102a、102b‧‧‧架構狀態暫存器
105‧‧‧高速串列點對點鏈結
105‧‧‧匯流排
110‧‧‧晶片上介面
111、610、800‧‧‧組態控制器
115‧‧‧低階資料快取及資料-TLB
120‧‧‧分支目標緩衝器
120‧‧‧提取單元
120‧‧‧指令轉譯緩衝器(ITLB)
125、126‧‧‧解碼器
130‧‧‧分配器及重命名器區塊
135‧‧‧無序單元/重排序/引退單元
140‧‧‧執行單元
150‧‧‧資料轉譯緩衝器(D-TLB)
175、210、1215‧‧‧系統記憶體
176‧‧‧應用程式碼
177‧‧‧轉譯器程式碼
180‧‧‧圖形裝置
200、1200‧‧‧系統
206、415‧‧‧鏈結
206‧‧‧前側匯流排(FSB)
215‧‧‧控制器集線器
216‧‧‧記憶體介面
218、222、226、231‧‧‧介面/埠
217、221‧‧‧輸入/輸出模組/介面/埠
218、231‧‧‧I/O模組
219、223‧‧‧串列鏈結
220‧‧‧開關/橋
223‧‧‧訊框
225、505、510‧‧‧裝置
230‧‧‧圖形加速器
300‧‧‧協定堆疊
305‧‧‧異動層
306‧‧‧封包標頭/有效酬載
310‧‧‧鏈結層
311‧‧‧封包序列識別符
312‧‧‧循環冗餘檢查(CRC)
320‧‧‧實體層
321‧‧‧邏輯子區塊
322‧‧‧電氣區塊/實體區塊/實體子區塊
400‧‧‧異動描述符
402‧‧‧全域識別符欄位
404‧‧‧屬性欄位
406‧‧‧通道識別符欄位
408‧‧‧本端異動識別符欄位
410‧‧‧源識別符欄位
412‧‧‧優先權欄位
414‧‧‧保留欄位
416‧‧‧排序欄位/線
417‧‧‧線
418‧‧‧非窺探欄位
500‧‧‧PCIe串列點對點網狀架構
506、511‧‧‧傳輸對/傳輸邏輯
507、512‧‧‧接收對/接收邏輯
516、517‧‧‧傳輸路徑
518、519‧‧‧接收路徑
600‧‧‧實體層(PHY)裝置
605、805‧‧‧功率狀態輸入信號
611‧‧‧功率閘組件
615‧‧‧端點
618‧‧‧外部組態資料/信號
620‧‧‧外部組態資料接收器
625‧‧‧組態資料傳輸器
630‧‧‧互連件或匯流排
640‧‧‧組態介面匯流排
650‧‧‧組態資料解碼器/組態資料轉換器
651、652、653、654、655、656‧‧‧PHY裝置組件
700、900、1000‧‧‧方法
710、720、730、740、750、910、920、930、940、950、960、1010、1020、1030、1040‧‧‧區塊
810‧‧‧功率管理組件
811‧‧‧功率管理資料
820‧‧‧指令記憶體
830‧‧‧指令解碼器及編碼器組件
840‧‧‧有限狀態機
841、851‧‧‧輸出
850‧‧‧轉換邏輯
1100‧‧‧SoC
1108‧‧‧快取記憶體控制
1109‧‧‧匯流排介面單元
1110‧‧‧快取記憶體
1115‧‧‧GPU
1120‧‧‧視訊編解碼器
1125‧‧‧視訊介面
1130、1257‧‧‧用戶識別模組(SIM)
1135‧‧‧啟動rom
1140‧‧‧SDRAM控制器
1145‧‧‧快閃記憶體控制器
1160‧‧‧DRAM
1165‧‧‧快閃記憶體
1170‧‧‧藍芽模組
1175‧‧‧3G數據機
1180‧‧‧GPS
1185‧‧‧WiFi
1220‧‧‧大容量儲存器
1222‧‧‧快閃裝置
1224‧‧‧顯示器
1225‧‧‧觸控式螢幕
1230‧‧‧觸控板
1235‧‧‧嵌入式控制器
1236‧‧‧鍵盤
1237‧‧‧風扇
1239、1246‧‧‧熱感測器
1240‧‧‧感測器集線器
1241‧‧‧加速度計
1242‧‧‧環境光感測器(ALS)
1243‧‧‧羅盤
1244‧‧‧陀螺儀
1245‧‧‧近場通訊(NFC)單元
1250‧‧‧WLAN單元
1252‧‧‧藍芽單元
1254‧‧‧攝影機模組
1255‧‧‧GPS模組
1256‧‧‧無線廣域網路(WWAN)單元
1260‧‧‧數位信號處理器(DSP)
1262‧‧‧放大器
1263‧‧‧輸出揚聲器
1264‧‧‧頭戴式耳機插口
1265‧‧‧麥克風
Q1650‧‧‧周邊控制
1 說明包括多核心處理器之計算系統之方塊圖之實施例。
圖2 說明包括周邊組件互連高速(PCIe)相容結構之計算系統之實施例。
圖3 說明包括分層堆疊之PCIe相容互鏈結構之實施例。
圖4 說明待在互連件結構內產生或接收之PCIe相容請求或封包之實施例。
圖5 說明用於PCIe相容互鏈結構之傳輸器及接收器對之實施例。
圖6 為說明根據本發明之一些實施例之具有組態控制器之實體層(PHY)裝置的方塊圖。
圖7 為根據一些實施例之用以傳輸組態資料之方法的流程圖。
圖8 為說明根據一些實施例之組態控制器之區塊的方塊圖。
圖9 為根據本發明之一些實施例之用以執行組態控制器之指令之方法的流程圖。
圖10 為根據本發明之一些實施例之用以基於經更新指令傳輸組態資料之實例方法的流程圖。
11 說明晶片上計算系統之實施例。
圖12 說明計算系統之方塊圖之實施例。
600‧‧‧實體層(PHY)裝置
605‧‧‧功率狀態輸入信號
610‧‧‧組態控制器
611‧‧‧功率閘組件
615‧‧‧端點
618‧‧‧外部組態資料/信號
620‧‧‧外部組態資料接收器
625‧‧‧組態資料傳輸器
630‧‧‧互連件或匯流排
640‧‧‧組態介面匯流排
650‧‧‧組態資料解碼器/組態資料轉換器
651、652、653、654、655、656‧‧‧PHY裝置組件

Claims (25)

  1. 一種積體電路,其包含: 一組態介面匯流排,其經耦接至一實體層(PHY)裝置之複數個組件;以及 一組態控制器,其經耦接至該組態介面匯流排,以進行以下各者: 接收表示該PHY裝置之一功率狀態之一輸入信號; 識別對應於表示該PHY裝置之該功率狀態之該輸入信號之一指令集;以及 回應於該指令集之一執行,經由該組態介面匯流排將組態資料傳輸至該PHY裝置之該等複數個組件中之一或多者,其中該PHY裝置之該等複數個組件中之該一或多者之一操作是基於該組態資料。
  2. 如請求項1之積體電路,其中該組態控制器進一步進行: 基於表示該PHY裝置之該功率狀態之該輸入信號來產生對應於該PHY裝置之該等複數個組件之功率管理資料;以及 經由該組態介面匯流排將該功率管理資料傳輸至一或多個功率管理組件。
  3. 如請求項2之積體電路,其中該一或多個功率管理組件基於該功率管理資料將一功率閘控功能性提供至該PHY裝置之該等複數個組件中之每一者。
  4. 如請求項1之積體電路,其中該組態資料包含用於該PHY裝置之一傳輸器(TX)組件、一接收器(RX)組件及一實體寫碼子層(PCS)組件中之至少一者的操作條件。
  5. 如請求項1之積體電路,其中該組態控制器進一步進行: 在經由該組態介面匯流排傳輸該組態資料之前編碼該組態資料。
  6. 如請求項1之積體電路,其中該組態控制器進一步進行: 接收對應於該輸入信號之該指令集之一更新;以及 回應於表示該功率狀態之一後續輸入信號,經由該組態介面匯流排將對應於該經更新指令集之經更新組態資料傳輸至該PHY裝置之該等複數個組件中之該一或多者。
  7. 如請求項1之積體電路,其中該PHY裝置之該等複數個組件對應於該PHY裝置之一資料通道及一普通通道中之組件。
  8. 一種方法,其包括: 接收表示包含複數個組件之一PHY裝置之一功率狀態的一輸入信號; 識別對應於表示該PHY裝置之該功率狀態之該輸入信號之一指令集;以及 回應於該指令集之一執行由一處理裝置且經由一組態介面匯流排將組態資料傳輸至該PHY裝置之該等複數個組件中之一或多者,其中該PHY裝置之該等複數個組件中之該一或多者之一操作是基於該組態資料。
  9. 如請求項8之方法,其進一步包含: 基於表示該PHY裝置之該功率狀態之該輸入信號來產生對應於該PHY裝置之該等複數個組件之功率管理資料;以及 經由該組態介面匯流排將該功率管理資料傳輸至一或多個功率管理組件。
  10. 如請求項9之方法,其中該一或多個功率管理組件基於該功率管理資料將一功率閘控功能性提供至該PHY裝置之該等複數個組件中之每一者。
  11. 如請求項8之方法,其中該組態資料包含用於該PHY裝置之一傳輸器(TX)組件、一接收器(RX)組件及一實體寫碼子層(PCS)組件中之至少一者的操作條件。
  12. 如請求項8之方法,其進一步包含: 在經由該組態介面匯流排傳輸該組態資料之前編碼該組態資料。
  13. 如請求項8之方法,其進一步包含: 接收對應於該輸入信號之該指令集之一更新;以及 回應於表示該功率狀態之一後續輸入信號,經由該組態介面匯流排將對應於該經更新指令集之經更新組態資料傳輸至該PHY裝置之該等複數個組件中之該一或多者。
  14. 一種設備,其包含 一功率管理單元,其產生表示一PHY裝置之一功率狀態之一信號; 一組態控制器,其與該功率管理單元耦接以接收表示該功率狀態之該信號且產生與該PHY裝置相關聯之功率管理資料; 一匯流排,其與該組態控制器耦接以從該組態控制器接收該功率管理資料且經由該匯流排傳輸該功率管理資料;以及 該PHY裝置之複數個組件,其與該匯流排耦接且基於經由該匯流排所傳輸之該功率管理資料來接收功率。
  15. 如請求項14之設備,其中該組態控制器進一步進行: 從儲存於一記憶體中之複數個指令集識別對應於表示該PHY裝置之該功率狀態的該信號之一指令集;以及 回應於該指令集之一執行,經由該匯流排將組態資料傳輸至該PHY裝置之該等複數個組件中之一或多者,其中該PHY裝置之該等複數個組件中之該一或多者之一操作是基於該組態資料。
  16. 如請求項14之設備,其進一步包含用以基於經由該匯流排所傳輸之該功率管理資料將一功率閘控功能性提供至該PHY裝置之該等複數個組件中之每一者的一或多個功率管理組件。
  17. 如請求項15之設備,其中該組態資料包含用於該PHY裝置之一傳輸器(TX)組件、一接收器(RX)組件及一實體寫碼子層(PCS)組件中之至少一者的操作條件。
  18. 如請求項15之設備,其中該組態控制器進一步進行: 在經由該匯流排傳輸該組態資料之前編碼該組態資料。
  19. 如請求項15之設備,其中該組態控制器進一步進行: 接收該記憶體中對應於該輸入信號之該指令集之一更新;以及 回應於表示該功率狀態之一後續信號,經由該匯流排將對應於該經更新指令集之經更新組態資料傳輸至該PHY裝置之該等複數個組件中之該一或多者。
  20. 一種系統,其包含: 一實體層(PHY)裝置之一資料通道,其包含一接收器(RX)組件及一傳輸器(TX)組件; 該PHY裝置之一普通通道,其包含一鎖相迴路(PLL)組件及一實體寫碼子層(PCS)組件; 一組態介面匯流排,其經耦接至該PHY裝置之該資料通道及該普通通道;以及 一組態控制器,其與該組態介面匯流排耦接以進行: 接收表示該PHY裝置之一功率狀態之一輸入信號; 從儲存於一記憶體中之複數個指令集識別對應於表示該PHY裝置之該功率狀態的該輸入信號之一指令集;以及 回應於該指令集之一執行,經由該組態介面匯流排將組態資料傳輸至該PHY裝置之該資料通道或該普通通道之該等組件中之一或多者,其中該PHY裝置之該資料通道或該普通通道之該等組件中之該一或多者之一操作是基於該組態資料。
  21. 如請求項20之系統,其中該組態控制器進一步進行: 基於表示該PHY裝置之該功率狀態的該輸入信號來產生對應於該一或多個組件之功率管理資料;以及 經由該組態介面匯流排將該功率管理資料傳輸至一或多個功率管理組件。
  22. 如請求項21之系統,其中該一或多個功率管理組件基於該功率管理資料將一功率閘控功能性提供至該PHY裝置之該普通通道及該資料通道之該等組件中之每一者。
  23. 如請求項20之系統,其中該組態控制器進一步進行: 在經由該組態介面匯流排傳輸該組態資料之前編碼該組態資料。
  24. 如請求項20之系統,其中該組態控制器進一步進行: 接收該記憶體中對應於該輸入信號之該指令集之一更新;以及 回應於表示該功率狀態之一後續輸入信號,經由該組態介面匯流排將對應於該經更新指令集之經更新組態資料傳輸至該PHY裝置之該資料通道或該普通通道之該等組件中之該一或多者。
  25. 如請求項20之系統,其中該組態資料包含用於該PHY裝置之該RX組件、TX組件、PLL組件及PCS組件中之至少一者的操作條件。
TW105138085A 2015-12-23 2016-11-21 用以針對實體層裝置的組件傳輸資料之控制器 TWI736559B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IN6851CH2015 2015-12-23
IN6851/CHE/2015 2015-12-23

Publications (2)

Publication Number Publication Date
TW201725516A true TW201725516A (zh) 2017-07-16
TWI736559B TWI736559B (zh) 2021-08-21

Family

ID=59091151

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105138085A TWI736559B (zh) 2015-12-23 2016-11-21 用以針對實體層裝置的組件傳輸資料之控制器

Country Status (3)

Country Link
US (1) US11016550B2 (zh)
TW (1) TWI736559B (zh)
WO (1) WO2017112319A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802951B (zh) * 2021-04-28 2023-05-21 廣達電腦股份有限公司 儲存有限狀態機之狀態資料的方法、電腦系統、及電腦程式產品

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108073539A (zh) * 2017-12-27 2018-05-25 上海集成电路研发中心有限公司 一种mipi接口的d-phy电路
US11073897B2 (en) * 2019-07-29 2021-07-27 Micron Technology, Inc. Power management integrated circuit based system management bus isolation
CN113836060B (zh) * 2021-09-24 2024-05-28 北京机电工程研究所 一种适用于仿真模型及流程模型的分布式实时仿真平台

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060224754A1 (en) * 2005-04-01 2006-10-05 Prakash Jain System and method for controlling boot-up process in a communications network switch using preconfiguration of port hardware
US9323311B2 (en) * 2006-06-22 2016-04-26 Broadcom Corporation Method and system for packet based signaling between A Mac and A PHY to manage energy efficient network devices and/or protocols
US8345673B1 (en) * 2007-01-24 2013-01-01 Marvell International, Ltd. Physical-layer device (PHY) having a serial interface and a magic packet circuit
US7903597B2 (en) * 2008-10-29 2011-03-08 Cisco Technology, Inc. Power management of a network device
US8135972B2 (en) * 2009-03-10 2012-03-13 Cortina Systems, Inc. Data interface power consumption control
US8831666B2 (en) * 2009-06-30 2014-09-09 Intel Corporation Link power savings with state retention
US8661268B2 (en) * 2010-02-22 2014-02-25 Apple Inc. Methods and apparatus for intelligently providing power to a device
US9117036B2 (en) * 2012-09-26 2015-08-25 Ati Technologies Ulc Fast exit from low-power state for bus protocol compatible device
US9612647B2 (en) * 2013-11-08 2017-04-04 Intel Corporation Power management for a physical layer interface connecting a display panel to a display transmit engine
US9477289B2 (en) * 2014-03-25 2016-10-25 Advanced Micro Devices, Inc. Dynamic power allocation based on PHY power estimation
US10152446B2 (en) * 2016-10-01 2018-12-11 Intel Corporation Link-physical layer interface adapter

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802951B (zh) * 2021-04-28 2023-05-21 廣達電腦股份有限公司 儲存有限狀態機之狀態資料的方法、電腦系統、及電腦程式產品

Also Published As

Publication number Publication date
US11016550B2 (en) 2021-05-25
TWI736559B (zh) 2021-08-21
WO2017112319A1 (en) 2017-06-29
US20180364780A1 (en) 2018-12-20

Similar Documents

Publication Publication Date Title
US9953001B2 (en) Method, apparatus, and system for plugin mechanism of computer extension bus
US20230022948A1 (en) System, method, and apparatus for sris mode selection for pcie
CN108027785B (zh) 用于对链路拆分进行去偏斜的方法、设备和系统
TWI524184B (zh) 用於在分散式記憶體組織架構中處理位址衝突之方法、設備及系統
TWI514191B (zh) 以安全屬性限制cpu異動的方法、設備及系統
JP6286551B2 (ja) 処理要素構成のための装置、デバイス構成のための装置および方法、高速デバイス構成のための装置、プログラム、並びに、非一時的コンピュータ可読ストレージ媒体
TWI569146B (zh) 用於高效能互連中之嵌入式串流路徑的方法、設備及系統
US11163717B2 (en) Reduced pin count interface
CN110121703B (zh) 用于向量通信的系统和方法
US20140141654A1 (en) Card edge connector ground return
TWI736559B (zh) 用以針對實體層裝置的組件傳輸資料之控制器
CN109643577B (zh) 用于存储器训练的电参数的多维优化
US11126554B2 (en) Prefetching write permissions into address translation cache