TW201631667A - Semiconductor device and fabrication method - Google Patents

Semiconductor device and fabrication method Download PDF

Info

Publication number
TW201631667A
TW201631667A TW104113415A TW104113415A TW201631667A TW 201631667 A TW201631667 A TW 201631667A TW 104113415 A TW104113415 A TW 104113415A TW 104113415 A TW104113415 A TW 104113415A TW 201631667 A TW201631667 A TW 201631667A
Authority
TW
Taiwan
Prior art keywords
top portion
height
angle
width
fin
Prior art date
Application number
TW104113415A
Other languages
Chinese (zh)
Inventor
林建廷
邱崇益
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Publication of TW201631667A publication Critical patent/TW201631667A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Some implementations provide a method for fabricating a semiconductor device and the method includes, providing a substrate; removing a part of the substrate to form one or more pairs of trench and a fin therebetween; further processing each fin by: forming a substantially flat top on the fin; and forming a top portion, a transition portion and a bottom portion of the fin, wherein the top portion having a first width and being substantially consistent over its length, and wherein the transition portion has a first taper for transitioning from the top portion to the bottom portion, the transition portion having the first width at a first interface to the top portion and a second larger width at a second interface to the bottom portion wherein the first taper being defined by a first angle that is between 10 and 85 degrees.

Description

半導體元件及其製作方法 Semiconductor component and manufacturing method thereof

本發明有關於一種半導體元件以及該半導體元件之製作方法。 The present invention relates to a semiconductor device and a method of fabricating the same.

半導體元件係廣泛用於電子裝置中,而半導體元件其中的一種係為場效電晶體(field effect transistor,以下簡稱為FET),FET為一種利用電場控制半導體材料中由某一種導電型態之電荷載子形成的通道之形狀的元件。在現有的技術中已有多種類型的FET,其中一種即為鰭式場效電晶體(以下簡稱為FinFET)。FinFET最先係用以定義根據早期DELTA(單閘極)電晶體設計,而製作於矽覆絕緣(silicon-on-insulator,SOI)基底上的非平面(nonplanar)雙閘極(double-gate)電晶體。FinFET顯著的特徵在於其具有導電性質的通道區域係包裹在一層薄薄的矽鰭片(fin)內,且該鰭片係作為元件的基體,而此鰭片的厚度(由源極向汲極的方向量測得出)決定了此一元件的有效通道長度。包覆鰭片的閘極結構對通道區域可提供更好的電性控制,且有助於降低漏電流與克服短通道效應(short-channel effect)。近年來,FinFET的定義較不限於上述,而更廣泛地用來描述 任何具有鰭片結構、且不限制閘極數量的多閘極(multigate)電晶體構件。 Semiconductor components are widely used in electronic devices, and one of the semiconductor components is a field effect transistor (hereinafter referred to as FET). The FET is an electric field that controls the charge of a certain conductivity type in the semiconductor material. An element of the shape of the channel formed by the carrier. There are many types of FETs in the prior art, one of which is a fin field effect transistor (hereinafter referred to as FinFET). FinFET was first used to define a nonplanar double-gate fabricated on a silicon-on-insulator (SOI) substrate based on an early DELTA (single gate) transistor design. Transistor. A significant feature of the FinFET is that its channel region with conductive properties is wrapped in a thin layer of fins, and the fins serve as the substrate of the component, and the thickness of the fin (from source to drain) The direction measurement determines the effective channel length of this component. The gate structure of the wrapped fins provides better electrical control of the channel region and helps to reduce leakage current and overcome short-channel effects. In recent years, the definition of FinFET is not limited to the above, but is more widely used to describe Any multigate transistor component having a fin structure and without limiting the number of gates.

根據本發明之申請專利範圍,係提供一種半導體元件之製作方法。該製作方法首先提供一基底,隨後移除部份該基底,以形成一或多對溝渠與形成於溝渠之間的鰭片。接下來,對各該鰭片更進行以下步驟:於該鰭片上形成一約略平坦的頂面,隨後形成該鰭片之一頂部(top portion)、一銜接部(transition portion)與一底部(bottom portion)。該頂部具有一第一寬度,且由下而上大致維持該第一寬度。該銜接部包含有一第一斜面(taper),用以銜接該頂部與該底部。該銜接部與該頂部之間包含有一第一接面(interface),且該第一接面包含該第一寬度,該銜接部與該底部之間包含有一第二接面,且該第二接面具有一較大的第二寬度。另外,該第一斜面係由一第一角度定義,且該第一角度係介於10°與85°之間。 According to the patent application scope of the present invention, a method of fabricating a semiconductor device is provided. The fabrication method first provides a substrate and then removes a portion of the substrate to form one or more pairs of trenches and fins formed between the trenches. Next, each of the fins is further subjected to the following steps: forming an approximately flat top surface on the fin, and then forming a top portion, a transition portion and a bottom portion of the fin (bottom) Portion). The top portion has a first width and substantially maintains the first width from bottom to top. The engaging portion includes a first taper for engaging the top portion and the bottom portion. Between the connecting portion and the top portion, a first interface is included, and the first connecting surface includes the first width, and the second connecting surface is included between the connecting portion and the bottom portion, and the second connection The mask has a larger second width. In addition, the first slope is defined by a first angle, and the first angle is between 10° and 85°.

本發明所提供之實施例更包含下述特徵。該頂部可約略包含一四邊形形狀。該底部具有一第二斜面,該第二斜面由一第二角度定義,且該第二角度大於該第一角度。該第二角度可為70°至88°。該頂部之高度可為10奈米(nanometer,以下簡稱為nm)至40nm。該頂部與該銜接部之一高度和可為40nm至52nm。該底部之一高度係為110nm至140nm。該頂部之該第一寬度係為15nm或更小。 Embodiments provided by the present invention further include the following features. The top portion may approximately comprise a quadrilateral shape. The bottom portion has a second inclined surface defined by a second angle, and the second angle is greater than the first angle. The second angle can be from 70° to 88°. The height of the top may be 10 nanometers (hereinafter referred to as nm) to 40 nm. The height of the top portion and the one of the engaging portions may be 40 nm to 52 nm. One of the heights of the bottom is 110 nm to 140 nm. The first width of the top is 15 nm or less.

根據本發明之實施例所提供之製作方法,更包含於一溝渠蝕 刻步驟中利用不同的蝕刻化學方法於該頂部形成一直線輪廓(straight profile)以及於該底部形成一傾斜輪廓(tapered profile)、於該溝渠之空隙內形成填滿該溝渠之填充材料、進行一溼蝕刻以移除部份該填充料直至該底部、以及利用一等向性蝕刻形成該銜接部。鰭片的頂部、銜接部與底部的形成步驟可包含溝渠蝕刻、溝渠填充、移除部份填充物的溼蝕刻、形成頂部的乾蝕刻、暴露出銜接部的溼蝕刻、以及形成銜接部的等向性蝕刻。 The manufacturing method provided by the embodiment of the present invention is further included in a trench erosion In the engraving step, a different etch chemistry is used to form a straight profile on the top portion and a tapered profile is formed on the bottom portion, and a filling material filling the trench is formed in the gap of the trench to perform a wet process. Etching to remove a portion of the filler until the bottom, and forming the interface using an isotropic etch. The steps of forming the top, the connecting portion and the bottom of the fin may include trench etching, trench filling, wet etching to remove part of the filler, dry etching to form the top, wet etching exposing the joint, and forming the joint. Directional etching.

根據本發明之申請專利範圍,另提供一種半導體元件,其包含一形成於一基底上的FinFET,該FinFET包含至少一鰭片,該鰭片包含一頂部、一銜接部與一底部。該頂部包含有一第一寬度,且由下而上維持該第一寬度。該銜接部包含有一銜接該頂部與該底部的第一斜面。該銜接部與該頂部具有一第一接面,且該第一接面包含該第一寬度,該銜接部與該底部具有一第二接面,且該第二接面包含一較大的第二寬度。該第一斜面係由一第一角度定義,且該第一角度介於10°與85°之間。 According to the patent application of the present invention, there is further provided a semiconductor device comprising a FinFET formed on a substrate, the FinFET comprising at least one fin, the fin comprising a top portion, an engaging portion and a bottom portion. The top portion includes a first width and the first width is maintained from bottom to top. The engaging portion includes a first inclined surface that connects the top portion and the bottom portion. The connecting portion and the top portion have a first connecting surface, and the first connecting surface includes the first width, the connecting portion and the bottom portion have a second connecting surface, and the second connecting surface comprises a larger first Two widths. The first bevel is defined by a first angle and the first angle is between 10° and 85°.

根據本發明之實施例所提供之半導體元件,該頂部約略包含一四邊形形狀。該底部包含一第二斜面,該第二斜面係由一第二角度定義出來,且該第二角度大於該第一角度。該第二角度可為70°至88°。該頂部之高度可為10nm至40nm。該頂部與該銜接部包含一高度和,且該高度和可為40nm至52nm。該頂部之該第一寬度可為15nm或更小。該底部之高度可為110nm至140nm。另外,本發明之實施例所提供之FinFET可包含頂部高度介於該頂部、該銜接部與該底部之一 高度和的三分之一與二分之一之間的鰭片。 According to an embodiment of the present invention, the top portion includes a quadrangular shape. The bottom portion includes a second inclined surface defined by a second angle, and the second angle is greater than the first angle. The second angle can be from 70° to 88°. The height of the top can be from 10 nm to 40 nm. The top portion and the interface portion comprise a height sum, and the height sum may be 40 nm to 52 nm. The first width of the top portion can be 15 nm or less. The height of the bottom can be from 110 nm to 140 nm. In addition, the FinFET provided by the embodiment of the present invention may include a top height between the top, the connecting portion and the bottom The height is between one third and one half of the fin.

100‧‧‧半導體元件 100‧‧‧Semiconductor components

101‧‧‧閘極電極層 101‧‧‧ gate electrode layer

102‧‧‧閘極介電層 102‧‧‧ gate dielectric layer

104‧‧‧頂部 104‧‧‧ top

106‧‧‧銜接部 106‧‧‧Connecting Department

108‧‧‧底部 108‧‧‧ bottom

110‧‧‧絕緣區域 110‧‧‧Insulated area

111‧‧‧基底 111‧‧‧Base

204‧‧‧硬遮罩層 204‧‧‧hard mask layer

206‧‧‧氧化物凹槽區域 206‧‧‧Oxide groove area

H1、H2、H3、S‧‧‧高度 H 1 , H 2 , H 3 , S‧‧‧ height

θ1、θ2、θ3‧‧‧角度 θ 1 , θ 2 , θ 3 ‧‧‧ angle

200A、200B、200C、200D、200E、200F、200G‧‧‧步驟 200A, 200B, 200C, 200D, 200E, 200F, 200G‧‧‧ steps

300A、300B、300C、300D、300E、300F、300G、300H、300I‧‧‧步驟 300A, 300B, 300C, 300D, 300E, 300F, 300G, 300H, 300I‧‧‧ steps

第1圖係為一包含有兩個鰭片之FinFET元件之示意圖,且該兩個鰭片包含有三種各異的輪廓區域。 Figure 1 is a schematic diagram of a FinFET element comprising two fins, and the two fins comprise three distinct contour regions.

第2A~2G圖係為第1圖所示FinFET之一製作方法之較佳實施例之示意圖。 2A-2G is a schematic diagram of a preferred embodiment of a method of fabricating a FinFET shown in FIG. 1.

第3A~3I圖係為係為第1圖所示FinFET之一製作方法之另一較佳實施例之示意圖。 3A to 3I are schematic views showing another preferred embodiment of a method of fabricating one of the FinFETs shown in Fig. 1.

本較佳實施例係提供一種半導體元件之製作方法,在本較佳實施例中所指稱的FinFET元件係指多閘極電晶體或鰭式多閘極電晶體。本較佳實施例所提供之FinFET元件可包含一p型金氧半導體(metal oxide semiconductor)FinFET元件或一n型金氧半導體FinFET元件。本較佳實施例所提供之FinFET元件可包含一雙閘極(dual-gate)元件、一三閘極(tri-gate)元件、和/或其他元件結構。重要的是,本較佳實施例所提供之FinFET元件可包含一閘極與多個鰭片,且各該鰭片包含三種各異的輪廓區域,即閘極下方的頂部、頂部下方的底部、以及頂部與底部之間的銜接部。 The preferred embodiment provides a method of fabricating a semiconductor device. The FinFET component referred to in the preferred embodiment refers to a multi-gate transistor or a fin-type multi-gate transistor. The FinFET device provided in the preferred embodiment may include a p-type metal oxide semiconductor FinFET device or an n-type MOS semiconductor FinFET device. The FinFET component provided by the preferred embodiment may include a dual-gate component, a tri-gate component, and/or other component structures. Importantly, the FinFET device provided by the preferred embodiment may include a gate and a plurality of fins, and each of the fins has three different contour regions, that is, a top under the gate, a bottom below the top, And an interface between the top and the bottom.

該頂部約略包含一四邊形形狀,其包含兩側壁與一頂面。在本發明之實施例中,該等側壁可為40nm或更高。在本發明之實施例 中,因短通道效應的考量,該頂部之寬度不大於15nm。該鰭片大致維持該四邊形形狀。該頂部係被一閘極介電材料覆蓋,因此,當閘極開啟時,導通電流將會沿鰭片之兩側壁與頂面形成。在本發明之其他實施例中,可藉由清洗或氧化製程,使該頂部包含一圓角化(corner-rounded)四邊形形狀。在本發明之其他實施例中,由於製程容忍度的關係,該頂部之寬度可具有10%的差異。 The top portion approximately comprises a quadrilateral shape comprising two side walls and a top surface. In embodiments of the invention, the sidewalls may be 40 nm or higher. In an embodiment of the invention The width of the top is not more than 15 nm due to the short channel effect. The fin substantially maintains the quadrilateral shape. The top is covered by a gate dielectric material so that when the gate is turned on, the on current will be formed along both sidewalls and top surface of the fin. In other embodiments of the invention, the top portion may comprise a corner-rounded quadrilateral shape by a cleaning or oxidation process. In other embodiments of the invention, the width of the top may have a 10% difference due to process tolerance.

在本發明之實施例中,該底部具有一斜面輪廓,其建構於一矽基底上,且被一絕緣材料環繞。在本發明之實施例中,該斜面輪廓具有一角度,且該角度係為70°至88°。該底部可包含一高度,且該高度介於110nm與140nm之間。該底部可包含任何所欲的形狀與摻雜質,俾使在閘極未開啟時能有效地降低漏電流。 In an embodiment of the invention, the bottom portion has a beveled profile that is constructed on a stack of substrates and is surrounded by an insulating material. In an embodiment of the invention, the bevel profile has an angle and the angle is 70° to 88°. The bottom portion can comprise a height and the height is between 110 nm and 140 nm. The bottom portion can comprise any desired shape and dopant so that the leakage current can be effectively reduced when the gate is not turned on.

該銜接部可作為頂部與底部之間的頸部。該銜接部包含有傾斜側壁,用以連接該頂部之該等側壁與該底部之側壁,且該傾斜側壁包含有一角度,該角度舉例來說可以是10°至85°。隨後將如第1圖之所示,詳述本發明所提供FinFET之實施例。 The joint can serve as the neck between the top and the bottom. The engaging portion includes a slanted side wall for connecting the side wall of the top portion and a side wall of the bottom portion, and the slanting side wall includes an angle, which may be, for example, 10° to 85°. An embodiment of the FinFET provided by the present invention will be described in detail later as shown in FIG.

根據本較佳實施例所提供之半導體元件之製作方法,首先係於一基底上進行一溝渠蝕刻步驟,該溝渠蝕刻步驟係利用一硬遮罩層作為蝕刻遮罩,而於一矽基底上形成複數個由頂部與底部堆疊而成的柱狀結構。接下來,進行一淺溝隔離(shallow trench isolation,以下簡稱為STI)空隙填補製程,利用一絕緣材料填滿該等柱狀結構之間的空間。隨後,進行一STI化學機械研磨(chemical mechanical polishing,以 下簡稱為CMP)製程。在STI CMP製程之後,進行一凹槽製作製程(例如溼蝕刻方法和/或乾蝕刻方法),用以蝕刻柱狀結構之間的絕緣材料,並大致上蝕刻至STI區域的預定水平高度。接下來可利用一溼蝕刻方法以及氮化矽(silicon nitride,以下簡稱為SiN)移除方法,於頂部與底部之間形成銜接部。上述方法包含一等向性蝕刻,用以產生柱狀結構的矽損失,並形成該銜接部。接下來,於頂部、柱狀結構之間絕緣材料之表面、以及銜接部的側壁上形成一包含高介電常數(high constant,以下簡稱為high K)材料的閘極介電材料。最後,於該閘極介電材料表面形成一金屬閘極。隨後將如第2A圖~第2G圖之所示,詳述本較佳實施例所提供之半導體元件之製作方法。 According to the manufacturing method of the semiconductor device provided by the preferred embodiment, a trench etching step is first performed on a substrate, and the trench etching step is formed on a substrate by using a hard mask layer as an etching mask. A plurality of columnar structures stacked from top to bottom. Next, a shallow trench isolation (hereinafter referred to as STI) void filling process is performed, and an insulating material is used to fill the space between the pillar structures. Subsequently, an STI chemical mechanical polishing is performed to Hereinafter referred to as CMP) process. After the STI CMP process, a trench fabrication process (e.g., a wet etch process and/or a dry etch process) is performed to etch the insulating material between the pillar structures and substantially etch to a predetermined level of the STI region. Next, a wet etching method and a silicon nitride (hereinafter referred to as SiN) removal method can be used to form an interface between the top and the bottom. The above method includes an isotropic etch to create a defect in the columnar structure and to form the junction. Next, a gate dielectric material containing a high dielectric constant (hereinafter referred to as high K) material is formed on the top surface, the surface of the insulating material between the columnar structures, and the sidewalls of the bonding portion. Finally, a metal gate is formed on the surface of the gate dielectric material. Subsequently, the method of fabricating the semiconductor device provided by the preferred embodiment will be described in detail as shown in Figs. 2A to 2G.

在本發明之另一實施例中,用以蝕刻柱狀結構之間的絕緣材料,並大致上蝕刻至STI區域的預定水平高度的溼蝕刻和/或乾蝕刻方法可視為一第一蝕刻製程,而在第一蝕刻製程之後,更進行一非等向性蝕刻製程,用以於底部與頂部之間創造一階梯形銜接部。隨後,進行一第二蝕刻製程(包含例如溼蝕刻和/或乾蝕刻),可更蝕刻柱狀結構之間的絕緣材料,而暴露出該階梯形銜接部。隨後,可利用一溼式清潔製程和SiN移除製程,於該頂部與該底部之間形成具有斜面的銜接部。須注意的是,上述移除製程包含一等向性蝕刻,用以產生矽損失而形成該銜接部。之後,係如前所述形成閘極介電層與金屬閘極。隨後將如第3A圖~第3I圖之所示,詳述本較佳實施例所提供之半導體元件之製作方法。 In another embodiment of the present invention, the wet etching and/or dry etching method for etching the insulating material between the columnar structures and substantially etching to a predetermined level of the STI region may be regarded as a first etching process. After the first etching process, an anisotropic etching process is further performed to create a stepped junction between the bottom and the top. Subsequently, a second etching process (including, for example, wet etching and/or dry etching) is performed to further etch the insulating material between the columnar structures to expose the stepped junction. Subsequently, a wet cleaning process and a SiN removal process can be utilized to form a beveled interface between the top and the bottom. It should be noted that the above removal process includes an isotropic etch to create a germanium loss to form the interface. Thereafter, a gate dielectric layer and a metal gate are formed as described above. Subsequently, the method of fabricating the semiconductor device provided by the preferred embodiment will be described in detail as shown in FIGS. 3A to 3I.

第1圖為本較佳實施例所提供之半導體元件之一示意圖。本 較佳實施例提供一半導體元件100,其可以是積體電路(integrated circuit,IC)內的元件,例如一微處理器或一記憶體元件。半導體元件100包含一設置於一基底111上的FinFET型電晶體。FinFET型電晶體可包含一鰭片,且該鰭片包含有三種各異的輪廓區域,即一頂部104、一銜接部106、與一底部108。 Figure 1 is a schematic view of one of the semiconductor components provided by the preferred embodiment. this The preferred embodiment provides a semiconductor component 100 that can be an element within an integrated circuit (IC), such as a microprocessor or a memory component. The semiconductor device 100 includes a FinFET type transistor disposed on a substrate 111. The FinFET type transistor may comprise a fin, and the fin comprises three different contour regions, namely a top portion 104, an interface portion 106, and a bottom portion 108.

基底111可以是一矽基底。或者,基底111可包含其他元素半導體(elementary semiconductor),例如鍺(germamiun)。基底111也可包含複合半導體(compound semiconductor),如碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenic)、和/或銻化銦(indium antimonide)。基底111亦可包含合金半導體(alloy semiconductor),如矽鍺合金半導體(SiGe)、鎵砷磷合金半導體(GaAsP)、鋁銦砷合金半導體(AlInAs)、鋁鎵砷合金半導體(AlGaAs)、鎵銦砷合金半導體(GaInAs)、鎵銦磷合金半導體(GaInP)和/或鎵銦砷磷合金半導體(GaInAsP)的合金半導體。基底111亦可包含上述材料的組合。在本發明的實施例中,基底111可為一絕緣層上半導體(semiconductor on insulator,SOI)基底。 The substrate 111 can be a crucible substrate. Alternatively, substrate 111 may comprise other elementary semiconductors such as germanium (germamiun). The substrate 111 may also comprise a compound semiconductor such as silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenic. ), and / or indium antimonide. The substrate 111 may also comprise an alloy semiconductor such as a germanium alloy semiconductor (SiGe), a gallium arsenide alloy semiconductor (GaAsP), an aluminum indium arsenide alloy semiconductor (AlInAs), an aluminum gallium arsenide alloy semiconductor (AlGaAs), gallium indium. An alloy semiconductor of arsenic alloy semiconductor (GaInAs), gallium indium phosphorus alloy semiconductor (GaInP), and/or gallium indium arsenide alloy semiconductor (GaInAsP). Substrate 111 may also comprise a combination of the above materials. In an embodiment of the invention, the substrate 111 can be a semiconductor on insulator (SOI) substrate.

頂部104包含有源極/汲極區域(圖未示),源極/汲極區域係為場效電晶體元件之源極或汲極形成之處,其可形成於頂部104之內、之上、或環繞頂部104。頂部104係由閘極結構(包含一閘極介電層102與一閘極電極層101)覆蓋。 The top portion 104 includes a source/drain region (not shown), and the source/drain region is where the source or drain of the field effect transistor element is formed, which may be formed within and above the top portion 104. Or around the top 104. The top portion 104 is covered by a gate structure including a gate dielectric layer 102 and a gate electrode layer 101.

頂部104可包含一其內可形成一個或更多電晶體元件的主動 區域。頂部104可包含矽或其他元素半導體,例如鍺。頂部104也可包含複合半導體,如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、和/或銻化銦。頂部104亦可包含合金半導體,如SiG、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的合金半導體。頂部104亦可包含上述材料的組合。頂部可藉由任何合適的製程,包含微影與蝕刻製程等,如第2A圖至第3I圖所示之製程形成。微影製程可包含於基底(例如一半導體層或一合金層)上形成一光阻層(阻擋層)、利用一圖案對該阻擋層進行曝光步驟、進行一曝光後烘烤(post-exposure bake)製程、以及阻擋層顯影步驟等,用以形成包含該阻擋層的遮罩結構。該遮罩結構可在用以於矽層內形成凹槽的蝕刻製程中保護基底上的其他區域,並獲得突出於基底的鰭片。上述凹槽可利用反應離子蝕刻(reactive ion etch,RIE)方法和/或其他合適的製程形成。另外,尚有其他製程可用以於基底111上形成鰭片(包含頂部104),於此係不再加以贅述。 The top portion 104 can include an active one that can form one or more transistor elements therein region. The top portion 104 can comprise germanium or other elemental semiconductors such as germanium. The top portion 104 may also comprise a composite semiconductor such as tantalum carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide. The top portion 104 may also comprise an alloy semiconductor such as an alloy semiconductor of SiG, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP. The top portion 104 can also comprise a combination of the above materials. The top can be formed by any suitable process, including lithography and etching processes, etc., as shown in Figures 2A through 3I. The lithography process may include forming a photoresist layer (barrier layer) on a substrate (for example, a semiconductor layer or an alloy layer), exposing the barrier layer by using a pattern, and performing an exposure-bake (post-exposure bake). a process, a barrier development step, etc., to form a mask structure comprising the barrier layer. The mask structure protects other areas on the substrate in an etching process used to form recesses in the germanium layer and obtain fins that protrude from the substrate. The recesses described above may be formed using reactive ion etch (RIE) methods and/or other suitable processes. In addition, there are other processes that can be used to form fins (including the top portion 104) on the substrate 111, which will not be described again.

在本發明之一實施例中,頂部104之寬度大約小於15nm,且高度大約介於10nm與40nm之間。然而,熟習該項技藝之人士應知在其他的實施型態中,頂部104之尺寸並不限於此。頂部104之高度H1,係由量測各頂部104之頂部表面與銜接部106起點之間的距離而得。頂部104與銜接部106之高度和H2則藉由量測頂部104之頂部表面與用來標示銜接部106終點/底部108起點的一突出部份之間的距離而得。頂部104可包含有n型或p型的摻雜質。在本發明之實施例中,頂部104之特徵在於其約略包含一四邊形形狀。而在本發明之一實施例中,由其剖面圖可知道頂部104包含兩側壁與一頂面,側壁之高度可以是40nm或 更高。在本發明之實施例中,頂部104之高度大約可為25nm。在本發明之實施例中,由於短通道效應的考量,頂部104之寬度不大於15nm,以改善靜電控制(electrostatic control)。另外,頂部104由下而上大致上皆保持四邊形形狀。如第1圖所示,頂部104之特徵係為包含一角度θ2,角度θ2係由頂部104之側壁與頂部104之底面,即水平面,所定義出來,且角度θ2大約是90°。 In one embodiment of the invention, the top portion 104 has a width of less than about 15 nm and a height of between about 10 nm and 40 nm. However, those skilled in the art will recognize that in other embodiments, the size of the top portion 104 is not limited thereto. The height H1 of the top portion 104 is obtained by measuring the distance between the top surface of each of the top portions 104 and the beginning of the engaging portion 106. The height of the top portion 104 and the engaging portion 106 and H2 are obtained by measuring the distance between the top surface of the top portion 104 and a protruding portion for indicating the end point of the engaging portion 106/the starting point of the bottom portion 108. The top portion 104 can comprise an n-type or p-type dopant. In an embodiment of the invention, the top portion 104 is characterized in that it approximately comprises a quadrilateral shape. In one embodiment of the invention, it is known from its cross-sectional view that the top portion 104 includes two side walls and a top surface, and the height of the side walls may be 40 nm or higher. In an embodiment of the invention, the height of the top portion 104 can be approximately 25 nm. In an embodiment of the invention, the width of the top portion 104 is no greater than 15 nm due to short channel effect considerations to improve electrostatic control. In addition, the top portion 104 generally maintains a quadrangular shape from bottom to top. As shown, wherein the top line 104 of FIG. 1 comprises a first angle θ 2, an angle θ 2 line by the bottom surface of the top of the sidewalls 104 and top 104, i.e. the horizontal plane, as it is defined, and the angle θ 2 is approximately 90 °.

頂部104係被閘極結構覆蓋,而當閘極開啟時,頂部104之側壁與頂部表面係形成導通電流。值得注意的是,電流方向可以是入射或出射第1圖之紙面。閘極結構可包含一閘極介電層102、一閘極電極層101、和/或其他膜層。在本發明之實施例中,閘極電極包含至少一金屬層。 The top portion 104 is covered by the gate structure, and when the gate is open, the sidewalls of the top portion 104 form an on current with the top surface. It is worth noting that the current direction can be incident or exiting the paper of Figure 1. The gate structure can include a gate dielectric layer 102, a gate electrode layer 101, and/or other film layers. In an embodiment of the invention, the gate electrode comprises at least one metal layer.

根據本發明之一實施例,閘極結構之閘極介電層102可包含二氧化矽(silicon dioxide,以下簡稱為SiO2),且二氧化矽可藉由任何合適的氧化和/或沈積方法形成。在本發明之實施例中,閘極介電層102可包含一介質層(interfacial layer),例如一形成於頂部104上的SiO2層,且在該介質層上係形成一high-k介電層(如氧化鉿(hafnium oxide,HfO2))。或者,high-k介電層可選擇性地包含其他high-k介電材料,如二氧化鈦(TiO2)、氧化鉿鋯(HfZrO)、三氧化二鉭(Ta2O3)、矽酸鉿(HfSiO4)、二氧化鋯(ZrO2)、矽酸鋯(ZrSiO2)、上述材料之組合,或其他適合之材料。High-k介電層可藉由原子層沈積(atomic layer deposition,以下簡稱為ALD)和/或其他合適的方法形成。介質層可包含介電材料,例如SiO2層或氮氧化矽(silicon oxynitride,SiON)層。介 質層可藉由化學氧化(chemical oxidation)、熱氧化(thermal oxidation)、ALD、化學氣相沈積(chemical vapor deposition,以下簡稱為CVD)和/或其他合適的方法形成。 According to an embodiment of the invention, the gate dielectric layer 102 of the gate structure may comprise silicon dioxide (hereinafter referred to as SiO 2 ), and the cerium oxide may be oxidized and/or deposited by any suitable method. form. In an embodiment of the invention, the gate dielectric layer 102 may include an interfacial layer, such as a SiO 2 layer formed on the top portion 104, and a high-k dielectric is formed on the dielectric layer. Layer (such as hafnium oxide (HfO 2 )). Alternatively, the high-k dielectric layer may optionally comprise other high-k dielectric materials such as titanium dioxide (TiO 2 ), hafnium zirconium oxide (HfZrO), tantalum trioxide (Ta 2 O 3 ), tantalum citrate ( HfSiO 4 ), zirconium dioxide (ZrO 2 ), zirconium silicate (ZrSiO 2 ), combinations of the above materials, or other suitable materials. The high-k dielectric layer can be formed by atomic layer deposition (hereinafter abbreviated as ALD) and/or other suitable methods. The dielectric layer may comprise a dielectric material such as a SiO 2 layer or a silicon oxynitride (SiON) layer. The dielectric layer can be formed by chemical oxidation, thermal oxidation, ALD, chemical vapor deposition (hereinafter referred to as CVD), and/or other suitable methods.

在本發明的其他實施例中,閘極結構可包含至少一金屬層,用以形成閘極電極層101。閘極電極層101可包含阻障層(barrier layer)、功函數層(work function layer)、填充金屬層(fill metal layer)和/或其他適用於金屬閘極結構的材料。在本發明的其他實施例中,金屬閘極結構可更包含覆蓋層(cap layer)、蝕刻停止層(etch stop layer)、和/或其他適合的材料。 In other embodiments of the invention, the gate structure may include at least one metal layer to form the gate electrode layer 101. The gate electrode layer 101 may include a barrier layer, a work function layer, a fill metal layer, and/or other materials suitable for the metal gate structure. In other embodiments of the invention, the metal gate structure may further comprise a cap layer, an etch stop layer, and/or other suitable materials.

閘極結構可包含p型功函數金屬,而p型功函數金屬舉例來說可包含氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、二矽化鋯(ZrSi2)、二矽化鉬(MoSi2)、二矽化鉭(TaSi2)、二矽化鎳(NiSi2)、其他適合之p型功函數材料,或上述材料之組合。閘極結構亦可包含n型功函數金屬,而n型功函數金屬舉例來說可包含鈦(Ti)、銀(Ag)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮化鉭矽(TaSiN)、錳(Mn)、鋯(Zr)、其他適合之n型功函數材料,或上述材料之組合。由於功函數值與功函數層的材料組合相關,因此可藉由功函數層的材料選擇調整功函數值,使各區域內的半導體元件皆能達到所欲獲得的臨界電壓(threshold voltage,Vt)。功函數層可藉由CVD、ALD、物理氣相沈積(physical vapor deposition,以下簡稱為PVD)和/或其他合適之製程形成。 The gate structure may include a p-type work function metal, and the p-type work function metal may include, for example, titanium nitride (TiN), tantalum nitride (TaN), ruthenium (Ru), molybdenum (Mo), aluminum (Al). , tungsten nitride (WN), zirconium dichloride (ZrSi 2 ), molybdenum disilicide (MoSi 2 ), tantalum telluride (TaSi 2 ), nickel dihydride (NiSi 2 ), other suitable p-type work function materials, or A combination of the above materials. The gate structure may also include an n-type work function metal, and the n-type work function metal may include, for example, titanium (Ti), silver (Ag), tantalum aluminide (TaAl), tantalum aluminum carbide (TaAlC), aluminum nitride. TiAlN, TaC, TaCN, TaSiN, Mn, Zr . Since the work function value is related to the material combination of the work function layer, the work function value can be adjusted by the material selection of the work function layer, so that the semiconductor components in each region can reach the desired threshold voltage (Vt). . The work function layer can be formed by CVD, ALD, physical vapor deposition (PVD), and/or other suitable processes.

填充金屬層可包含導電金屬,例如鋁(Al)、鎢(W)或銅(Cu),和/或其他適合的材料。填充金屬層可藉由CVD、PVD、電鍍(plating)、和/或其他適合的製程形成。此外,填充金屬層可形成於功函數金屬層上。 The fill metal layer may comprise a conductive metal such as aluminum (Al), tungsten (W) or copper (Cu), and/or other suitable materials. The fill metal layer can be formed by CVD, PVD, plating, and/or other suitable processes. Additionally, a fill metal layer can be formed on the work function metal layer.

絕緣區域110(即STI區域110)可由氧化矽、氮化矽、氮氧化矽、和/或氟矽玻璃(fluoride-doped glass,FSG)形成。且絕緣區域110可藉由諸多現有的製程於基底111中蝕刻出溝渠,並藉由絕緣材料填滿該等溝渠,隨後利用平坦化製程如CMP平坦化絕緣材料表面來形成。然而,本較佳實施例亦不限採用其他的製程方法形成絕緣區域110。此外,絕緣區域110可包含複數層(multi-layer)結構,例如,其可包含一或多層襯墊層(line layer)。 The insulating region 110 (ie, the STI region 110) may be formed of tantalum oxide, tantalum nitride, hafnium oxynitride, and/or fluoride-doped glass (FSG). The insulating region 110 can be etched into the substrate 111 by a plurality of existing processes, and the trenches are filled by an insulating material, and then planarized by a planarization process such as CMP to planarize the surface of the insulating material. However, the preferred embodiment does not limit the formation of the insulating region 110 by other processing methods. Moreover, the insulating region 110 can comprise a multi-layer structure, for example, which can include one or more layers of a layer.

如第1圖所示,底部108之剖面具有一斜面輪廓,其建構在矽基底111上,且被絕緣區域110包圍。底部108之特徵係為包含一角度θ1,角度θ1係由底部108的側壁與基底111,即水平面,定義出來。在本發明的實施例中,角度θ1大約為70°至88°。底部108可包含一高度S,且高度S係介於110nm與140nm之間。在本發明之一實施例中,高度S可以例如是125nm。底部108可包含任何所欲的形狀與摻雜質,俾使在閘極未開啟時能有效地降低漏電流。 As shown in FIG. 1, the cross section of the bottom portion 108 has a beveled profile that is constructed on the crucible base 111 and is surrounded by the insulative region 110. Wherein the bottom 108 of a system comprising an angle θ 1, the angle θ 1 based sidewall and a bottom portion 108 of the substrate 111, i.e. the horizontal plane, it is defined. In an embodiment of the invention, the angle θ 1 is approximately 70° to 88°. The bottom portion 108 can include a height S and a height S between 110 nm and 140 nm. In an embodiment of the invention, the height S may for example be 125 nm. The bottom portion 108 can comprise any desired shape and dopant so that the leakage current can be effectively reduced when the gate is not turned on.

半導體元件100可包含其他的膜層或組成元件,而該等膜層或組成元件例如源極/汲極區域、內層介電層(interlayer dielectric,ILD)、接觸插塞、內連線結構(interconnections)和/或其他合適的組成 元件等並未在此贅述。 The semiconductor device 100 may include other film layers or constituent elements such as a source/drain region, an interlayer dielectric (ILD), a contact plug, and an interconnect structure ( Interconnections) and / or other suitable components The components and the like are not described here.

根據本發明所提供之實施例,銜接部106係提供一銜接底部108與頂部104的斜面輪廓,且該斜面輪廓之特徵為包含一角度θ3,其係由銜接部106與底部108之連接處的側壁與水平面定義出來。在本發明之實施例中,角度θ3係介於10°與85°之間。在本發明之一實施例中,角度θ3可以大約是78°。在本發明之實施例中,銜接部106與頂部104之一高度和H2係為40nm至52nm。在本發明之實施例中,高度和H2可例如約為44nm。此外,頂部104之高度係介於頂部104、銜接部106與底部108之一高度和的三分之一與二分之一之間。銜接部106與頂部104具有一第一接面,且第一接面包含一第一寬度,銜接部106與底部108具有一第二接面,且該第二接面包含一大於該第一寬度之第二寬度。 In accordance with an embodiment of the present invention, the engagement portion 106 provides a beveled profile that engages the bottom portion 108 and the top portion 104, and the bevel profile is characterized by an angle θ 3 that is the junction of the engagement portion 106 and the bottom portion 108. The side walls are defined with the horizontal plane. In an embodiment of the invention, the angle θ 3 is between 10° and 85°. In an embodiment of the invention, the angle θ 3 may be approximately 78°. In an embodiment of the present invention, the height of one of the engagement portion 104 with the top 106 lines and H 2 is 40nm to 52nm. In an embodiment of the present invention, the height H 2 and may be for example, about 44nm. In addition, the height of the top portion 104 is between the top portion 104, one-third and one-half the height of one of the joint portion 106 and the bottom portion 108. The connecting portion 106 and the top portion 104 have a first connecting surface, and the first connecting surface includes a first width, the connecting portion 106 and the bottom portion 108 have a second connecting surface, and the second connecting surface includes a first width greater than the first width The second width.

上述三個部份,即頂部104、銜接部106與底部108,係定義了本較佳實施例所提供之FinFET元件的鰭片結構,而此一FinFET元件可包含超過兩個的鰭片結構。舉例來說,本發明所提供之FinFET元件可包含三個或更多的鰭片結構。 The above three parts, namely the top portion 104, the connecting portion 106 and the bottom portion 108, define the fin structure of the FinFET element provided by the preferred embodiment, and the FinFET element may comprise more than two fin structures. For example, the FinFET elements provided by the present invention can include three or more fin structures.

本發明所提供之包含銜接部的FinFET型電晶體元件係具有更佳的表現。與習知技術中鰭片寬度為14nm且不具有的銜接部之FinFET型電晶體元件相較,前述之結構,例如寬度為10nm且具有四邊形形狀,以及包含有銜接部106等特徵係可增加20%~30%的驅動電流(driving current),且具有更平均的傳導電流密度(conducting current density)。 The FinFET type transistor element including the joint portion provided by the present invention has better performance. Compared with the FinFET type transistor element of the prior art in which the fin width is 14 nm and which does not have the connection portion, the foregoing structure, for example, has a width of 10 nm and a quadrangular shape, and the feature system including the connection portion 106 can be increased by 20 %~30% of driving current, and has a more average conduction current density (conducting current) Density).

本發明所提供之FinFET型電晶體元件亦可提供較佳的次臨界斜率(sub-threshold slope)。詳細地說,本發明所提供之鰭片結構與空乏摻雜可在閘極開啟時於臨界位準(threshold level)下提供較陡峭的斜率,而此陡峭的斜率在FinFET元件未開啟時可降低漏電流。與習知技術中僅具頂部與底部兩部份,且此兩部份皆具有斜面輪廓的鰭片結構相較,本發明所提供之具有三部份(即具有四邊形形狀的頂部、底部、與其間的銜接部)的鰭片結構,可有效地減輕次臨界斜率上的短通道效應。綜上所述,與習知技術中僅具兩部份,因此在頂部與底部都具有較為傾斜的斜面輪廓之鰭片結構相較,本發明所提供之三部份鰭片結構,其大致上為四邊形形狀的頂部係展現優良的靜電表現。本發明所提供之半導體元件更享有因減輕了短通道效應而降低了次臨界擺幅(subthreshold swing)與汲極引致能障下降(drain induced barrier lowering,DIBL)等問題,以及增加了輸出電導(output conductance)等改良結果。此外,上述改良結果更包含增加了通態電流(on-state current),以及獲得更為均勻的傳導電流密度。 The FinFET type transistor element provided by the present invention can also provide a better sub-threshold slope. In detail, the fin structure and depletion doping provided by the present invention can provide a steeper slope at a threshold level when the gate is turned on, and the steep slope can be lowered when the FinFET element is not turned on. Leakage current. Compared with the fin structure in the prior art which only has two parts at the top and the bottom, and the two parts have a beveled profile, the present invention provides three parts (ie, a top, a bottom with a quadrilateral shape, and The fin structure of the intervening portion can effectively mitigate the short channel effect on the subcritical slope. In summary, there are only two parts in the prior art, so that the three-part fin structure provided by the present invention is substantially the same as the fin structure having a relatively inclined bevel profile at the top and the bottom. It exhibits excellent electrostatic performance for the top of the quadrilateral shape. The semiconductor device provided by the invention further reduces the problems of subthreshold swing and drain induced barrier lowering (DIBL) due to the reduction of the short channel effect, and increases the output conductance ( Improved results such as output conductance). In addition, the above improvement results include an increase in on-state current and a more uniform conduction current density.

請參閱第2A圖~第2G圖,其為第1圖所示FinFET之製作方法之一較佳實施例之示意圖。根據本較佳實施例,具有四邊形輪廓的頂部104與具有斜面輪廓的底部108可形成於一矽晶圓基底111上。頂部104首先可藉由一如第2A圖所示之由襯墊氧化層與SiN組合而成的硬遮罩層204定義出來。此外,在本發明之實施例中,此一步驟係可藉由STI溝渠蝕刻完成。此一步驟係定義為步驟200A,而步驟200A係包含 深溝渠反應離子蝕刻(deep trench reactive ion etch,以下簡稱為DRIE)製程,其可利用多種不同的蝕刻化學方法來進行,舉例來說,DIRE製程可利用至少包含三氟化氮(nitrogen trifluoride,NF3)、六氟化硫(sulfur hexafluoride,SF6)以及四氟化碳(carbon tetrafluoride,CF4)其中之一的含氟氣體(fluorine-containing gas),和/或至少包含四氟化碳(CF4)、六氟乙烷(hexafluoroethane,C2F6)和八氟環丁烷(octafluorocyclobutane,C4F8)其中之一的含氟碳氣體(fluorocarbon-containing gas),和/或至少包含二氟甲烷(difluoromethane,CH2F2)、四氟化碳(CF4)和三氟甲烷(trifluoromethane,CHF3)其中之一的含氫氟碳氣體(hydrofluorocarbon-containing gas),和/或至少包含氯氣(chlorine,Cl2 gas)、四氯化矽(tetrachlorosilane,SiCl4)、溴化氫(hydrogen bromide,HBr)其中之一的含鹵素氣體(halogen-containing gas),和/或至少包含二氧化硫(sulfur dioxide,SO2)、氧氣(oxygen O2 gas)、氮氣(nitrogen,N2 gas)、氫氣(hydrogen,H2 gas)、和氦氣(helium,He gas)其中之一的其他氣體。如第2A圖所示,DRIE製程係於硬遮罩層204下形成至少一向下延伸進入基底111,且深度為L的溝渠。在本較佳實施例中,深度L一般而言大於40nm。舉例來說,深度L可介於150nm與200nm之間。在本發明之實施例所提供之結構中,頂部140係包含一四邊形輪廓,且其寬度小於15nm。在本發明之實施例所提供之結構中,蝕刻而得的底部108可具有斜面,因此底部108具有較寬的基座。在上述實施例所提供之結構中,斜面具有一傾斜角度,且此傾斜角度介於70°與88°之間。 Please refer to FIG. 2A to FIG. 2G, which are schematic diagrams of a preferred embodiment of the method for fabricating the FinFET shown in FIG. 1. In accordance with the preferred embodiment, a top portion 104 having a quadrilateral profile and a bottom portion 108 having a beveled profile may be formed on a single wafer substrate 111. The top portion 104 can first be defined by a hard mask layer 204 formed by a combination of a pad oxide layer and SiN as shown in FIG. 2A. Moreover, in an embodiment of the invention, this step can be accomplished by STI trench etching. This step is defined as step 200A, and step 200A includes a deep trench reactive ion etch (DRIE) process, which can be performed using a variety of different etching chemistries, for example, DIRE. The process may utilize a fluorine-containing gas (fluorine-containing at least one of nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ), and carbon tetrafluoride (CF 4 ). Containing gas), and/or containing at least one of carbon tetrafluoride (CF 4 ), hexafluoroethane (C 2 F 6 ), and octafluorocyclobutane (C 4 F 8 ) a fluorocarbon-containing gas, and/or a hydrogen containing at least one of difluoromethane (CH 2 F 2 ), carbon tetrafluoride (CF 4 ), and trifluoromethane (CHF 3 ) Hydrofluorocarbon-containing gas, and/or halogen containing at least one of chlorine (Cl 2 gas), tetrachlorosilane (SiCl 4 ), hydrogen bromide (HBr) Gas (halogen-conta Ining gas), and/or at least sulfur dioxide (SO 2 ), oxygen (oxygen O 2 gas), nitrogen (nitrogen (N 2 gas), hydrogen (hydrogen, H 2 gas), and helium (helium, He gas) one of the other gases. As shown in FIG. 2A, the DRIE process forms at least one trench extending downward into the substrate 111 and having a depth L under the hard mask layer 204. In the preferred embodiment, the depth L is generally greater than 40 nm. For example, the depth L can be between 150 nm and 200 nm. In the structure provided by embodiments of the present invention, the top portion 140 includes a quadrilateral profile having a width of less than 15 nm. In the configuration provided by embodiments of the present invention, the etched bottom portion 108 can have a beveled surface such that the bottom portion 108 has a wider pedestal. In the structure provided by the above embodiment, the diagonal mask has an oblique angle, and the inclination angle is between 70 and 88.

請參閱第2B圖,第2B圖係繪示步驟200B。步驟200B係包含 一STI空隙填充製程,用以利用一絕緣材料如二氧化矽填滿溝渠區域,而二氧化矽可利用合適的氧化和/或沈積方法形成。舉例來說,上述製程可包含一CVD製程。而此CVD製程可包含電漿增強化學氣相沈積(plasma-enhanced CVD,PECVD)製程、遠距電漿增強化學氣相沈積(remote plasma-enhanced CVD,RPECVD)製程、或原子層化學氣相沈積(atomic layer CVD,ALCVD)製程等。另外,CVD製程可以是低壓化學氣相沈積(low-pressure CVD,LPCVD)製程或超高真空化學氣相沈積(ultra vacuum CVD,UVCVD)製程其中之一。在本發明所提供的實施例中,CVD製程亦可包含一流動式化學氣相沈積(flowable CVD,FCVD)製程,用以利用原位蒸氣成長氧化物(in-situ steam generated,以下簡稱為ISSG oxide)與ALD氧化物形成上述氧化物材料。 Please refer to FIG. 2B, and FIG. 2B illustrates step 200B. Step 200B includes An STI void fill process for filling the trench region with an insulating material such as cerium oxide, and the cerium oxide can be formed by a suitable oxidation and/or deposition method. For example, the above process can include a CVD process. The CVD process may include a plasma-enhanced CVD (PECVD) process, a remote plasma-enhanced CVD (RPECVD) process, or an atomic layer chemical vapor deposition process. (atomic layer CVD, ALCVD) process, etc. In addition, the CVD process may be one of a low pressure CVD (LPCVD) process or an ultra vacuum CVD (UVCVD) process. In the embodiments provided by the present invention, the CVD process may also include a flow-through chemical vapor deposition (FCVD) process for utilizing in-situ steam generated (hereinafter referred to as ISSG). Oxide) forms an oxide material as described above with an ALD oxide.

請參閱第2C圖,第2C圖係繪示步驟200C。步驟200C係包含一STI CMP製程,用以平坦化被填滿的溝渠表面,使得用以充填溝渠的氧化物與硬遮罩層204的頂部表面對齊。CMP製程係為一化學蝕刻與游離顆粒(free abrasive)研磨的複合製程,其可用以形成平坦且光滑的表面。在本發明所提供之實施例中,STI CMP製程可在一第一蒸氣退火(steam anneal)製程之後進行,並且在STI CMP製程之後,可進行一第二蒸氣退火製程與一回蝕刻(etch back)製程,以移除硬遮罩層204頂部上的氧化物。 Please refer to FIG. 2C, and FIG. 2C shows step 200C. Step 200C includes an STI CMP process for planarizing the filled trench surface such that the oxide used to fill the trench is aligned with the top surface of the hard mask layer 204. The CMP process is a composite process of chemical etching and free abrasive grinding that can be used to form a flat and smooth surface. In an embodiment provided by the present invention, the STI CMP process can be performed after a first steam anneal process, and after the STI CMP process, a second vapor anneal process and an etch back can be performed (etch back) The process is to remove oxides on top of the hard mask layer 204.

請參閱第2D圖,第2D圖係繪示步驟200D。步驟200D係包含一溼蝕刻製程和/或一乾蝕刻製程,用以形成一氧化物凹槽區域206, 並使得填滿溝渠的氧化物之表面低於頂部104。在本較佳實施例中,溼蝕刻可以是一等向蝕刻,且可包含一浸潤製程(immersion process),用以形成氧化物凹槽區域206。在本步驟中,係可使用具有高蝕刻選擇比(etching selectivity)的蝕刻製程。 Please refer to FIG. 2D, and FIG. 2D shows step 200D. Step 200D includes a wet etching process and/or a dry etching process to form an oxide recess region 206. And the surface of the oxide filling the trench is lower than the top 104. In the preferred embodiment, the wet etch may be an isotropic etch and may include an immersion process to form the oxide recess region 206. In this step, an etching process having a high etching selectivity can be used.

接下來請參閱第2E圖,第2E圖係繪示步驟200E。步驟200E係包含於頂部104與底部108之間的頸部形成一銜接部106。舉例來說,可利用一溼式清洗製程與一SiN移除製程蝕刻暴露出來的頂部104側壁與底部106。此一蝕刻步驟可包含一等向性蝕刻製程,以於暴露出來的頂部104之側壁與暴露出來的底部的產生矽損失,而形成銜接部106。本步驟之目的亦包含移除硬遮罩(SiN),而用以移除硬遮罩的蝕刻劑(通常是熱磷酸(H3PO4))亦可用以移除暴露出來的矽材料,因此可形成銜接部106。 Next, please refer to FIG. 2E, and FIG. 2E shows step 200E. Step 200E includes forming an interface 106 in the neck portion between the top portion 104 and the bottom portion 108. For example, the exposed top sidewalls 104 and bottom 106 can be etched using a wet cleaning process and a SiN removal process. The etch step can include an isotropic etch process to create a bond 106 in the resulting sidewall loss of the exposed top portion 104 and the exposed bottom portion. The purpose of this step also includes removing the hard mask (SiN), and the etchant (usually hot phosphoric acid (H 3 PO 4 )) used to remove the hard mask can also be used to remove the exposed germanium material, thus The joint 106 can be formed.

隨後,係於頂部104之側壁以及方才形成的銜接部106之側壁上形成一閘極介電材料。閘極介電材料可由CVD製程沈積而得,或由一氧化製程形成。此外,閘極介電材料亦覆蓋絕緣區域110,如第2F圖所示。上述沈積製程可包含一化學氧化製程、一熱氧化製程、一ALD製程、一CVD製程、和/或其他合適的製程。此一閘極介電材料即作為閘極介電層102。 Subsequently, a gate dielectric material is formed on the sidewalls of the top portion 104 and the sidewalls of the connector portion 106 that is formed. The gate dielectric material can be deposited by a CVD process or formed by an oxidation process. In addition, the gate dielectric material also covers the insulating region 110 as shown in FIG. 2F. The deposition process may include a chemical oxidation process, a thermal oxidation process, an ALD process, a CVD process, and/or other suitable processes. This gate dielectric material acts as the gate dielectric layer 102.

最後,係如第2G圖所示,進行一閘極形成製程,且此一閘極電極層101係覆蓋方才形成的閘極介電層102。閘極電極層101可包含一或多層功函數層以及一導電金屬材料,例如Al、W、或Cu。閘極電 極層101可藉由CVD、PVD、電鍍和/或其他合適之製程形成。 Finally, as shown in FIG. 2G, a gate forming process is performed, and the gate electrode layer 101 covers the gate dielectric layer 102 formed. The gate electrode layer 101 may include one or more layers of a work function layer and a conductive metal material such as Al, W, or Cu. Gate electric The pole layer 101 can be formed by CVD, PVD, electroplating, and/or other suitable processes.

請參閱第3A圖~第3I圖,其為第1圖所示FinFET之製作方法之另一較佳實施例之示意圖。如第3A圖所示,本較佳實施例所提供之具有斜面輪廓的頂部104與底部108可形成於一矽晶圓基底111上。頂部104首先可藉由一如第2A圖所示之硬遮罩層204定義出來。如前述實施例與第2A圖所示者,本較佳實施利益可藉由STI溝渠蝕刻製程如DRIE於硬遮罩層204下形成向下延伸進入基底111,且具有深度L的溝渠。在本較佳實施例中,深度L大致上大於40nm。舉例來說,深度L可介於150nm與200nm之間。根據本發明所提供之實施例,頂部104亦具有一斜面輪廓,且其寬度不大於15nm。根據本發明所提供之實施例,蝕刻而得的底部108可包含相同的斜面輪廓,且其基座寬度更大。根據本發明所提供之實施例,上述的斜面包含一傾斜角度,且該角度介於70°與88°之間。 Please refer to FIG. 3A to FIG. 3I , which are schematic diagrams of another preferred embodiment of the method for fabricating the FinFET shown in FIG. 1 . As shown in FIG. 3A, the top portion 104 and the bottom portion 108 having a beveled profile provided by the preferred embodiment may be formed on a single wafer substrate 111. The top portion 104 can first be defined by a hard mask layer 204 as shown in FIG. 2A. As shown in the foregoing embodiment and FIG. 2A, the preferred embodiment benefits may be formed by a STI trench etching process such as DRIE under the hard mask layer 204 to form a trench extending downward into the substrate 111 and having a depth L. In the preferred embodiment, the depth L is substantially greater than 40 nm. For example, the depth L can be between 150 nm and 200 nm. In accordance with an embodiment of the present invention, the top portion 104 also has a beveled profile and a width of no greater than 15 nm. In accordance with an embodiment of the present invention, the etched bottom portion 108 can comprise the same bevel profile with a larger base width. According to an embodiment of the invention, the bevel comprises an angle of inclination and the angle is between 70 and 88.

可於基底111上形成一遮罩層204。請參閱第3B圖,第3B圖係繪示步驟300B。步驟300B係包含一STI空隙填充製程,用以利用一絕緣材料如二氧化矽填滿溝渠區域,而二氧化矽可利用合適的氧化和/或沈積方法形成。舉例來說,上述製程可包含一CVD製程。根據本發明所提供之實施例,CVD製程可包含一流動式CVD製程,用以利用ISSG氧化物與ALD氧化物沈積形成上述氧化物材料。 A mask layer 204 can be formed on the substrate 111. Please refer to FIG. 3B, and FIG. 3B illustrates step 300B. Step 300B includes an STI void fill process for filling the trench region with an insulating material such as cerium oxide, and the cerium oxide can be formed using a suitable oxidation and/or deposition method. For example, the above process can include a CVD process. In accordance with an embodiment of the present invention, a CVD process can include a flow CVD process for forming the oxide material described above using ISSG oxide and ALD oxide.

請參閱第3C圖,第3C圖係繪示步驟300C。步驟300C係包含一STI CMP製程,用以平坦化被填滿的溝渠表面,使得用以充填溝渠 的氧化物與硬遮罩層204的頂部表面對齊。此步驟係同於前述實施例所述之步驟200C,且與第2C圖所示者相同,故於此係不再贅述。 Please refer to FIG. 3C, and FIG. 3C illustrates step 300C. Step 300C includes an STI CMP process for planarizing the surface of the filled trench to fill the trench The oxide is aligned with the top surface of the hard mask layer 204. This step is the same as the step 200C described in the foregoing embodiment, and is the same as that shown in FIG. 2C, and thus will not be described again.

請參閱第3D圖,第3D圖係繪示步驟300D。步驟300D係包含一溼蝕刻製程,用以形成一氧化物凹槽區域206,並使得填滿溝渠的氧化物之表面低於頂部104。此步驟係同於前述實施例所述之步驟200D,且與第2D圖所示者相同,故於此係不再贅述。 Please refer to FIG. 3D, and FIG. 3D illustrates step 300D. Step 300D includes a wet etch process to form an oxide recess region 206 such that the surface of the oxide filling the trench is lower than the top portion 104. This step is the same as the step 200D described in the foregoing embodiment, and is the same as that shown in FIG. 2D, and thus will not be described again.

接下來請參閱第3E圖,第3E圖係繪示步驟300E。步驟300E係包含於頂部104與底部108之間的頸部形成一階梯形(stepped)銜接部106。舉例來說,可利用一非等向性蝕刻製程修改頂部104的輪廓。此一蝕刻步驟可包含一種定向(orientation-dependent)的非等向性蝕刻製程,用以於暴露出來的頂部104之側壁產生矽損失。之後,係進行如第3F圖所示之步驟300F,利用一溼蝕刻移除部份氧化矽110,而形成一氧化矽凹槽區域。接下來,進行步驟300G,利用另一溼式清洗製程與一SiN移除製程,蝕刻暴露出來的頂部104,同時蝕刻階梯形銜接部的側壁,而形成如第3G圖所示之銜接部106。 Next, please refer to FIG. 3E, and FIG. 3E shows step 300E. Step 300E includes forming a stepped engagement portion 106 between the top portion 104 and the bottom portion 108. For example, the contour of the top portion 104 can be modified using an anisotropic etch process. This etch step can include an orientation-dependent anisotropic etch process to create enthalpy losses on the sidewalls of the exposed top portion 104. Thereafter, a step 300F as shown in FIG. 3F is performed, and a portion of the yttrium oxide 110 is removed by a wet etching to form a niobium oxide groove region. Next, step 300G is performed to etch the exposed top portion 104 by using another wet cleaning process and a SiN removal process while etching the sidewalls of the stepped junction to form the junction portion 106 as shown in FIG. 3G.

隨後,係於頂部104之側壁以及方才形成的銜接部106之側壁上形成一閘極介電材料,且閘極介電材料亦覆蓋絕緣凹槽區域206,如第3H圖所示。此步驟係同於前述實施例所述之步驟200F,故於此係不再贅述。 Subsequently, a gate dielectric material is formed on the sidewalls of the top portion 104 and the sidewalls of the connector 106 formed, and the gate dielectric material also covers the insulating recess region 206, as shown in FIG. 3H. This step is the same as the step 200F described in the foregoing embodiment, and therefore will not be described again.

最後,係如第3I圖所示,進行一閘極形成製程,且此一閘極 電極層101係覆蓋方才形成的閘極介電層102。閘極電極層101可包含一或多層功函數層以及一導電金屬材料,例如Al、W、或Cu。此步驟係同於前述實施例所述之步驟200F,且與第2F圖所示者相同,故於此係不再贅述。 Finally, as shown in FIG. 3I, a gate forming process is performed, and the gate is The electrode layer 101 covers the gate dielectric layer 102 formed. The gate electrode layer 101 may include one or more layers of a work function layer and a conductive metal material such as Al, W, or Cu. This step is the same as the step 200F described in the foregoing embodiment, and is the same as that shown in FIG. 2F, and thus will not be described again.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

100‧‧‧半導體元件 100‧‧‧Semiconductor components

101‧‧‧閘極電極層 101‧‧‧ gate electrode layer

102‧‧‧閘極介電層 102‧‧‧ gate dielectric layer

104‧‧‧頂部 104‧‧‧ top

106‧‧‧銜接部 106‧‧‧Connecting Department

108‧‧‧底部 108‧‧‧ bottom

110‧‧‧絕緣區域 110‧‧‧Insulated area

111‧‧‧基底 111‧‧‧Base

H1、H2、S‧‧‧高度 H 1 , H 2 , S‧‧‧ height

θ1、θ2、θ3‧‧‧角度 θ 1 , θ 2 , θ 3 ‧‧‧ angle

Claims (20)

一種半導體元件之製作方法,包含有:提供一基底;移除部份之該基底,以形成一或多對溝渠以及一形成於該等溝渠之間的鰭片;對各該鰭片更進行:於該鰭片上形成一平坦的頂面;以及形成該鰭片之一頂部(top portion)、一銜接部(transition portion)以及一底部(bottom portion),其中該頂部包含一第一寬度,且由下而上維持該第一寬度,該銜接部包含一第一斜面,用以銜接該頂部與該底部,該銜接部與該頂部具有一第一接面,且該第一接面包含該第一寬度,該銜接部與該底部具有一第二接面,且該第二接面包含一較大的第二寬度,該第一斜角係由一第一角度定義,且該第一角度係介於10°與85°之間。 A method of fabricating a semiconductor device, comprising: providing a substrate; removing a portion of the substrate to form one or more pairs of trenches and a fin formed between the trenches; and performing the fins further: Forming a flat top surface on the fin; and forming a top portion, a transition portion, and a bottom portion of the fin, wherein the top portion includes a first width, and Maintaining the first width from bottom to top, the engaging portion includes a first inclined surface for engaging the top portion and the bottom portion, the engaging portion and the top portion have a first connecting surface, and the first connecting surface includes the first connecting surface Width, the connecting portion and the bottom portion have a second connecting surface, and the second connecting surface includes a larger second width, the first oblique angle is defined by a first angle, and the first angle is introduced Between 10° and 85°. 如申請專利範圍第1項所述之製作方法,其中該頂部包含一四邊形形狀。 The manufacturing method of claim 1, wherein the top portion comprises a quadrilateral shape. 如申請專利範圍第1項所述之製作方法,其中該底部包含一第二斜面,該第二斜面係由一第二角度定義,且該第二角度大於該第一角度。 The manufacturing method of claim 1, wherein the bottom portion comprises a second inclined surface defined by a second angle, and the second angle is greater than the first angle. 如申請專利範圍第3項所述之製作方法,其中該第二角度係為70°至88°。 The manufacturing method of claim 3, wherein the second angle is 70° to 88°. 如申請專利範圍第1項所述之製作方法,其中該頂部包含一高度,且該高度係為10奈米(nanometer,nm)至40nm。 The manufacturing method of claim 1, wherein the top portion comprises a height, and the height is 10 nanometers (nm) to 40 nm. 如申請專利範圍第1項所述之製作方法,其中該頂部與該銜接部包含一高度和,且該高度和為40nm至52nm。 The manufacturing method of claim 1, wherein the top portion and the engaging portion comprise a height sum, and the height sum is 40 nm to 52 nm. 如申請專利範圍第1項所述之製作方法,其中該底部包含一高度,且該高度係為110nm至140nm。 The manufacturing method of claim 1, wherein the bottom portion comprises a height, and the height is 110 nm to 140 nm. 如申請專利範圍第1項所述之製作方法,其中該頂部之該第一寬度為15nm或更小。 The manufacturing method of claim 1, wherein the first width of the top portion is 15 nm or less. 如申請專利範圍第1項所述之製作方法,更包含於一溝渠蝕刻步驟中利用不同的蝕刻化學方法於該頂部形成一直線輪廓(straight profile)以及於該底部形成斜面輪廓(tapered profile)、形成一填滿該等溝渠之間的空隙的材料、進行一溼蝕刻(wet etching)以移除部份該材料直至該底部,以及利用一等向蝕刻方法形成該銜接部。 The manufacturing method of claim 1, further comprising forming a straight profile at the top and forming a tapered profile at the bottom by using different etching chemistry in a trench etching step. A material filling the gap between the trenches, a wet etching to remove a portion of the material up to the bottom, and forming the interface by an isotropic etching process. 如申請專利範圍第1項所述之製作方法,其中形成該鰭片之該頂部、該銜接部與該底部之步驟更包含蝕刻各該溝渠、形成一填滿該等溝渠之間的空隙的材料、進行一溼蝕刻以移除部份該材料、進行一乾蝕刻(dry etching)以形成該頂部、進行一溼蝕刻以暴露出該銜接部、以及利用一等向蝕刻方法形成該銜接部。 The manufacturing method of claim 1, wherein the step of forming the top portion of the fin, the connecting portion and the bottom portion further comprises etching each of the trenches to form a material filling a gap between the trenches. A wet etching is performed to remove a portion of the material, a dry etching is performed to form the top portion, a wet etch is performed to expose the bonding portion, and the bonding portion is formed by an isotropic etching method. 如申請專利範圍第1項所述之製作方法,其中該頂部之高度係介於該頂部、該銜接部與該底部之一高度和的三分之一與二分之一之間。 The manufacturing method of claim 1, wherein the height of the top portion is between the top portion, a third and one-half of a height of one of the joint portion and the bottom portion. 一種半導體元件,包含有:一鰭式場效電晶體(FinFET),設置於一基底上,該FinFET包含有至少一鰭片,其中該鰭片包含一頂部、一銜接部與一底部,其中該頂部包含有一第一寬度,且由下而上維持該第一寬度,該銜接部包含有一銜接該頂部與該底部的第一斜面,該銜接部與該頂部具有一第一接面,且該第一接面包含該第一寬度,該銜接部與該底部具有一第二接面,且該第二接面包含一較大的第二寬度,該第一斜面係由一第一角度定義,且該第一角度係介於10°與85°之間。 A semiconductor device comprising: a fin field effect transistor (FinFET) disposed on a substrate, the FinFET comprising at least one fin, wherein the fin comprises a top portion, an engaging portion and a bottom portion, wherein the top portion Having a first width and maintaining the first width from bottom to top, the engaging portion includes a first inclined surface that connects the top portion and the bottom portion, the connecting portion and the top portion have a first connecting surface, and the first The junction includes the first width, the interface has a second junction with the bottom, and the second junction includes a larger second width, the first slope is defined by a first angle, and the The first angle is between 10° and 85°. 如申請專利範圍第12項所述之半導體元件,其中該頂部包含一四邊形形狀。 The semiconductor component of claim 12, wherein the top portion comprises a quadrilateral shape. 如申請專利範圍第12項所述之半導體元件,其中該底部包含一第二斜面,該第二斜面係由一第二角度定義,且該第二角度大於該第一角度。 The semiconductor component of claim 12, wherein the bottom portion comprises a second slope, the second slope is defined by a second angle, and the second angle is greater than the first angle. 如申請專利範圍第14項所述之半導體元件,其中該第二角度係為70°至88°。 The semiconductor component of claim 14, wherein the second angle is 70° to 88°. 如申請專利範圍第12項所述之半導體元件,其中該頂部包含一高度,且該高度係為10nm至40nm。 The semiconductor device of claim 12, wherein the top portion comprises a height and the height is from 10 nm to 40 nm. 如申請專利範圍第12項所述之半導體元件,其中該頂部與該銜接部包含一高度和,且該高度和為40nm至52nm。 The semiconductor device of claim 12, wherein the top portion and the interface portion comprise a height sum, and the height sum is 40 nm to 52 nm. 如申請專利範圍第17項所述之半導體元件,其中該頂部之該第一寬度為15nm或更小。 The semiconductor device of claim 17, wherein the first width of the top portion is 15 nm or less. 如申請專利範圍第12項所述之半導體元件,其中該底部包含一高度,且該高度係為110nm至140nm。 The semiconductor device of claim 12, wherein the bottom portion comprises a height and the height is 110 nm to 140 nm. 如申請專利範圍第12項所述之半導體元件,其中該頂部之高度係介於該頂部、該銜接部與該底部之一高度和的三分之一與二分之一之間。 The semiconductor component of claim 12, wherein the height of the top portion is between the top portion, the interface portion and a height of one third and one half of the bottom portion.
TW104113415A 2015-02-17 2015-04-27 Semiconductor device and fabrication method TW201631667A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201514624011A 2015-02-17 2015-02-17

Publications (1)

Publication Number Publication Date
TW201631667A true TW201631667A (en) 2016-09-01

Family

ID=57443022

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104113415A TW201631667A (en) 2015-02-17 2015-04-27 Semiconductor device and fabrication method

Country Status (2)

Country Link
CN (1) CN106206715A (en)
TW (1) TW201631667A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713150B (en) * 2016-11-28 2020-12-11 台灣積體電路製造股份有限公司 Integrated circuit and method of manufacturing integrated circuit
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
TWI742253B (en) * 2017-09-28 2021-10-11 台灣積體電路製造股份有限公司 Semiconductor devices and methods for forming the same
TWI788931B (en) * 2021-03-18 2023-01-01 日商鎧俠股份有限公司 Semiconductor device, template and method for manufacturing template

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585358B (en) * 2018-11-06 2020-11-06 上海集成电路研发中心有限公司 Method for forming shallow trench isolation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713150B (en) * 2016-11-28 2020-12-11 台灣積體電路製造股份有限公司 Integrated circuit and method of manufacturing integrated circuit
TWI742253B (en) * 2017-09-28 2021-10-11 台灣積體電路製造股份有限公司 Semiconductor devices and methods for forming the same
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11764301B2 (en) 2018-09-27 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
TWI788931B (en) * 2021-03-18 2023-01-01 日商鎧俠股份有限公司 Semiconductor device, template and method for manufacturing template

Also Published As

Publication number Publication date
CN106206715A (en) 2016-12-07

Similar Documents

Publication Publication Date Title
KR101709395B1 (en) Semiconductor device and manufacturing method thereof
TWI525829B (en) Semiconductor devices and methods of manufacture thereof
KR102107610B1 (en) Method of manufacturing semiconductor devices
TWI662652B (en) Method of forming integrated circuit
TWI786608B (en) Semiconductor device and method for fabricating the same
TW201631667A (en) Semiconductor device and fabrication method
TW202213789A (en) Method for fabricating semiconductor structure
US11177358B2 (en) Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
KR102593872B1 (en) Method of forming contact structures
TW202322279A (en) Method for making semiconductor structure
TW202147452A (en) Semiconductor devices and methods for forming the same
TWI670770B (en) Enlarged sacrificial gate caps for forming self-aligned contacts
TWI783870B (en) Semiconductor device and method of forming the same
TWI831279B (en) Semiconductor device and method of forming the same
CN218004857U (en) Semiconductor device with a plurality of semiconductor chips
US11888049B2 (en) Dielectric isolation structure for multi-gate transistors
US20240105806A1 (en) Multi-Gate Devices And Method Of Forming The Same
TWI820735B (en) Semiconductor device and method of manufacturing the same
US20220384610A1 (en) Methods for Forming Multi-Gate Transistors
TWI755002B (en) Semiconductor structure and method of forming the same
TW202343842A (en) Semiconductor structure and forming method thereof
TW202201548A (en) Method of manufacturing semiconductor device
TW202420590A (en) Semiconductor structure and method of forming the same
TW202316665A (en) Semiconductor structure and method for forming the same
TW202329254A (en) Semiconductor device and method for forming the same