TW201629815A - Method, device and computer program product for integrated circuit layout generation - Google Patents

Method, device and computer program product for integrated circuit layout generation Download PDF

Info

Publication number
TW201629815A
TW201629815A TW104137688A TW104137688A TW201629815A TW 201629815 A TW201629815 A TW 201629815A TW 104137688 A TW104137688 A TW 104137688A TW 104137688 A TW104137688 A TW 104137688A TW 201629815 A TW201629815 A TW 201629815A
Authority
TW
Taiwan
Prior art keywords
air gap
network
nets
gap pattern
layout
Prior art date
Application number
TW104137688A
Other languages
Chinese (zh)
Other versions
TWI598758B (en
Inventor
何嘉銘
阿達瑞 雷瑪 巴哈德倫 雷歐
徐孟楷
張洸鋐
蘇哿穎
陳文豪
憲信 李
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201629815A publication Critical patent/TW201629815A/en
Application granted granted Critical
Publication of TWI598758B publication Critical patent/TWI598758B/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Abstract

A method performed at least partially by a processor includes performing an air gap insertion process. The air gap insertion process includes sorting a plurality of nets of a layout of an integrated circuit in an order, and inserting, in accordance with the sorted order of the plurality of nets, air gap patterns adjacent to the plurality of nets. The method further includes generating a modified layout of the integrated circuit. The modified layout includes the plurality of nets and the inserted air gap patterns.

Description

用於積體電路佈局產生的方法、裝置以及計算機程式產品 Method, device and computer program product for generating integrated circuit layout

本揭露係關於用於積體電路佈局產生的方法、裝置以及計算機程式產品。 The present disclosure relates to methods, apparatus, and computer program products for use in integrated circuit layout generation.

近來微小化積體電路(IC)的趨勢已經造成較小的裝置,其消耗較低功率,並且以較高速度提供更多功能性。微小化製程已經造成更嚴格的設計與/或製造規格。發展不同的電子設計自動(EDA)製程以產生、最佳化、以及評估IC設計,同時確保符合設計與製造規格。 The recent trend toward miniaturization of integrated circuits (ICs) has resulted in smaller devices that consume lower power and provide more functionality at higher speeds. Miniaturization processes have resulted in more stringent design and/or manufacturing specifications. Develop different electronic design automation (EDA) processes to generate, optimize, and evaluate IC designs while ensuring compliance with design and manufacturing specifications.

本揭露的一些實施例係提供一種方法,該方法係至少部分由處理器進行,該方法包括進行空氣間隙插入製程,該空氣間隙插入製程包括依序分類積體電路之佈局的複數個網;以及根據該等網的分類順序,將空氣間隙圖案插入與該等網相鄰;以及產生該積體電路的修飾佈局,該修飾佈局包括該等網以及該插入的空氣間隙圖案。 Some embodiments of the present disclosure provide a method that is at least partially performed by a processor, the method comprising performing an air gap insertion process, the air gap insertion process comprising a plurality of meshes that sequentially sort the layout of the integrated circuits; Inserting an air gap pattern adjacent to the nets according to a sorting order of the nets; and producing a modified layout of the integrated circuit, the modified layout including the nets and the inserted air gap pattern.

本揭露的一些實施例係提供一種裝置,包括至少一處理器用以進行虛擬網與空氣間隙插入製程,該虛擬網與空氣間隙插入製程包括依序分類積體電路的佈局之複數個網;以及根據該等網的分類順序,插入虛擬網與空氣間隙圖案以與該等網相鄰;以及產生該積 體電路的修飾佈局,該修飾佈局包括該等網、該插入的虛擬網、以及該插入的空氣間隙圖案。 Some embodiments of the present disclosure provide an apparatus including at least one processor for performing a virtual mesh and air gap insertion process, the virtual mesh and air gap insertion process including a plurality of meshes for sequentially arranging the layout of the integrated circuits; a sorting order of the nets, inserting a virtual net and an air gap pattern adjacent to the nets; and generating the product A modified layout of the body circuit, the modified layout including the mesh, the inserted virtual mesh, and the inserted air gap pattern.

本揭露的一些實施例係提供一種計算機程式產品,其包括非暫時計算機可讀取的媒介,其包含指令於其中,當藉由至少一處理器而執行時,造成該至少一處理器進行在積體電路的複數個網中,選擇用於空氣間隙插入的候選網;基於對應的候選網之長度,判斷該候選網的各種縮放比例;基於該候選網的對應縮放比例,估計該候選網的電容;以及基於該候選網之該估計的電容,進行全面佈線、軌道分配以及詳細佈線至少其中之一,產生該積體電路的佈局。 Some embodiments of the present disclosure provide a computer program product comprising a non-transitory computer readable medium, comprising instructions therein, when executed by at least one processor, causing the at least one processor to perform in-product Selecting a candidate network for air gap insertion in a plurality of networks of the body circuit; determining various scaling ratios of the candidate network based on a length of the corresponding candidate network; estimating a capacitance of the candidate network based on a corresponding scaling ratio of the candidate network And performing at least one of full wiring, track distribution, and detailed routing based on the estimated capacitance of the candidate network, resulting in a layout of the integrated circuit.

200A‧‧‧佈局 200A‧‧‧ layout

202、204、206、208、210、212‧‧‧網 202, 204, 206, 208, 210, 212‧‧‧

222、224、226、228‧‧‧空氣間隙圖案 222, 224, 226, 228‧‧ air gap patterns

200B‧‧‧IC 200B‧‧‧IC

231、233‧‧‧導電層 231, 233‧‧‧ conductive layer

232、234‧‧‧介電層 232, 234‧‧‧ dielectric layer

235、244、246、248‧‧‧導電性圖案 235, 244, 246, 248‧‧‧ conductive patterns

236、238、239‧‧‧介電材料 236, 238, 239‧‧‧ dielectric materials

237‧‧‧傳導通路 237‧‧‧Transmission pathway

244、246、264、266‧‧‧空氣間隙 244, 246, 264, 266‧‧ air gap

400A‧‧‧佈局 400A‧‧‧ layout

402、404、406‧‧‧網 402, 404, 406‧‧‧

407、408‧‧‧邊緣 407, 408‧‧‧ edge

411、413、431、435、437‧‧‧空氣間隙圖案 411, 413, 431, 435, 437‧‧ air gap patterns

422、424、426、428‧‧‧網 422, 424, 426, 428‧‧‧

442、444、446、448、450、452‧‧‧網 442, 444, 446, 448, 450, 452‧‧

453、455、457、459‧‧‧空氣間隙圖案 453, 455, 457, 459 ‧ ‧ air gap pattern

600A‧‧‧佈局 600A‧‧‧ layout

602、604、606‧‧‧網 602, 604, 606‧‧‧

608、610‧‧‧虛擬網 608, 610‧‧‧Virtual Network

611、613、615、617‧‧‧空氣間隙圖案 611, 613, 615, 617‧‧ air gap patterns

600B‧‧‧佈局 600B‧‧‧ layout

622、624、626、632‧‧‧網 622, 624, 626, 632‧‧‧

628、630、664、684‧‧‧虛擬網 628, 630, 664, 684‧‧‧ virtual network

600C‧‧‧佈局 600C‧‧‧ layout

652、654、656、658、660、662‧‧‧網 652, 654, 656, 658, 660, 662‧‧

671、673、675、677、679‧‧‧空氣間隙圖案 671, 673, 675, 677, 679‧‧ air gap patterns

600D‧‧‧佈局 600D‧‧‧ layout

691、693、695、677與、699‧‧‧空氣間隙圖案 691, 693, 695, 677 and 699 ‧ air gap patterns

900A‧‧‧佈局 900A‧‧‧ layout

902、904、906、908、910‧‧‧網 902, 904, 906, 908, 910‧‧

912‧‧‧網格線 912‧‧‧ grid lines

913、915‧‧‧空氣間隙圖案 913, 915 ‧ ‧ air gap pattern

922、923‧‧‧網 922, 923‧‧‧

932、934、936‧‧‧候選網 932, 934, 936‧‧‧ candidate network

937、939‧‧‧空氣間隙圖案 937, 939 ‧ ‧ air gap pattern

941、943‧‧‧通路 941, 943‧‧ ‧ access

945、947‧‧‧區域 945, 947‧‧‧ areas

1100‧‧‧計算機系統 1100‧‧‧Computer system

1101‧‧‧處理器 1101‧‧‧ Processor

1102‧‧‧記憶體 1102‧‧‧ memory

1104‧‧‧匯流排 1104‧‧‧ Busbar

1106‧‧‧網路介面(I/F) 1106‧‧‧Network Interface (I/F)

1108‧‧‧輸入/輸出(I/O)裝置 1108‧‧‧Input/Output (I/O) devices

1110‧‧‧儲存器 1110‧‧‧Storage

1114‧‧‧核心 1114‧‧‧ core

1116‧‧‧使用者空間 1116‧‧‧User space

1118‧‧‧硬體組件 1118‧‧‧ hardware components

由以下詳細說明與附隨圖式得以最佳了解本揭露之各方面。注意,根據產業之標準實施方式,各種特徵並非依比例繪示。實際上,為了清楚討論,可任意增大或縮小各種特徵的尺寸。 The aspects of the disclosure are best understood by the following detailed description and accompanying drawings. Note that various features are not drawn to scale in accordance with standard implementations of the industry. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

圖1係根據一些實施例說明至少部分IC設計製程的功能流程圖。 1 is a functional flow diagram illustrating at least a portion of an IC design process in accordance with some embodiments.

圖2A係根據一些實施例說明IC的部分佈局之平面示意圖。 2A is a schematic plan view showing a partial layout of an IC in accordance with some embodiments.

圖2B係根據一些實施例說明所製造的IC之部分剖面圖。 2B is a partial cross-sectional view of an IC fabricated in accordance with some embodiments.

圖3係根據一些實施例說明空氣間隙插入法的流程圖。 3 is a flow chart illustrating an air gap insertion method in accordance with some embodiments.

圖4A至4D係根據一些實施例說明IC佈局之不同部分的平面示意圖。 4A through 4D are schematic plan views illustrating different portions of an IC layout in accordance with some embodiments.

圖5係根據一些實施例說明虛擬網以及空氣間隙插入法的流程圖。 5 is a flow diagram illustrating a virtual network and air gap insertion method in accordance with some embodiments.

圖6A至6D係根據一些實施例說明IC佈局之不同部分 的平面示意圖。 6A-6D illustrate different portions of an IC layout in accordance with some embodiments Plane schematic.

圖7係根據一些實施例說明部分的IC設計製程之流程圖。 7 is a flow chart illustrating a portion of an IC design process in accordance with some embodiments.

圖8係根據一些實施例說明EDA工具之功能流程圖。 8 is a functional flow diagram illustrating an EDA tool in accordance with some embodiments.

圖9A係根據一些實施例說明IC佈局的部分之平面示意圖。 9A is a schematic plan view showing a portion of an IC layout in accordance with some embodiments.

圖9B係根據一些實施例說明用於判斷IC設計中EDA工具所使用之縮放比例的圖式。 9B illustrates a diagram for determining the scale used by an EDA tool in an IC design, in accordance with some embodiments.

圖9C至9E係根據一些實施例說明IC佈局之不同部分的平面示意圖。 9C-9E are schematic plan views illustrating different portions of an IC layout in accordance with some embodiments.

圖10係根據一些實施例說明至少一部分的IC設計製程之功能流程圖。 10 is a functional flow diagram illustrating at least a portion of an IC design process in accordance with some embodiments.

圖11係根據一些實施例說明計算機系統的方塊圖。 11 is a block diagram illustrating a computer system in accordance with some embodiments.

以下揭示內容提供許多不同的實施例或範例,用於實施本申請案之不同特徵。元件與配置的特定範例之描述如下,以簡化本申請案之揭示內容。當然,這些僅為範例,並非用於限制本申請案。例如,以下描述在第二特徵上或上方形成第一特徵可包含形成直接接觸的第一與第二特徵之實施例,亦可包含在該第一與第二特徵之間形成其他特徵的實施例,因而該第一與第二特徵並非直接接觸。此外,本申請案可在不同範例中重複元件符號與/或字母。此重複係為了簡化與清楚之目的,而非支配不同實施例與/或所討論架構之間的關係。 The following disclosure provides many different embodiments or examples for implementing the various features of the present application. Specific examples of components and configurations are described below to simplify the disclosure of the present application. Of course, these are merely examples and are not intended to limit the application. For example, the following description of forming an initial feature on or over a second feature may include forming first and second features of direct contact, and may also include embodiments for forming other features between the first and second features. Thus, the first and second features are not in direct contact. Furthermore, the application may repeat the component symbols and/or letters in different examples. This repetition is for the purpose of simplicity and clarity, and is not intended to govern the relationship between the various embodiments and/or the structures discussed.

圖1係根據一些實施例說明設計製程100之至少一部分的功能流程圖。設計製程100係使用一或多EDA工具,用於在製造IC之前,產生、優化、以及/或評估IC設計。在一些實施例中,EDA工 具係用於執行之一或多組可執行的指令,用以進行所指之功能,如本文所述。 1 is a functional flow diagram illustrating at least a portion of a design process 100 in accordance with some embodiments. The design process 100 uses one or more EDA tools for generating, optimizing, and/or evaluating the IC design prior to fabrication of the IC. In some embodiments, an EDA worker It is used to execute one or more sets of executable instructions for performing the functions referred to, as described herein.

在操作110中,電路設計者提供IC設計。在一些實施例中,IC設計包括示意圖,亦即IC的電路圖。在一些實施例中,所產生或提供的示意圖係網表,例如以積體電路為重點的模擬程式(simulation program with integrated circuit emphasis,SPICE)網表。在一些實施例中,在設計上進行佈局前模擬,以判斷設計是否符合預定的規格。當設計不符合預定規格時,重新設計IC。在至少一實施例中,省略佈局前模擬。 In operation 110, the circuit designer provides the IC design. In some embodiments, the IC design includes a schematic, that is, a circuit diagram of the IC. In some embodiments, the generated or provided schematic is a netlist, such as a simulation program with integrated circuit emphasis (SPICE) netlist. In some embodiments, pre-layout simulations are performed on the design to determine if the design meets predetermined specifications. Redesign the IC when the design does not meet the predetermined specifications. In at least one embodiment, the pre-layout simulation is omitted.

在操作120,以設計為基礎,產生IC佈局。該佈局包括IC之不同電路元件的實體位置,以及與電路元件互連之不同網路。例如,所產生的佈局係圖形設計系統(graphic design system,GDS)檔案。用於描述設計的其他檔案格式係在各種實施例的範圍內。在一些實施例中,係藉由自動配置與佈線(automatic placement and routing,APR)工具。根據一些實施例,範例APR工具的架構與功能性係描述於圖8。 At operation 120, an IC layout is generated based on the design. The layout includes the physical locations of the different circuit components of the IC, as well as the different networks interconnected with the circuit components. For example, the resulting layout is a graphic design system (GDS) file. Other file formats for describing the design are within the scope of various embodiments. In some embodiments, it is through an automatic placement and routing (APR) tool. The architecture and functionality of the example APR tool is depicted in FIG. 8, in accordance with some embodiments.

在操作130,進行虛擬插入製程,將虛擬特徵插入佈局中。在至少一實施例中,虛擬特徵插入之目的係改良產率以及品質。例如,IC生產涉及不同的製程,包含但不限於沉積、光學微影蝕刻、蝕刻、化學機械拋光(chemical mechanical polishing,CMP)、以及類似方法。進行CMP製程用於往回蝕刻以及平坦化傳導材料與/或介電材料,並且在材料移除製程中涉及化學蝕刻與機械研磨。在一些實施例中,虛擬特徵的插入改良所製造之IC中傳導材料的密度,例如金屬的密度,以達到足以確保CMP品質的機械強度。在另一範例中,當相鄰的導電性圖案以大於預定值的間隔彼此寬廣相間時,在製造過程中可能發生金屬偏置效應(metal bias effect),並且造成寬廣相間 的導電性圖案之寬度變得比初始設計的寬度更寬,因而造成非計畫中的阻抗、電容與/或電路效能之變化。在一些實施例中,寬廣相間的傳導材料之間的虛擬特徵之插入係降低金屬偏置效應的可能性,並且改良所製造之IC的品質與/或效能。在至少一實施例中,藉由本文所述之APR工具與/或設計-規則-檢查(DRC)工具進行虛擬插入製程。例如,美國專利第7,801,717與美國專利第8,307,321號皆併入本案作為參考。再者,根據一些實施例,虛擬插入製程係如圖5與6A至6D所述。 At operation 130, a virtual insertion process is performed to insert the virtual features into the layout. In at least one embodiment, the purpose of virtual feature insertion is to improve yield and quality. For example, IC production involves different processes including, but not limited to, deposition, optical microlithography, etching, chemical mechanical polishing (CMP), and the like. A CMP process is performed for etching back and planarizing the conductive material and/or the dielectric material, and chemical etching and mechanical polishing are involved in the material removal process. In some embodiments, the insertion of the dummy features improves the density of the conductive material in the fabricated IC, such as the density of the metal, to achieve a mechanical strength sufficient to ensure CMP quality. In another example, when adjacent conductive patterns are broadly spaced apart from each other by an interval greater than a predetermined value, a metal bias effect may occur during the manufacturing process, and a wide phase is caused. The width of the conductive pattern becomes wider than the width of the original design, thus causing variations in impedance, capacitance, and/or circuit performance in the unplanned. In some embodiments, the insertion of a virtual feature between the broadly spaced conductive materials reduces the likelihood of metal biasing effects and improves the quality and/or performance of the fabricated IC. In at least one embodiment, the virtual insertion process is performed by the APR tool and/or design-rule-check (DRC) tool described herein. For example, U.S. Patent No. 7,801,717 and U.S. Patent No. 8,307,321 are incorporated herein by reference. Again, in accordance with some embodiments, the virtual insertion process is as described in Figures 5 and 6A through 6D.

在操作140,進行空氣間隙插入製程,在佈局中插入空氣間隙圖案。佈局中所插入的空氣間隙圖案會造成所製造的IC中形成空氣間隙,用於降低寄生電容並且改良所製造的IC之效能,如圖2A至2B所示。例如,根據一些實施例,空氣間隙插入製程係如圖3與4A至4D所述。 At operation 140, an air gap insertion process is performed to insert an air gap pattern in the layout. The air gap pattern inserted in the layout causes an air gap to be formed in the fabricated IC for reducing parasitic capacitance and improving the performance of the fabricated IC, as shown in FIGS. 2A to 2B. For example, according to some embodiments, the air gap insertion process is as described in Figures 3 and 4A through 4D.

在操作150,藉由RC萃取工具,進行一電阻與電容(RC)萃取。RC萃取判斷IC中的組件之寄生參數,例如寄生電阻與寄生電容,用於後續操作中的時序與/或功率模擬。此寄生參數並非電路設計者所預期的,而是發生成為IC中的不同組件之架構與/或材料的結果。所萃取的寄生參數係包含在RC技術檔案中。例如,根據一些實施例,RC萃取工具的架構與功能性係如圖10所述。 At operation 150, a resistor and capacitor (RC) extraction is performed by the RC extraction tool. The RC extraction determines parasitic parameters of components in the IC, such as parasitic resistance and parasitic capacitance, for timing and/or power simulation in subsequent operations. This parasitic parameter is not what the circuit designer expects, but rather the result of the architecture and/or material that becomes a different component in the IC. The extracted parasitic parameters are included in the RC technical file. For example, according to some embodiments, the architecture and functionality of the RC extraction tool is as described in FIG.

在一些實施例中,進行一或多次評估以及/或檢查。例如,進行佈局對電路圖(layout-versus-schematic,LVS)檢查,以確保所產生的佈局係對應於設計。再者,例如,藉由DRC工具,進行設計規則檢查,以確保佈局滿足一些製造設計規則,亦即確保IC可被製造。當其中之一檢查失敗時,藉由將製程返回至操作110與/或操作120而對於至少一佈局或設計進行校正。 In some embodiments, one or more evaluations and/or inspections are performed. For example, a layout-versus-schematic (LVS) check is performed to ensure that the resulting layout corresponds to the design. Furthermore, for example, the design rule check is performed by the DRC tool to ensure that the layout satisfies some manufacturing design rules, that is, to ensure that the IC can be manufactured. When one of the checks fails, the at least one layout or design is corrected by returning the process to operation 110 and/or operation 120.

在操作160,進行時序結束檢查(timing sign-off check)(亦指佈局後模擬),以判斷佈局是否符合預定的規格。在一些實施例中,當佈局後模擬指示佈局並未符合預定規格時,例如當有不理想的時間延遲時,藉由將製程返回至操作110至140中任一者,以對於至少一佈局或設計進行校正。不然就是,該佈局傳至操作170進行製造。在一些實施例中,省略一或多個上述操作。 At operation 160, a timing end check is performed (timing sign-off) Check) (also referred to as post-layout simulation) to determine if the layout meets the predetermined specifications. In some embodiments, when the post-layout simulation indicates that the layout does not meet a predetermined specification, such as when there is an undesirable time delay, by returning the process to any of operations 110-140, for at least one layout or Designed for correction. Otherwise, the layout passes to operation 170 for fabrication. In some embodiments, one or more of the above operations are omitted.

圖2A係根據一些實施例說明一部分的IC之佈局200A的平面示意圖。佈局200A包括複數個網202、204、206、208、210與212。佈局200A進一步包括在相應網對之間的複數個空氣間隙圖案222、224、226與228。例如,空氣間隙圖案222係位在網202與網212之間,空氣間隙圖案224係位在網204與206之間,空氣間隙圖案226係位在網206與208之間,以及空氣間隙圖案228係位在網208與210之間。 2A is a plan view showing a layout 200A of a portion of an IC in accordance with some embodiments. The layout 200A includes a plurality of nets 202, 204, 206, 208, 210, and 212. Layout 200A further includes a plurality of air gap patterns 222, 224, 226, and 228 between respective pairs of nets. For example, air gap pattern 222 is between web 202 and web 212, air gap pattern 224 is between webs 204 and 206, air gap pattern 226 is between webs 206 and 208, and air gap pattern 228. The system is between the networks 208 and 210.

雖然未繪示於圖2A中,然而佈局200A進一步包括由複數個網所互連的複數個電路元件。電路元件係主動元件或是被動元件。例如,主動元件包含但不限於電晶體與二極體。例如,電晶體包含但不限於金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect,MOSFET)、互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)電晶體、雙極性互補金氧半導體(bipolar junction transistor,BJT)、高壓電晶體、高頻電晶體、p-通道與/或n-通道場效電晶體(PFET/NFET)等、FinFET、具有上升源極/汲極之平面MOS電晶體。被動元件例如包含但不限於電容器、電感、熔絲、以及電阻器。在一些實施例中,電路元件具有一或多個節點,電子信號從節點出入或從電路元件輸出。在一些實施例中,一對節點係藉由互連而彼此電連接。一組電連接互連形成網。在至少一實施例中,網包括單一互連。在至少一實施例中,IC包括一些互連配置的導電層與介電層。互連係形成在導電層中。在至少一實施例中,網包括在單一導 電層中所形成的一或多個互連。在至少一實施例中,網包括在IC的不同導電層中所形成的互連,以及電連接不同導電層中所形成的互連之一或多個通路。為了簡單說明,在一或多個圖式中說明本文所述之實施例中的不同網係包含單一互連與/或形成於單一導電層中。本文所述之說明亦應用於網包含超過一個互連與/或形成在超過一個導電層中的實施例。 Although not shown in FIG. 2A, layout 200A further includes a plurality of circuit elements interconnected by a plurality of nets. Circuit components are active components or passive components. For example, active components include, but are not limited to, transistors and diodes. For example, the transistor includes, but is not limited to, a metal oxide semiconductor field effect (MOSFET), a complementary metal oxide semiconductor (CMOS) transistor, and a bipolar complementary metal oxide semiconductor (bipolar). Junction transistor, BJT), high voltage transistor, high frequency transistor, p-channel and/or n-channel field effect transistor (PFET/NFET), FinFET, planar MOS transistor with rising source/drain . Passive components include, for example, but are not limited to, capacitors, inductors, fuses, and resistors. In some embodiments, the circuit component has one or more nodes from which electronic signals are output or output. In some embodiments, a pair of nodes are electrically connected to each other by interconnection. A set of electrical connections interconnect to form a mesh. In at least one embodiment, the network includes a single interconnect. In at least one embodiment, the IC includes a conductive layer and a dielectric layer in an interconnect configuration. An interconnect is formed in the conductive layer. In at least one embodiment, the net is included in a single guide One or more interconnects formed in the electrical layer. In at least one embodiment, the mesh includes interconnects formed in different conductive layers of the IC, and electrically interconnects one or more vias formed in the different conductive layers. For simplicity of illustration, the different network systems in the embodiments described herein are illustrated in one or more figures that comprise a single interconnect and/or are formed in a single conductive layer. The descriptions described herein also apply to embodiments in which the web comprises more than one interconnect and/or is formed in more than one conductive layer.

複數個網包括信號網202、204、206、208與210,以及虛擬網212。信號網係用於傳送信號或功率至電路元件的網。信號例如包含但不限於資料信號、控制信號、時脈信號、以及類似者。虛擬網係非用於傳送信號或功率的網。虛擬網例如係漂浮網。在本文所述之說明中,除非特別聲明,否則「網」係指「信號網」與「虛擬網」。 The plurality of networks includes signal networks 202, 204, 206, 208, and 210, and a virtual network 212. A signal network is a network used to transmit signals or power to circuit components. Signals include, for example, but are not limited to, data signals, control signals, clock signals, and the like. A virtual network is a network that is not used to transmit signals or power. The virtual network is, for example, a floating network. In the descriptions given herein, "net" means "signal network" and "virtual network" unless otherwise stated.

空氣間隙圖案222、224、226與228係佈局200A所包含的遮罩層中之圖案。空氣間隙圖案222、224、226與228係覆蓋相鄰網之間對應的空間。例如,空氣間隔圖案222係覆蓋相鄰網222、212之間的間隔。當製造IC時,防止空氣間隙圖案222、224、226與228所覆蓋之間隔中形成介電材料,在相鄰網之間形成對應的空氣間隙,例如,如圖2B所示。 The air gap patterns 222, 224, 226, and 228 are patterns in the mask layer included in the layout 200A. The air gap patterns 222, 224, 226, and 228 cover corresponding spaces between adjacent nets. For example, the air spacing pattern 222 covers the spacing between adjacent webs 222, 212. When the IC is fabricated, a dielectric material is formed in the space covered by the air gap patterns 222, 224, 226, and 228, and a corresponding air gap is formed between adjacent nets, for example, as shown in FIG. 2B.

圖2B係根據一些實施例說明所製造的IC 200B之部分的剖面示意圖。在圖2B的範例中,所製造的IC 200B之部分係對應於圖2A中沿著線II-II之剖面圖式。所製造的IC 200B係包括複數個交錯配置的導電層231、233以及介電層232、234。例如,介電層232係配置於導電層231上方,導電層233係配置於介電層232上方,以及介電層234係配置於導電層233上方。導電層231係包括導電性圖案235,其係電連接至下方導電層或電路元件。導電性圖案235係電耦合至介電層232的介電材料236中的傳導通路237。導電層233係包括介電材料中 的複數個導電性圖案244、246、248。導電性圖案248係藉由傳導通路237而電耦合至導電性圖案235,以於多個導電層中形成網,如本文所述。導電性圖案244、246、248係對應於圖2A之佈局200A中的網204、206與208。空氣間隙264係位在導電性圖案244與246之間。空氣間隙266係位在導電性圖案246與248之間。空氣間隙264、266係對應於圖2A之佈局200A中的空氣間隙圖案224、226。在一或多個實施例中,由於製程變化與/或材料性質,部分的介電材料係存在於空氣間隙與對應之導電性圖案之間。例如,雖然佈局200A中的空氣間隙圖案224係從邊緣至邊緣覆蓋網204、206之間的空間,然而介電材料238的部分265、267仍存在於空氣間隙264與對應導電性圖案244、246之間被覆蓋的空間中。在一些實施例中,空氣間隙從邊緣至邊緣延伸於對應的導電性圖案之間,例如,介電材料238的部分265、267不存在於所製造的IC中。未受到空氣間隙圖案覆蓋之相鄰導電性圖案之間的區域係以介電材料填充。例如,圖2A之佈局200A中的區域229係未被空氣間隙圖案覆蓋,並且將會被所製造的IC 200B中的介電材料238填充。介電層234的介電材料239係位在導電層233上方。在至少一實施例中,由於形成介電材料239的材料與/或製程之相關的一或多個因素,空氣間隙的頂部突出至介電材料239中。例如,空氣間隙266的頂部269突出至介電材料239中。例如,導電性圖案235、244、246、248與/或傳導通路237的材料包含金屬,例如銅。例如,介電材料236、238、239的材料包含但不限於SiNx、SiOx、SiON、SiC、SiBN、SiCBN、或其組合。例如,美國專利第8,456,009號描述空氣間隙形成的製程,其全文併入本案作為參考。所製造的IC之所描述架構係一範例。其他架構亦在不同實施例的範圍內。 2B is a cross-sectional view showing a portion of the fabricated IC 200B in accordance with some embodiments. In the example of FIG. 2B, portions of the fabricated IC 200B correspond to the cross-sectional views along line II-II of FIG. 2A. The fabricated IC 200B includes a plurality of staggered conductive layers 231, 233 and dielectric layers 232, 234. For example, the dielectric layer 232 is disposed above the conductive layer 231, the conductive layer 233 is disposed above the dielectric layer 232, and the dielectric layer 234 is disposed above the conductive layer 233. Conductive layer 231 includes a conductive pattern 235 that is electrically connected to the underlying conductive layer or circuit component. Conductive pattern 235 is electrically coupled to conductive path 237 in dielectric material 236 of dielectric layer 232. Conductive layer 233 is included in the dielectric material A plurality of conductive patterns 244, 246, 248. The conductive pattern 248 is electrically coupled to the conductive pattern 235 by a conductive via 237 to form a mesh in the plurality of conductive layers, as described herein. Conductive patterns 244, 246, 248 correspond to nets 204, 206, and 208 in layout 200A of Figure 2A. The air gap 264 is between the conductive patterns 244 and 246. Air gap 266 is between the conductive patterns 246 and 248. The air gaps 264, 266 correspond to the air gap patterns 224, 226 in the layout 200A of Figure 2A. In one or more embodiments, a portion of the dielectric material is present between the air gap and the corresponding conductive pattern due to process variations and/or material properties. For example, although the air gap pattern 224 in the layout 200A covers the space between the meshes 204, 206 from the edge to the edge, portions 265, 267 of the dielectric material 238 are still present in the air gap 264 and the corresponding conductive patterns 244, 246. Between the spaces being covered. In some embodiments, the air gap extends from the edge to the edge between the corresponding conductive patterns, for example, portions 265, 267 of dielectric material 238 are not present in the fabricated IC. The area between adjacent conductive patterns that are not covered by the air gap pattern is filled with a dielectric material. For example, region 229 in layout 200A of Figure 2A is not covered by the air gap pattern and will be filled with dielectric material 238 in IC 200B being fabricated. Dielectric material 239 of dielectric layer 234 is tied over conductive layer 233. In at least one embodiment, the top of the air gap protrudes into the dielectric material 239 due to one or more factors associated with the material and/or process of forming the dielectric material 239. For example, the top 269 of the air gap 266 protrudes into the dielectric material 239. For example, the material of the conductive patterns 235, 244, 246, 248 and/or the conductive via 237 comprises a metal, such as copper. For example, the materials of dielectric materials 236, 238, 239 include, but are not limited to, SiNx, SiOx, SiON, SiC, SiBN, SiCNN, or combinations thereof. For example, U.S. Patent No. 8,456,009, the disclosure of which is incorporated herein by reference. The described architecture of the fabricated IC is an example. Other architectures are also within the scope of different embodiments.

為了電路微小化之目的,例如IC中的互連與電路元件之傳導結構的密度增加,以及互連與電路元件的尺寸縮小。因此,傳 導結構之間的寄生電容潛在增加,而後潛在增加IC之不同電路元件之間所傳送的信號之功率消耗與/或時間延遲。傳導結構之間的寄生電容係取決於傳導結構之間的絕緣之介電常數。由於空氣的介電常數(約為1)係低於不同介電材料的介電常數,空氣間隙係形成於除了介電材料之外的IC的傳導結構之間,以降低相鄰傳導結構之間的絕緣之整體有效的介電常數,並且降低寄生電容。在一些實施例中,「空氣間隙」係包括空氣、真空、氣體或是物質,其介電常數低於IC之傳導結構之間所形成的介電材料之介電材料。空氣間隙形成有一或多個空氣間隙限制,如本文所述。一些實施例提供不同的空氣間隙插入方法,用於最大化、優化、或增加寄生電容降低的效應,同時滿足空氣間隙限制。 For the purpose of circuit miniaturization, for example, the density of the interconnect structure of the interconnect and the circuit elements in the IC is increased, and the size of the interconnect and circuit components is reduced. Therefore, pass The parasitic capacitance between the conductive structures is potentially increased, which in turn potentially increases the power consumption and/or time delay of the signals transmitted between different circuit elements of the IC. The parasitic capacitance between the conductive structures depends on the dielectric constant of the insulation between the conductive structures. Since the dielectric constant of air (about 1) is lower than the dielectric constant of different dielectric materials, an air gap is formed between the conductive structures of ICs other than the dielectric material to reduce the relationship between adjacent conductive structures. The overall effective dielectric constant of the insulation and the reduction of parasitic capacitance. In some embodiments, the "air gap" includes air, vacuum, gas, or a substance having a dielectric constant lower than that of the dielectric material formed between the conductive structures of the IC. The air gap forms one or more air gap limits, as described herein. Some embodiments provide different air gap insertion methods for maximizing, optimizing, or increasing the effects of parasitic capacitance reduction while meeting air gap limitations.

圖3係根據一些實施例說明空氣間隙插入方法300的流程圖。圖4A至4D係根據一些實施例說明方法300之各種實施的IC佈局400A至400D之不同部分的平面示意圖。方法300包括空氣間隙插入製程305,其中插入空氣間隙圖案以與IC之佈局的複數個網相鄰。方法300進一步包括操作315,其中產生修飾佈局,其包括複數個網與插入之空氣間隙圖案。 FIG. 3 is a flow chart illustrating an air gap insertion method 300 in accordance with some embodiments. 4A through 4D are schematic plan views illustrating different portions of IC layouts 400A through 400D of various implementations of method 300, in accordance with some embodiments. The method 300 includes an air gap insertion process 305 in which an air gap pattern is inserted to be adjacent to a plurality of nets of the layout of the IC. The method 300 further includes an operation 315 in which a modified layout is generated that includes a plurality of meshes and an interposed air gap pattern.

在圖3之空氣間隙插入製程305的操作325,積體電路之佈局或部分佈局中的複數個網係順序分類。在一些實施例中,藉由網之對應長度(本文中亦指網長度),分類複數個網。例如,如圖4A所示,佈局400A的層包括網402、404、406。網404係與網402、406相鄰,並且藉由邊緣至邊緣間隔s而與網402、406相隔。例如,間隔s係網402之邊緣407與網404之邊緣408之間的距離。間隔s滿足空氣間隙插入之限制。例如,間隔s係等於或小於空氣間隙插入之最大間隔。當相鄰網之間的間隔係大於空氣間隙插入的最大間隔時,相鄰網之間不插入空氣間隙圖案。在至少一實施例中,間隔s係IC之設計與/或製 造規格所允許的相鄰網之間的最小間隔,並且允許空氣間隙僅插入間隔s的兩鄉鄰網之間。在圖4A的範例架構中,網404的長度(在圖4A中係以「Length」表示)係大於網402的長度,其係大於網406的長度。網402、404、406係以對應長度的順序分類,亦即以網404、網404、網406之順序。 In operation 325 of the air gap insertion process 305 of FIG. 3, the plurality of network systems in the layout or partial layout of the integrated circuit are sequentially sorted. In some embodiments, a plurality of networks are classified by the corresponding length of the network (also referred to herein as the length of the network). For example, as shown in FIG. 4A, the layers of layout 400A include nets 402, 404, 406. Net 404 is adjacent to nets 402, 406 and is separated from nets 402, 406 by edge-to-edge spacing s. For example, the spacing s is the distance between the edge 407 of the network 402 and the edge 408 of the mesh 404. The interval s satisfies the limitation of the air gap insertion. For example, the interval s is equal to or less than the maximum interval at which the air gap is inserted. When the spacing between adjacent nets is greater than the maximum spacing of air gap insertion, no air gap pattern is inserted between adjacent nets. In at least one embodiment, the spacing s is the design and/or system of the IC The minimum spacing between adjacent networks allowed by the specification is made, and the air gap is allowed to be inserted only between the two adjacent networks of the interval s. In the example architecture of FIG. 4A, the length of the net 404 (represented by "Length" in FIG. 4A) is greater than the length of the net 402, which is greater than the length of the net 406. The nets 402, 404, 406 are sorted in the order of corresponding lengths, that is, in the order of the net 404, the net 404, and the net 406.

在分類複數個網之後,根據複數個網之分類順序,插入空氣間隙圖案以與複數個網相鄰。例如,在圖3的空氣間隙插入製程305的操作335,指標i設定為1。此對應於在IC之所分類的網之間選擇一第一網用於空氣間隙插入。在圖4A的範例架構中,在具有較短長度的其他網402、406之前,選擇具有最長長度的網404用於空氣間隙插入。 After classifying the plurality of nets, the air gap pattern is inserted to be adjacent to the plurality of nets according to the sorting order of the plurality of nets. For example, in operation 335 of the air gap insertion process 305 of FIG. 3, the indicator i is set to one. This corresponds to selecting a first net for air gap insertion between the networks classified by the IC. In the example architecture of Figure 4A, prior to other webs 402, 406 having shorter lengths, the web 404 having the longest length is selected for air gap insertion.

在圖3的空氣間隙插入製程305之操作345,插入至少一空氣間隙圖案以與目前所選的網,亦即Net[i],相鄰。在圖4A的範例架構中,插入與目前所選的網404相鄰的空氣間隙圖案411、413。在目前所選的網404與相鄰網402之間插入空氣間隙圖案411,以降低網402、404之間的寄生電容。在目前所選的網404與另一相鄰網406之間插入空氣間隙圖案413,以降低網404、406之間的寄生電容。 At operation 345 of the air gap insertion process 305 of FIG. 3, at least one air gap pattern is inserted to be adjacent to the currently selected mesh, namely Net[i]. In the example architecture of FIG. 4A, air gap patterns 411, 413 adjacent to the currently selected mesh 404 are inserted. An air gap pattern 411 is inserted between the currently selected mesh 404 and the adjacent mesh 402 to reduce parasitic capacitance between the meshes 402, 404. An air gap pattern 413 is inserted between the currently selected mesh 404 and another adjacent mesh 406 to reduce parasitic capacitance between the meshes 404, 406.

在圖3的空氣間隙製程305之操作355,判斷已經插入的空氣間隙圖案是否滿足限制。在圖4A的範例架構中,判斷已經插入的空氣間隙圖案411、413滿足限制。在一些實施例中,限制包括空氣間隙密度,亦即插入的空氣間隙圖案所覆蓋之空氣間隙的整體面積與所欲形成空氣間隙之層的整體面積之比例。該層的整體面積係包括層中的傳導結構(例如,網)之面積以及網之間絕緣(例如,空氣間隙與介電材料)的面積。當已經插入的空氣間隙圖案之空氣間隙密度係大於預定的空氣間隙密度限制時,層的機械強度係潛在不足以抵抗製造過程中與/或終產物中的應力。在一些實施例中,空氣間隙密度限制 係50%。其他的空氣間隙密度限制值以及/或除了空氣間隙密度之外的限制皆在不同的實施例之範圍內。 At operation 355 of the air gap process 305 of FIG. 3, it is determined whether the air gap pattern that has been inserted satisfies the limit. In the example architecture of FIG. 4A, it is determined that the air gap patterns 411, 413 that have been inserted satisfy the limit. In some embodiments, the restriction includes an air gap density, that is, a ratio of an overall area of the air gap covered by the inserted air gap pattern to an overall area of the layer in which the air gap is to be formed. The overall area of the layer includes the area of the conductive structure (eg, mesh) in the layer and the area of insulation between the mesh (eg, air gap and dielectric material). When the air gap density of the air gap pattern that has been inserted is greater than a predetermined air gap density limit, the mechanical strength of the layer is potentially insufficient to resist stresses in the manufacturing process and/or in the final product. In some embodiments, air gap density limits 50%. Other air gap density limits and/or limitations other than air gap density are within the scope of different embodiments.

在圖3的空氣間隙插入製程305的操作365,響應已經插入的空氣間隙滿足限制之判斷(亦即在操作355為「是」),指標i增加。這對應於在IC之分類的網之間選擇下一網用於空氣間隙插入。在圖4的範例架構中,選擇下一網,亦即網402,用於空氣間隙插入。而後,製程返回至操作345,其中插入與網402相鄰之至少一空氣間隙圖案,例如在網402與相鄰於網402的另一網之間。而後,製程進行至操作355,判斷已經插入的空氣間隙圖案,例如空氣間隙圖案411、413以及插入在相鄰於網402的一或多個空氣間隙圖案,是否滿足限制。響應已經插入之空氣間隙圖案滿足限制之判斷(在操作355為「是」),再次增加指標i,並且進行操作345與355用於下一網,例如圖4A的網406。 At operation 365 of the air gap insertion process 305 of FIG. 3, the index i is incremented in response to the determination that the inserted air gap satisfies the limit (ie, YES at operation 355). This corresponds to selecting the next net between the networks classified by the IC for air gap insertion. In the example architecture of Figure 4, the next network, i.e., network 402, is selected for air gap insertion. The process then returns to operation 345, where at least one air gap pattern adjacent to the mesh 402 is inserted, such as between the mesh 402 and another network adjacent to the mesh 402. Then, the process proceeds to operation 355 where it is determined whether the air gap patterns that have been inserted, such as the air gap patterns 411, 413, and one or more air gap patterns inserted adjacent to the mesh 402, satisfy the limit. In response to the assertion that the inserted air gap pattern satisfies the limit ("YES" at operation 355), the indicator i is incremented again, and operations 345, and 355 are performed for the next network, such as network 406 of FIG. 4A.

在圖3的空氣間隙插入製程305之操作375,響應已經插入的空氣間隙圖案不滿足限制之判斷(在操作355為「否」),移除最後插入的空氣間隙圖案,而後製程進行至操作315,產生IC的一修飾佈局,其具有已經插入的剩餘空氣間隙圖案。例如,當目前網為圖4A的範例架構中之網402,並且操作355係指已經插入的空氣間隙圖案未滿足限制時,移除與網402相鄰之插入的至少一空氣間隙圖案。在一些實施例中,響應當已經插入一些空氣間隙圖案與目前網相鄰時而滿足限制之判斷,移除與目前網相鄰之插入的所有空氣間隙圖案。在一些實施例中,響應當已經插入一些空氣間隙圖案與目前網相鄰時而未滿足限制之判斷,將與目前網相鄰之插入的空氣間隙圖案一個一個移除,直到滿足限制。其他配置係在各種實施例的範圍內。 In operation 375 of the air gap insertion process 305 of FIG. 3, in response to the determination that the inserted air gap pattern does not satisfy the limit (NO at operation 355), the last inserted air gap pattern is removed, and the process proceeds to operation 315. A modified layout of the IC is produced with the remaining air gap pattern that has been inserted. For example, when the current mesh is the mesh 402 in the example architecture of FIG. 4A, and operation 355 refers to the air gap pattern that has been inserted that does not meet the limit, at least one air gap pattern inserted adjacent to the mesh 402 is removed. In some embodiments, all air gap patterns inserted adjacent to the current mesh are removed in response to a determination that the limit is satisfied when some of the air gap patterns have been inserted adjacent to the current mesh. In some embodiments, in response to the determination that the air gap pattern has been inserted adjacent to the current web without satisfying the limit, the inserted air gap patterns adjacent to the current web are removed one by one until the limit is met. Other configurations are within the scope of various embodiments.

在一些實施例中,藉將IC中或部分IC中的複數個網分類,根據網的對應長度,在較短長度的網之前,對於較長長度的網, 插入空氣間隙圖案。因此,在一或多個實施例中,沿著長網,對於網電容,優化寄生電容降低,長網對於時序延遲與電路效能比短網潛在具有更大的影響。所描述之依往常度的分類係範例。其他分類配置係在各種實施例的範圍內。 In some embodiments, by classifying a plurality of networks in an IC or a portion of an IC, according to a corresponding length of the network, before a shorter length of the network, for a longer length of the network, Insert an air gap pattern. Thus, in one or more embodiments, along the long net, the parasitic capacitance reduction is optimized for the grid capacitance, and the long net has a greater impact on timing delay and circuit performance than the short network potential. An example of a conventional classification described. Other classification configurations are within the scope of various embodiments.

在一些實施例中,藉由投射長度(projection length),將IC或是部分IC中的網分類。一對相鄰網的投射長度係該相鄰網沿著彼此延伸的長度。在圖4B的範例架構中,網422、424的投射長度a係網422、424沿著彼此延伸的長度。投射長度a係對應於可插入在網422、424之間的空氣間隙之長度。網424、426的投射長度b係網424、426沿著彼此延伸的長度。投射長度b係對應於網424、426之間可插入的空氣間隙之長度。網426、428的投射長度c係網426、428沿著彼此延伸的長度。投射長度c係對應於網426、428之間可插入的空氣間隙之長度。在圖4B的架構範例中,投射長度a係大於投射長度c,其係大於投射長度b。 In some embodiments, the IC or a network in a portion of the IC is classified by a projection length. The projected length of a pair of adjacent nets is the length along which the adjacent nets extend along each other. In the example architecture of FIG. 4B, the projected length a of the nets 422, 424 is the length along which the nets 422, 424 extend along each other. The projected length a corresponds to the length of the air gap that can be inserted between the nets 422, 424. The projected length b of the nets 424, 426 is the length along which the nets 424, 426 extend along each other. The projected length b corresponds to the length of the air gap that can be inserted between the nets 424, 426. The projected length c of the nets 426, 428 is the length along which the nets 426, 428 extend along each other. The projected length c corresponds to the length of the air gap that can be inserted between the nets 426, 428. In the architectural example of FIG. 4B, the projection length a is greater than the projection length c, which is greater than the projection length b.

在一些實施例中,在藉由對應的投射長度分類網之後,在具有較短的投射長度的網之前,在具有較長投射長度的網之間插入空氣間隙,以及檢查限制,如圖3的操作335、345、355、365與375所述。在圖4B的架構範例中,先在具有最長投射長度a的網422、424之間,插入空氣間隙圖案431。判斷插入的空氣間隙圖案431是否滿足限制。假設插入的空氣間隙圖案431滿足限制,製程進行至在具有第二長的投射長度c之網426、428之間插入空氣間隙圖案435。判斷插入的空氣間隙圖案435是否滿足限制。假受插入的空氣間隙圖案431、435滿足限制,製程進行至在具有投射長度b的網424、426之間,插入空氣間隙圖案(如圖4C的437所示)。判斷插入的空氣間隙圖案,例如空氣間隙圖案431、435以及網424、426之間插入的空氣間隙圖案是否滿足限制。假設插入的空氣間隙圖案未滿足限制,移除網 424、426之間最後插入的空氣間隙圖案(如圖4C中437所示)。產生修飾佈局,其具有網422、424、426、428以及插入的空氣間隙圖案431、435,如圖4B所示。在實施如圖4B所示之分類配置的一或多個實施例中,優化寄生電容降低,用於耦合網之間的電容。 In some embodiments, after sorting the mesh by the corresponding projected length, inserting an air gap between the nets having a longer projected length, and checking the limits, as in FIG. 3, before the net having a shorter projected length Operations 335, 345, 355, 365, and 375 are described. In the architectural example of FIG. 4B, an air gap pattern 431 is first inserted between the nets 422, 424 having the longest projection length a. It is judged whether or not the inserted air gap pattern 431 satisfies the limit. Assuming that the inserted air gap pattern 431 meets the limit, the process proceeds to insert an air gap pattern 435 between the nets 426, 428 having the second long projected length c. It is judged whether or not the inserted air gap pattern 435 satisfies the limit. If the inserted air gap patterns 431, 435 satisfy the limit, the process proceeds to insert an air gap pattern between the webs 424, 426 having the projected length b (shown as 437 in Figure 4C). It is judged whether or not the inserted air gap pattern, such as the air gap patterns 431, 435, and the air gap pattern inserted between the nets 424, 426 satisfy the restriction. Assume that the inserted air gap pattern does not meet the limit, remove the net The last inserted air gap pattern between 424, 426 (shown as 437 in Figure 4C). A modified layout is created having nets 422, 424, 426, 428 and interposed air gap patterns 431, 435, as shown in Figure 4B. In implementing one or more embodiments of the sorting configuration shown in Figure 4B, the parasitic capacitance reduction is optimized for coupling the capacitance between the nets.

在一些實施例中,藉由成本函數(cost function)分類IC或部分IC中的網。例如,成本函數Cost1係沿著每個網的投射長度之總和,如以下方程式所判斷: 其中i係指在複數個網中的第i個網,N係複數個網之中與第i個網相鄰的網數,j係指在N個網之中與第i個網相鄰的第j個網,Proj_Length(j)係第i個網與第j個網沿著彼此延伸的投射長度,以及Length(i)係第i個網的長度。 In some embodiments, the network in the IC or part of the IC is classified by a cost function. For example, the cost function Cost1 is the sum of the projected lengths along each net, as judged by the following equation: Where i is the i-th network in a plurality of networks, N is the number of networks adjacent to the i-th network among the plurality of networks, and j is adjacent to the i-th network among the N networks The jth net, Proj_Length(j) is the projection length of the i-th net and the j-th net extending along each other, and the length of the i-th net of the Length(i).

在圖4C的範例架構中,考慮使用如圖4B所示之相同的網422、424、426與428用於空氣間隙插入。藉由成本函數Cost1取代圖4B所述之投射長度,將圖4C中的網422、424、426與428分類。對於網422,成本函數Cost1係投射長度a。對於網424,成本函數Cost1係投射長度a加上投射長度b的加總,投射長度b係網422、424、426、428之間最大的。對於網426,成本函數Cost1係投射長度b與投射長度c的加總,投射長度c係網422、424、426、428之間第二大的。對於網428,成本函數Cost1係投射長度c,其係網422、424、426、428之間最小的。因此,依以下順序將網分類:網424、網426、網422以及網428。 In the example architecture of Figure 4C, it is contemplated to use the same meshes 422, 424, 426, and 428 as shown in Figure 4B for air gap insertion. The nets 422, 424, 426 and 428 in Fig. 4C are sorted by replacing the projection length described in Fig. 4B with the cost function Cost1. For the net 422, the cost function Cost1 is the projection length a. For the net 424, the cost function Cost1 is the sum of the projection length a plus the projection length b, and the projection length b is the largest between the networks 422, 424, 426, 428. For the net 426, the cost function Cost1 is the sum of the projected length b and the projected length c, and the projected length c is the second largest between the nets 422, 424, 426, 428. For the net 428, the cost function Cost1 is the projected length c, which is the smallest between the networks 422, 424, 426, 428. Therefore, the networks are classified in the following order: network 424, network 426, network 422, and network 428.

在一些實施例中,藉由成本函數Cost1分類網之後,在具有較小Cost1的網之前,將空氣間隙圖案插入與具有較大Cost1的 網相鄰,以及檢查限制,如圖3中的操作335、345、355、365與375所述。在圖4C的範例架構中,在其他網422、426、428之前,將空氣間隙圖案431與437插入以與具有最大Cost1的網424相鄰。判斷插入的空氣間隙圖案431、437是否滿足限制。假設插入的空氣間隙圖案431、437滿足限制,由於網426具有第二大的Cost1,製程進行至將空氣間隙圖案插入在網426、428之間(如圖4B中的435所示)。判斷插入的空氣間隙圖案431、437以及網426、428之間插入的空氣間隙圖案是否滿足限制。假設插入的空氣間隙圖案未滿足限制,移除網426、428之間最後插入的空氣間隙圖案(如圖4B中的435所示)。產生一修飾佈局,其具有網422、424、426與428,以及插入的空氣間隙圖案431、437,如圖4C所示。在實施圖4C所述之使用成本函數Cost1的分類配置之一或多個實施例中,對於網的總電容,優化寄生電容降低。 In some embodiments, after classifying the net by the cost function Cost1, inserting the air gap pattern with a larger Cost1 before the net with a smaller Cost1 The nets are adjacent, as well as the check limits, as described in operations 335, 345, 355, 365, and 375 in FIG. In the example architecture of FIG. 4C, prior to the other nets 422, 426, 428, the air gap patterns 431 and 437 are inserted adjacent to the net 424 having the largest Cost1. It is judged whether or not the inserted air gap patterns 431, 437 satisfy the limit. Assuming that the inserted air gap patterns 431, 437 meet the constraints, since the mesh 426 has the second largest Cost1, the process proceeds until the air gap pattern is inserted between the meshes 426, 428 (shown as 435 in Figure 4B). It is judged whether or not the air gap pattern inserted between the inserted air gap patterns 431, 437 and the nets 426, 428 satisfies the limit. Assuming that the inserted air gap pattern does not meet the limit, the last inserted air gap pattern between the meshes 426, 428 is removed (as indicated by 435 in Figure 4B). A modified layout is produced having nets 422, 424, 426 and 428, and interposed air gap patterns 431, 437, as shown in Figure 4C. In one or more embodiments of implementing the classification configuration using the cost function Cost1 described in FIG. 4C, the parasitic capacitance reduction is optimized for the total capacitance of the network.

根據一些實施例,另一範例成本函數Cost2係每一個網的成本函數Cost1與網的長度之比例,由以下方程式所判斷:Cost2(i)=Cost1(i)/Length(i) (2) According to some embodiments, another example cost function Cost2 is the ratio of the cost function Cost1 of each network to the length of the network, as judged by the following equation: Cost2(i)=Cost1(i)/Length(i) (2)

在圖4D的範例架構中,網442、446、448、450與452具有相同的長度L,以及網444具有長度2L。對於網444與450,成本函數Cost1係2L。然而,網444的長度係2L,而網450的長度係L。因此,網444的成本函數Cost2係1,以及網450的成本函數Cost2係2。當藉由成本函數Cost2將網分類時,具有較大Cost2的網450之排序係高於具有較小Cost2的網444。 In the example architecture of FIG. 4D, nets 442, 446, 448, 450, and 452 have the same length L, and net 444 has a length of 2L. For nets 444 and 450, the cost function Cost1 is 2L. However, the length of the net 444 is 2L, while the length of the net 450 is L. Therefore, the cost function Cost2 of the network 444 is 1, and the cost function Cost2 of the network 450 is 2. When the network is classified by the cost function Cost2, the ranking of the network 450 having the larger Cost2 is higher than that of the network 444 having the smaller Cost2.

在一些實施例中,藉由成本函數Cost2分類網之後,在具有較小的Cost2的網之前,將空氣間隙圖案插入以與具有較大Cost2的網相鄰,以及檢查限制,如圖3中的操作335、345、355、365與375所述。例如,在將空氣間隙圖案457、459插入以與較低排序的網444相鄰之前,將空氣間隙圖案453、455插入以與較高排序的網450 相鄰。在實施圖4D所述之使用成本函數Cost2之分類配置的一或多個實施例之前,優化寄生電容降低,用於網的總電容與網長度之比例。 In some embodiments, after classifying the net by the cost function Cost2, the air gap pattern is inserted adjacent to the net with the larger Cost2 before the net with the smaller Cost2, and the check limit is checked, as in FIG. Operations 335, 345, 355, 365, and 375 are described. For example, prior to inserting the air gap patterns 457, 459 adjacent to the lower ranked mesh 444, the air gap patterns 453, 455 are inserted to match the higher ranked mesh 450. Adjacent. Prior to implementing one or more embodiments of the classification configuration using the cost function Cost2 described in FIG. 4D, the parasitic capacitance reduction is optimized for the ratio of the total capacitance of the network to the length of the network.

所描述之分類配置係範例,其他分類配置係在各種實施例的範圍之內。在一些實施例中,在不同部分的IC,施用不同的分類配置。在一些實施例中,當分類配置造成在後續階段未通過評估或檢查的空氣間隙圖案時,施用另一分類配置,再次產生空氣間隙圖案。 The described classification configurations are examples, and other classification configurations are within the scope of various embodiments. In some embodiments, different classification configurations are applied at different portions of the IC. In some embodiments, when the sorting configuration results in an air gap pattern that has not passed the evaluation or inspection at a later stage, another sorting configuration is applied, again creating an air gap pattern.

在一些實施例中,寄生電容降低優化製程不僅涉及空氣間隙插入,亦涉及虛擬網插入。 In some embodiments, the parasitic capacitance reduction optimization process involves not only air gap insertion but also virtual mesh insertion.

圖5係根據一些實施例說明虛擬網與空氣間隙插入方法500的流程圖。圖6A至6B係根據一些實施例說明IC佈局600A至600B之不同部分以說明方法500之不同實施的概示平面圖。方法500包括虛擬網與空氣間隙插入製程505,其中將虛擬網與空氣間隙圖案插入以與IC之佈局的複數個網相鄰。方法500進一步包括操作515,其中產生一修飾佈局,其包括複數個網與插入的虛擬網與空氣間隙圖案。 FIG. 5 is a flow diagram illustrating a virtual mesh and air gap insertion method 500 in accordance with some embodiments. 6A-6B are schematic plan views illustrating different portions of IC layouts 600A-600B to illustrate different implementations of method 500, in accordance with some embodiments. The method 500 includes a virtual mesh and air gap insertion process 505 in which a virtual mesh and air gap pattern are inserted adjacent to a plurality of nets in the layout of the IC. The method 500 further includes an operation 515 in which a modified layout is generated that includes a plurality of nets and an inserted virtual mesh and air gap pattern.

在圖5的虛擬網與空氣間隙插入製程505的操作525,在積體電路的佈局中或部分佈局中的複數個網被依序分類。在一些實施例中,藉由對應的網長度,分類複數個網,如圖4A所示。例如,如圖6A所示,佈局600A的層係包括網602、604、606。相較於網602、606,網604具有最長的長度(在圖6A中以「Length」表示)。 In operation 525 of the virtual mesh and air gap insertion process 505 of FIG. 5, a plurality of nets in the layout of the integrated circuit or in a partial layout are sequentially sorted. In some embodiments, a plurality of nets are sorted by corresponding network lengths, as shown in Figure 4A. For example, as shown in FIG. 6A, the layer of layout 600A includes meshes 602, 604, 606. The net 604 has the longest length (indicated by "Length" in Fig. 6A) compared to the nets 602, 606.

在分類複數個網之後,根據複數個網的分類順序,將虛擬網與空氣間隙圖案插入以與複數個網相鄰。例如,在圖5的虛擬網與空氣間隙插入製程505的操作535,指標i係設定為1。這相當於在IC的分類網之間選擇第一網用於虛擬網與空氣間隙插入。在圖6A的範例架構中,在具有較短長度的其他網602、606之前,選擇具有最長 長度的網604,用於虛擬網與空氣間隙插入。 After classifying the plurality of nets, the virtual net and the air gap pattern are inserted to be adjacent to the plurality of nets according to the sorting order of the plurality of nets. For example, in operation 535 of the virtual mesh and air gap insertion process 505 of FIG. 5, the indicator i is set to one. This is equivalent to selecting the first network between the classification networks of the IC for virtual network and air gap insertion. In the example architecture of Figure 6A, the selection has the longest before other networks 602, 606 having shorter lengths A length of network 604 for virtual network and air gap insertion.

在圖5的虛擬網與空氣間隙插入製程505的操作540,插入至少一虛擬網以與目前所選擇的網相鄰,亦即Net[i]。在圖6A的範例架構中,以間隔s插入虛擬網608、610以與目前選擇的網604相鄰,該間隔s准許空氣間隙圖案插入在虛擬網608、610以及目前所選擇的網604之間。 In operation 540 of the virtual network and air gap insertion process 505 of FIG. 5, at least one virtual network is inserted to be adjacent to the currently selected network, namely Net[i]. In the example architecture of FIG. 6A, virtual networks 608, 610 are inserted at intervals s adjacent to the currently selected network 604, which allows the air gap pattern to be inserted between the virtual networks 608, 610 and the currently selected network 604. .

在圖5的虛擬網與空氣間隙製程505的操作545,插入至少一空氣間隙圖案與目前所選擇的網相鄰,亦即Net[i]。在圖6A的範例架構中,插入空氣間隙圖案611、613、615及617與目前所選擇的網604相鄰。具體而言,一方面在目前所選擇的網604且另一方面在對應的網608與610之間,插入空氣間隙圖案611、613、615與617,以降低對應相鄰網之間的寄生電容。 At operation 545 of the virtual mesh and air gap process 505 of FIG. 5, at least one air gap pattern is inserted adjacent to the currently selected mesh, namely Net[i]. In the example architecture of FIG. 6A, the interposed air gap patterns 611, 613, 615, and 617 are adjacent to the currently selected mesh 604. Specifically, on the one hand, between the currently selected net 604 and on the other hand between the corresponding nets 608 and 610, air gap patterns 611, 613, 615 and 617 are inserted to reduce parasitic capacitance between corresponding adjacent nets. .

在圖5的虛擬網與空氣間隙插入製程505的操作555,判斷已經插入的空氣間隙圖案是否滿足限制,如圖3的操作355所述。 At operation 555 of the virtual mesh and air gap insertion process 505 of FIG. 5, it is determined whether the air gap pattern that has been inserted satisfies the limit, as described in operation 355 of FIG.

在圖5的虛擬網與空氣間隙插入製程505的操作565,響應已經插入的空氣間隙圖案滿足限制之判斷(在操作555為「是」),指標i增加,以及製程返回至操作540與545,對於IC的分類網之間的下一個網,插入至少一虛擬網與至少一空氣間隙圖案,如圖3的操作365所述。 In operation 565 of the virtual mesh and air gap insertion process 505 of FIG. 5, in response to the determination that the inserted air gap pattern satisfies the limit ("YES" at operation 555), the index i is incremented, and the process returns to operations 540 and 545, For the next network between the classification networks of the IC, at least one virtual network and at least one air gap pattern are inserted, as described in operation 365 of FIG.

在圖5的虛擬網與空氣間隙插入製程505的操作575,響應已經插入的空氣間隙圖案不滿足限制之判斷(在操作555為「否」),移除最後插入的空氣間隙圖案,而後製程進行至操作515,如圖3的操作375所述。 In operation 575 of the virtual mesh and air gap insertion process 505 of FIG. 5, in response to the determination that the inserted air gap pattern does not satisfy the limit (NO at operation 555), the last inserted air gap pattern is removed, and then the process is performed. To operation 515, as described in operation 375 of FIG.

在一些實施例中,響應已經插入的空氣間隙圖案不滿足限制之判斷,移除最後插入的空氣間隙圖案,並且移除最後插入的虛擬網。在一些實施例中,響應當已經插入一些空氣間隙圖案及/或 虛擬網與目前的網相鄰時而未滿足限制之判斷,移除插入與目前網相鄰的所有空氣間隙圖案與虛擬網。在一些實施例中,響應當已經插入一些空氣間隙圖案及虛擬網與目前的網相鄰時而為滿足限制之判斷,一個一個移除與目前網相鄰的空氣間隙圖案,直到滿足限制。當目前網與插入的虛擬網之間所插入的空氣間隙圖案移除時,亦移除虛擬網。其他配置係在各種實施例的範圍之內。 In some embodiments, in response to the determination that the already inserted air gap pattern does not satisfy the limit, the last inserted air gap pattern is removed and the last inserted virtual net is removed. In some embodiments, the response when some air gap patterns have been inserted and/or When the virtual network is adjacent to the current network and does not satisfy the limitation, the air gap pattern and the virtual network adjacent to the current network are removed. In some embodiments, in response to the determination that the limit is satisfied when some air gap patterns have been inserted and the virtual network is adjacent to the current network, the air gap pattern adjacent to the current network is removed one by one until the limit is met. When the air gap pattern inserted between the current network and the inserted virtual network is removed, the virtual network is also removed. Other configurations are within the scope of various embodiments.

根據一些實施例,方法500可達到方法300之一或多個優點與/或效應。在至少一實施例中,額外插入虛擬網會增加空氣間隙覆蓋。例如,在圖4A的佈局400A中,插入兩個空氣間隙圖案411、413,而在圖6A的佈局600A中,插入四個空氣間隙圖案611、613、615、617。因此,在至少一實施例中,藉由方法500,進一步增進寄生電容降低效應。 Method 500 may achieve one or more advantages and/or effects of method 300, in accordance with some embodiments. In at least one embodiment, the additional insertion of the virtual mesh increases air gap coverage. For example, in the layout 400A of FIG. 4A, two air gap patterns 411, 413 are inserted, and in the layout 600A of FIG. 6A, four air gap patterns 611, 613, 615, 617 are inserted. Thus, in at least one embodiment, the method 500 can further enhance the parasitic capacitance reduction effect.

在一些實施例中,藉由成本函數,將IC或部分IC中的網分類。範例成本函數Cost3係依以下方程式判斷: 其中i係指複數個網中的第i個網,N係指在複數個網之中與第i個網相鄰的網數目,j係第i個網與第j個網沿著彼此延伸的投射長度,Cair_gap係第i個網與第j個網之間的單位耦合電容,P係與第i個網相鄰之可插入的虛擬網數目,k係指可插入與第i個網相鄰之P個虛擬網之中的第k個網,Dummy_Length(k)係第k個虛擬網的長度,以及Cdummy係第k個虛擬網的單位耦合電容。 In some embodiments, the network in the IC or part of the IC is classified by a cost function. The example cost function Cost3 is judged by the following equation: Where i is the i-th network in the plurality of networks, N is the number of networks adjacent to the i-th network among the plurality of networks, and the j-th i-th net and the j-th net extend along each other Projection length, Cair_gap is the unit coupling capacitance between the i-th network and the j-th network, P is the number of insertable virtual networks adjacent to the i-th network, and k is insertable adjacent to the i-th network The kth network among the P virtual networks, Dummy_Length(k) is the length of the kth virtual network, and the unit coupling capacitance of the kth virtual network of the Cdummy system.

在圖6B的範例架構中,佈局600B包括網622、624、 626與632。網624相對於相鄰網622與626的投射長度之判斷係如圖4B所述。基於網622、624、626與632的尺寸以及/或投射長度,判斷可插入與網624相鄰的虛擬網628、630,以及虛擬網628、630的尺寸。基於可插入的虛擬網628、630之尺寸,判斷與插入在相鄰於網624的虛擬網之電容相關的成本函數Cost3(或Cap_Cost)。以相似的方式,判斷與插入在相鄰於其他網622、626與632的虛擬網之電容相關的成本函數Cost3,並且藉由成本函數Cost3,分類網622、624、626與632。而後,製程插入虛擬網與空氣間隙圖案,如圖5所述。根據使用成本函數Cost3而實施分類配置的一或多個實施例,優化寄生電容降低,用於網的總電容。 In the example architecture of FIG. 6B, layout 600B includes nets 622, 624, 626 and 632. The determination of the projected length of the web 624 relative to adjacent webs 622 and 626 is as described in Figure 4B. Based on the size and/or projected length of the nets 622, 624, 626, and 632, it is determined that the virtual nets 628, 630, and the virtual nets 628, 630 can be inserted adjacent to the net 624. Based on the size of the pluggable virtual network 628, 630, a cost function Cost3 (or Cap_Cost) associated with the capacitance inserted in the virtual network adjacent to the network 624 is determined. In a similar manner, the cost function Cost3 associated with the capacitance inserted in the virtual network adjacent to the other networks 622, 626 and 632 is determined, and the network 622, 624, 626 and 632 are classified by the cost function Cost3. The process then inserts the virtual network and air gap pattern as illustrated in FIG. One or more embodiments of the classification configuration are implemented in accordance with the cost function Cost3, which optimizes the parasitic capacitance reduction for the total capacitance of the network.

藉由以下的方程式判斷另一範例成本函數Cost4:Cost4(i)=Cap_Cost(i)/Length(i) (4)其中i係指在複數個網中的第i個網,以及Length(i)係第i個網的長度。 Another example cost function Cost4 is determined by the following equation: Cost 4( i )= Cap_Cost ( i ) / Length ( i ) (4) where i is the i-th network in the plurality of networks, and Length(i ) is the length of the i-th network.

在計算網的成本函數Cost之後,藉由計算的成本函數值將網分類。而後,製程插入虛擬網與空氣間隙圖案,如圖5所述。在使用成本函數Cost4實施分類配置的一或多個實施例中,優化寄生電容降低,用於網的總電容與網長度之比例。 After calculating the cost function Cost of the network, the network is classified by the calculated cost function value. The process then inserts the virtual network and air gap pattern as illustrated in FIG. In one or more embodiments that implement a classification configuration using the cost function Cost4, the parasitic capacitance reduction is optimized for the ratio of the total capacitance of the network to the length of the network.

藉由以下方式成判斷另一範例成本函數Cost5:Cost5(i)=Cap_Cost(iRes_Cost(i) (5)其中,以及Rdummy係第k個虛擬網的單位阻抗。 Another example cost function Cost5 is determined by: Cost 5( i )= Cap_Cost ( iRes_Cost ( i ) (5) And the unit impedance of the kth virtual network of Rdummy.

在計算網的成本函數Cost5之後,藉由所計算的成本 函數值,將網分類。接著,製程插入虛擬網與空氣間隙圖案,如圖5所示。在使用成本函數Cost5而實施分類配置的一或多個實施例中,不僅考量與插入的虛擬網之電容相關的成本函數Cap_Cost,也考量與插入的虛擬網之電阻相關的成本函數Res_Cost。在至少一實施例中,由於所插入的虛擬網對於電容降低有貢獻,且同時因為對應於虛擬網之增加的導電性圖案而增加電阻,因此額外考量插入的虛擬網之電阻係有用的。 After calculating the cost function Cost5 of the network, by calculating the cost Function value, classify the network. Then, the process inserts the virtual network and the air gap pattern, as shown in FIG. In one or more embodiments in which the classification configuration is implemented using the cost function Cost5, not only the cost function Cap_Cost associated with the capacitance of the inserted virtual network, but also the cost function Res_Cost associated with the resistance of the inserted virtual network is considered. In at least one embodiment, since the inserted virtual network contributes to the reduction in capacitance and at the same time increases the resistance due to the increased conductivity pattern corresponding to the virtual network, it is useful to additionally consider the resistance of the inserted virtual network.

所述之虛擬網與空氣間隙插入之分類配置係範例說明。其他分類配置亦在各種實施例的範圍內。在一些實施例中,在IC的不同部分,施加不同的分類配置。在一些實施例中,當分類配置造成在後續階段不會通過評估或檢查的空氣間隙圖案時,施加另一分類配置,重新產生空氣間隙圖案。 The classification configuration of the virtual network and the air gap insertion is an example. Other classification configurations are also within the scope of various embodiments. In some embodiments, different classification configurations are applied in different portions of the IC. In some embodiments, when the sorting configuration results in an air gap pattern that does not pass the evaluation or inspection at a later stage, another sorting configuration is applied to regenerate the air gap pattern.

圖6C係根據一些實施例說明IC佈局600C之一部分的平面示意圖。佈局600C包括網652、654、656、658、660與662。相鄰網654、656彼此相間之間隔為s,其使得空氣間隙圖案675被插入在網654、656之間。同樣地,相鄰網658與660之間以及相鄰網660與662之間的間隔s使得插入對應的空氣間隙圖案677、679。然而,網652與654之間的間隔為3s,其係大於允許空氣間隙插入的間隔s。在一些實施例中,在兩個相鄰網之間插入虛擬網,該兩個相鄰網的間隔大於用於空氣間隙插入之最大間隔,使得空氣間隙圖案插入在虛擬網以及兩個相鄰網之間。例如,在網652與654之間插入寬度為s的虛擬網664。因此,虛擬網664與各網652、654之間的間隔成為允許空氣間隙插入的間隔s。因此,空氣間隙圖案671、673可插入在虛擬網664與對應網652、654之間,以增加空氣間隙覆蓋並且降低網652、654的寄生電容。此處所述之虛擬網插入技術係指3s虛擬插入。在一些實施例中,在操作130進行3s虛擬插入,如圖1所述。在一些實施例中,操作130 所進行的3s虛擬插入之後,接著是空氣間隙插入法,如圖3所述。在一些實施例中,在操作540在虛擬網與空氣間隙插入法中進行3s虛擬插入,如圖5所述。其他配置亦在各種實施例的範圍之內。 FIG. 6C illustrates a plan view of a portion of an IC layout 600C in accordance with some embodiments. Layout 600C includes nets 652, 654, 656, 658, 660, and 662. The adjacent webs 654, 656 are spaced apart from one another by an s that causes the air gap pattern 675 to be inserted between the webs 654, 656. Likewise, the spacing s between adjacent webs 658 and 660 and between adjacent webs 660 and 662 causes the corresponding air gap patterns 677, 679 to be inserted. However, the spacing between the webs 652 and 654 is 3 s, which is greater than the spacing s that allows air gap insertion. In some embodiments, a virtual network is inserted between two adjacent nets, the spacing of the two adjacent nets being greater than the maximum spacing for air gap insertion, such that the air gap pattern is inserted in the virtual network and two adjacent nets between. For example, a virtual network 664 of width s is inserted between the nets 652 and 654. Therefore, the interval between the virtual net 664 and each of the nets 652, 654 becomes an interval s that allows the air gap to be inserted. Accordingly, the air gap patterns 671, 673 can be inserted between the virtual net 664 and the corresponding nets 652, 654 to increase air gap coverage and reduce the parasitic capacitance of the nets 652, 654. The virtual network insertion technique described herein refers to a 3s virtual insertion. In some embodiments, a 3s virtual insertion is performed at operation 130, as described in FIG. In some embodiments, operation 130 After the 3s virtual insertion, the air gap insertion method is followed, as described in FIG. In some embodiments, a 3s virtual insertion is performed in virtual network and air gap insertion at operation 540, as described in FIG. Other configurations are also within the scope of various embodiments.

以佈局600C為例,根據一些實施例進行3s虛擬插入以增加空氣間隙覆蓋,然而,虛擬網與空氣間隙插入並未優化。圖6D係根據一些實施例說明具有優化之虛擬網與空氣間隙插入的IC佈局600D之部分平面示意圖。在至少一實施例中,藉由進行使用圖6A至6B所述之分類配置的方法500以及成本函數Cost3、Cost4與Cost5,得到佈局600D。例如,如圖6A所述,在至少一實施例中使用藉由網長度的分類配置以得到佈局600D。相較於佈局600C,在佈局600D中,在網654與658之間插入虛擬網684,以及空氣間隙圖案691、693、695、677與699集中在長網658、660以及插入的虛擬網684附近。因此,長網658與660的寄生電容降低,造成達到比佈局600C更大量的寄生電容降低。在至少一實施例中,在佈局600C中,虛擬網664以及空氣間隙圖案671、673、675與679的長度係實質等於佈局600C中的對應虛擬網684以及空氣間隙圖案691、693、695以及699的長度。因此,相較於佈局600C,佈局600D沿著長與/或重要的網達到較大量的寄生電容降低,且對於虛擬網與空氣間隙圖案的覆蓋無實質改變。 Taking layout 600C as an example, a 3s virtual insertion is performed in accordance with some embodiments to increase air gap coverage, however, virtual network and air gap insertion are not optimized. Figure 6D illustrates a partial plan view of an IC layout 600D with optimized virtual mesh and air gap insertion, in accordance with some embodiments. In at least one embodiment, layout 600D is obtained by performing method 500 of the classification configuration described using FIGS. 6A-6B and cost functions Cost3, Cost4, and Cost5. For example, as described in FIG. 6A, a classification configuration by network length is used in at least one embodiment to obtain a layout 600D. In the layout 600D, a virtual network 684 is inserted between the nets 654 and 658, and the air gap patterns 691, 693, 695, 677, and 699 are concentrated near the long nets 658, 660 and the inserted virtual net 684, as compared to the layout 600C. . Therefore, the parasitic capacitance of the long nets 658 and 660 is reduced, resulting in a greater amount of parasitic capacitance reduction than the layout 600C. In at least one embodiment, in layout 600C, the length of virtual mesh 664 and air gap patterns 671, 673, 675, and 679 are substantially equal to corresponding virtual mesh 684 and air gap patterns 691, 693, 695, and 699 in layout 600C. length. Thus, compared to layout 600C, layout 600D achieves a greater amount of parasitic capacitance reduction along the long and/or important mesh, and there is no substantial change to the coverage of the virtual mesh and air gap pattern.

圖7係根據一些實施例說明IC設計製程700的部分流程圖。 FIG. 7 illustrates a partial flow diagram of an IC design process 700 in accordance with some embodiments.

在操作715,產生IC佈局。在至少一實施例中,藉由本文所述之APR工具,產生佈局。 At operation 715, an IC layout is generated. In at least one embodiment, the layout is generated by the APR tool described herein.

在操作725,進行虛擬網插入製程。在至少一實施例中,插入虛擬網,以改良產量與/或品質,如圖1的操作130所述。在至少一實施例中,在操作725的虛擬網插入製程中進行3s虛擬插入。 At operation 725, a virtual network insertion process is performed. In at least one embodiment, a virtual network is inserted to improve throughput and/or quality, as described in operation 130 of FIG. In at least one embodiment, a 3s virtual insertion is performed in the virtual network insertion process of operation 725.

在操作735,進行空氣間隙插入製程。在至少一實施例中,在操作735進行空氣間隙插入方法300。在一些實施例中,在操作725與735進行虛擬網與空氣間隙插入方法500。藉由操作735,產生修飾的IC佈局。 At operation 735, an air gap insertion process is performed. In at least one embodiment, the air gap insertion method 300 is performed at operation 735. In some embodiments, the virtual mesh and air gap insertion method 500 is performed at operations 725 and 735. By operation 735, a modified IC layout is produced.

在操作745,進行時序結束檢查。在至少一實施例中,進行時序結束檢查,以判斷修飾的IC佈局是否滿足一時序規格,如圖1的操作160所述。 At operation 745, a timing end check is performed. In at least one embodiment, a timing end check is performed to determine if the modified IC layout satisfies a timing specification, as described in operation 160 of FIG.

響應修飾的IC佈局不滿足一時序規格(操作755的「否」),製程進行至操作765,辨識失敗的信號路徑。 The response-modified IC layout does not satisfy a timing specification ("NO" of operation 755), and the process proceeds to operation 765 to identify the failed signal path.

在一些實施例中,製程進一步從操作765進行操作735,對於失敗的信號路徑中的網,進行空氣間隙插入優化。例如,在一或多個實施例中,對於IC佈局中的網,使用圖4A至4D所述之至少一分類配置,例如網長度、投射長度、Cost1或Cost2,在操作735進行空氣間隙插入方法300。使用至少一所述之分類配置,例如網長度、投射長度、Cost1或Cost2,對於操作765所辨識之失敗的信號路徑中的網,再次施加空氣間隙插入方法300。在至少一實施例中,空氣間隙插入方法300對於不同製程階段使用不同的分類配置。例如,空氣間隙插入方法300使用一分類配置,例如網長度,用於優化佈局的空氣間隙插入,並且使用不同的分類配置,例如Cost2,用於優化失敗的信號路徑之空氣間隙插入。其他配置亦在各種實施例的範圍內。 In some embodiments, the process further proceeds from operation 765 to operation 735 for air gap insertion optimization for the mesh in the failed signal path. For example, in one or more embodiments, for a network in an IC layout, using at least one of the classification configurations described in FIGS. 4A through 4D, such as net length, projection length, Cost1, or Cost2, the air gap insertion method is performed at operation 735. 300. The air gap insertion method 300 is again applied to the mesh in the failed signal path identified by operation 765 using at least one of the classified configurations, such as net length, projected length, Cost1 or Cost2. In at least one embodiment, the air gap insertion method 300 uses different sorting configurations for different process stages. For example, the air gap insertion method 300 uses a sorting configuration, such as a mesh length, to optimize the air gap insertion of the layout, and uses a different sorting configuration, such as Cost2, to optimize the air gap insertion of the failed signal path. Other configurations are also within the scope of various embodiments.

在一些實施例中,製程進一步從操作765進行至操作725,對於失敗信號路徑中的網,進行虛擬網與空氣間隙插入優化。例如,在一或多個實施例中,對於IC佈局中的網,使用至少一所述的分類配置,例如網長度、Cost3、Cost4與Cost5,在操作725、735進行虛擬網與空氣間隙插入方法500。使用至少一所述之配置,例如網長 度、Cost3、Cost4或Cost5,對於操作765所辨識之失敗信號路徑中的網,再次施加虛擬網與空氣間隙插入方法500。在至少一實施例中,空氣間隙插入方法300對於不同製程階段進行不同分類配置。例如,虛擬網與空氣間隙插入方法500係使用一種分類配置,例如網長度,用於優化佈局的虛擬網與空氣間隙插入,並且使用不同的分類配置,例如Cost5,用於優化失敗信號路徑的虛擬網與空氣間隙插入。其他配置亦在各種實施例的範圍內。 In some embodiments, the process proceeds further from operation 765 to operation 725 for virtual network and air gap insertion optimization for the network in the failed signal path. For example, in one or more embodiments, for a network in an IC layout, at least one of the classified configurations, such as network length, Cost3, Cost4, and Cost5, is used, and the virtual network and air gap insertion method is performed at operations 725, 735. 500. Use at least one of the described configurations, such as a network length Degree, Cost3, Cost4, or Cost5, the virtual mesh and air gap insertion method 500 is again applied to the network in the failed signal path identified by operation 765. In at least one embodiment, the air gap insertion method 300 performs different sorting configurations for different process stages. For example, the virtual network and air gap insertion method 500 uses a classification configuration, such as a network length, a virtual network and air gap insertion for optimizing the layout, and uses different classification configurations, such as Cost5, to optimize the virtual signal path for the failed signal path. The mesh is inserted into the air gap. Other configurations are also within the scope of various embodiments.

響應修飾的IC佈局滿足一時序規格之判斷(操作755的「是」),製程結束於操作755。在至少一實施例中,已經通過時序結束的修飾佈局係進行評估或檢查,或是輸出用於製造IC。 The response to the modified IC layout satisfies the determination of a timing specification ("YES" of operation 755), and the process ends at operation 755. In at least one embodiment, the modified layout has been evaluated or checked by the end of the sequence, or the output is used to fabricate the IC.

在其他方法中,進行空氣間隙插入僅為了產量考量,當有違反時序時,製程返回至放置或是在APR的安排程序階段用於重新放置與/或重新安排程序,這是很耗時的。相較於其他方法,有違反時序時,根據一些實施例的IC設計製程700並不會返回至放置或是安排程序階段;而是IC設計製程返回至虛擬網插入以及/或空氣間隙插入階段,用於優化本文所述之虛擬網與/或空氣間隙配置。根據一些實施例,由於當一或多個信號路徑時序結束失敗時,IC設計製程700並未涉及佈局之重新放置與/或重新安排程序,因而根據一或多個實施例,相較於其他方法,IC設計製程700減少循環時間。在一些實施例中,IC設計製程可用於數位與類比設計時序終止程序,用於以減少的時間週期修補失敗的信號路徑。根據一些實施例,方法700可達到方法300與/或方法500的一或多個優點與/或效應。 In other methods, air gap insertion is only a matter of production considerations, and when there is a violation of timing, the process returns to placement or is used to relocate and/or rearrange the program during the APR scheduling phase, which is time consuming. Compared to other methods, when there is a violation of timing, the IC design process 700 according to some embodiments does not return to the placement or scheduling phase; instead, the IC design process returns to the virtual network insertion and/or air gap insertion phase. Used to optimize the virtual network and/or air gap configuration described herein. According to some embodiments, the IC design process 700 does not involve a placement relocation and/or rescheduling procedure when one or more signal path timings end fails, and thus, in accordance with one or more embodiments, compared to other methods The IC design process 700 reduces cycle time. In some embodiments, the IC design process can be used for digital and analog design timing termination procedures for patching failed signal paths with reduced time periods. Method 700 may achieve one or more advantages and/or effects of method 300 and/or method 500, in accordance with some embodiments.

圖8係根據一些實施例說明APR工具800的功能流程圖。在至少一實施例中,APR工具800係對應於圖1的操作120所述之APR工具以及/或圖7的操作715所述之APR工具。 FIG. 8 illustrates a functional flow diagram of APR tool 800 in accordance with some embodiments. In at least one embodiment, APR tool 800 corresponds to the APR tool described in operation 120 of FIG. 1 and/or the APR tool described in operation 715 of FIG.

在操作810,APR工具800接收用於產生IC佈局的輸 入。在圖8的架構範例中,輸入包含網表形式的IC設計,如操作110所述,含有對於設計的限制與佈局計畫之Synopsys設計限制(synopsys design constraint,SDC)檔案。其他配置係在各種實施例的範圍內。例如,在一些實施例中,APR工具800佈局計畫,以辨識電路元件,該電路元件係彼此電性連接並且放置於接近彼此,用於減少IC的面積以及/或降低在互連或連接電連接之電路元件的網上方之信號的時間延遲。在一些實施例中,APR工具800進行區分,以將設計分為複數個塊或群組,例如時脈與邏輯群組。 At operation 810, the APR tool 800 receives the input for generating the IC layout. In. In the architectural example of Figure 8, the input contains an IC design in the form of a netlist, as described in operation 110, containing a Synopsys design constraint (SDC) file for design constraints and layout plans. Other configurations are within the scope of various embodiments. For example, in some embodiments, the APR tool 800 layouts the program to identify circuit components that are electrically connected to each other and placed close to each other for reducing the area of the IC and/or reducing the interconnection or connection. The time delay of the signal on the network side of the connected circuit component. In some embodiments, the APR tool 800 differentiates to divide the design into a plurality of blocks or groups, such as a clock and a logical group.

在一些實施例中,在操作812,APR工具800基於電子設計的區分與/或佈局計畫進行電力規劃。 In some embodiments, at operation 812, the APR tool 800 performs power planning based on an electronically designed differentiation and/or layout plan.

在操作814,APR工具800進行放置。例如,進行一或多相的放置,包含但不限於在時脈樹生成(clock tree synthesis,CTS)之前與/或之後的預放置優化、放置中優化、以及放置後優化。 At operation 814, the APR tool 800 places. For example, one or more phase placements are performed including, but not limited to, pre-placement optimization, in-place optimization, and post-placement optimization before and/or after clock tree synthesis (CTS).

在操作816,APR工具800進行CTS,用以最小化偏斜與/或延遲。 At operation 816, the APR tool 800 performs CTS to minimize skew and/or delay.

在操作818、820與822,APR工具800進行佈線,使得不同的網互連所放置的電路元件。進行佈線,確保所安排的互連或網滿足一組限制。 At operations 818, 820, and 822, the APR tool 800 is routed such that different nets interconnect the placed circuit components. Route the wiring to ensure that the arranged interconnect or network meets a set of restrictions.

具體而言,在操作818,APR工具800進行全面佈線,分配用於互連或網的佈線來源。例如,在全面佈線過程中,不面面積分割為一些次面積(sub-areas),所放置的電路元件之針腳被映射至次面積,以及網建構為次面積組,其中互連係可實體佈線 Specifically, at operation 818, the APR tool 800 performs full wiring, allocating routing sources for the interconnect or network. For example, in the full-wiring process, the non-planar area is divided into sub-areas, the pins of the placed circuit components are mapped to the sub-area, and the mesh is constructed as a sub-area group, wherein the interconnects can be physically wired.

在操作820,APR工具800進行軌道分配,將互連或網分配至IC之對應的導電層。 At operation 820, the APR tool 800 performs a track assignment to distribute the interconnect or net to the corresponding conductive layer of the IC.

在操作822,APR工具800進行詳細佈線,在所分配的導電層中以及全面佈線來源內,佈線互連或網。例如,在詳細佈線過 程中,在全面佈線所定義之對應的次佈線組內以及軌道分類所定義之導電層中,產生實體互連。 At operation 822, the APR tool 800 performs detailed routing, routing interconnections or meshes within the assigned conductive layers and within the full wiring source. For example, in detail wiring In the process, physical interconnections are generated in the corresponding sub-wiring groups defined by the full wiring and in the conductive layers defined by the track classification.

在操作824,APR工具800輸出IC佈局,其包含所放置的電路元件與佈線網。APR工具800之所描述的操作為範例。其他配置係在各種實施例的範圍內。例如,在一或多個實施例中,省略一或多個所述操作。 At operation 824, the APR tool 800 outputs an IC layout that includes the placed circuit components and the wiring network. The operations described by the APR tool 800 are examples. Other configurations are within the scope of various embodiments. For example, in one or more embodiments, one or more of the operations are omitted.

在一些實施例中,在佈線操作過程中,APR工具800係用以將佈線網的網長度最小化,以及/或將IC的整體面積最小化。在一些情況下,佈線操作傾向於增加傳導元件的密度以及/或網沿著彼此的投射長度。在一些實施例中,為了降低寄生電容以及/或與增加的導電性圖案密度相關之信號串擾,APR工具800進一步在操作830用以進行RC估計,估計當互連被佈線時之互連的寄生參數,特別是寄生電容。所估計的寄生電容係用於佈線製程的至少一操作中,亦即全面佈線、軌道分配或詳細佈線至少其中之一,以估計佈線網之各種選項的時序延遲。而後,時序延遲估計係用以判斷使用哪一個佈線選項佈線網,因而符合預定的效能目標。 In some embodiments, the APR tool 800 is used to minimize the network length of the wiring network and/or to minimize the overall area of the IC during the routing operation. In some cases, routing operations tend to increase the density of the conductive elements and/or the projected length of the webs along each other. In some embodiments, to reduce parasitic capacitance and/or signal crosstalk associated with increased conductivity pattern density, APR tool 800 is further operative at 830 for RC estimation to estimate parasitic interconnections when interconnects are routed. Parameters, especially parasitic capacitance. The estimated parasitic capacitance is used in at least one operation of the wiring process, that is, at least one of full wiring, track distribution, or detailed routing to estimate the timing delay of various options of the wiring network. The timing delay estimate is then used to determine which routing option network to use and thus meets the predetermined performance goals.

在一些實施例中,APR工具800進行RC估計且考量後續所欲插入APR工具800所輸出之佈局中的空氣間隙,如本文所述。RC估計包括操作832、834與836,其係如圖9A至9E所述。 In some embodiments, the APR tool 800 performs RC estimation and considers the air gaps that are subsequently inserted into the layout output by the APR tool 800, as described herein. The RC estimate includes operations 832, 834, and 836 as described in Figures 9A through 9E.

在操作832,在IC的複數個網中,選擇用於空氣間隙插入的候選網。例如,圖9A係根據一些實施例說明IC佈局的一部分900A之概示平面圖。佈局部分900A包括在具有網格線912的佈線網格上所配置的網902、904、906、908與910。相鄰的網格線912彼此相間的距離係相當於間隔s的整數倍,間隔s係IC的設計與/或製造規格所允許之相鄰網之間的最小間隔。在圖9A的範例架構中,相鄰網格線912之間的距離為2s。網904與網902、906相距間隔s,其不大於空氣間隙 插入的最大間隔。因此,空氣間隙圖案913、915可插入在網904以及對應網902、906之間,以及將網902、904、906辨識為作為空氣間隙插入的候選網。在至少一實施例中,空氣間隙圖案913、915並未實際插入直到APR工具800輸出佈局之後,空氣間隙插入製程之進行係如圖3與圖5所述。網908、910彼此相距間隔3s,其係大於空氣間隙插入之最大間隔。因此,無空氣間隙圖案可插入在網908、910之間,以及網908、910不被辨識為空氣間隙插入的候選網。在一些實施例中,間隔s係空氣間隙插入的最大間隔,亦即空氣間隙圖案僅可插入在相鄰網之間,該相鄰網彼此相距間隔s。在至少一實施例中,間隔s為0.08微米。基於所欲配置或是計畫欲配置之網沿著的網格線912,判斷相鄰網之間的間隔,並且與空氣間隙插入之最大間隔比較,基於該比較,判斷空氣間隙插入的候選網。用於辨識空氣間隙插入之後選網的其他配置係在各種實施例的範圍內。 At operation 832, a candidate network for air gap insertion is selected among the plurality of networks of the IC. For example, Figure 9A illustrates an overview plan view of a portion 900A of an IC layout in accordance with some embodiments. The layout portion 900A includes nets 902, 904, 906, 908, and 910 configured on a routing grid having gridlines 912. The adjacent gridlines 912 are spaced apart from one another by an integer multiple of the spacing s, which is the minimum spacing between adjacent networks allowed by the design and/or manufacturing specifications of the IC. In the example architecture of Figure 9A, the distance between adjacent gridlines 912 is 2s. The net 904 is spaced apart from the nets 902, 906 by an interval s that is no greater than the air gap. The maximum interval of insertion. Thus, the air gap patterns 913, 915 can be inserted between the mesh 904 and the corresponding nets 902, 906, and the nets 902, 904, 906 can be identified as candidate nets that are inserted as air gaps. In at least one embodiment, the air gap patterns 913, 915 are not actually inserted until the APR tool 800 outputs the layout, and the air gap insertion process is performed as described in Figures 3 and 5. The webs 908, 910 are spaced apart from each other by 3 s, which is greater than the maximum spacing of the air gap insertion. Thus, no air gap pattern can be inserted between the nets 908, 910, and the nets 908, 910 are not recognized as candidate nets for air gap insertion. In some embodiments, the spacing s is the maximum spacing of the air gap insertion, that is, the air gap pattern can only be inserted between adjacent nets that are spaced apart from each other by s. In at least one embodiment, the spacing s is 0.08 microns. Judging the interval between adjacent networks based on the grid line 912 along which the network to be configured is to be configured or planned, and comparing with the maximum interval of air gap insertion, based on the comparison, judging the candidate network for air gap insertion . Other configurations for identifying the selected mesh after air gap insertion are within the scope of various embodiments.

在操作834,基於對應之候選網的長度,判斷候選網的各種縮放比例,以及在操作836,基於對應的縮放比例,估計候選網的電容。縮放比例係指空氣間隙對於對應候選網之電容的影響。在一些實施例中,縮放比例越高,空氣間隙對於對應候選網之電容的影響越小。例如,圖9B係根據一些實施例說明判斷各種縮放比例的圖900B。圖900B係說明圖9C至圖9E,圖9C至圖9E係根據一些實施例說明所產生之佈局的不同部分900C至900E的平面示意圖。 At operation 834, various scalings of the candidate network are determined based on the length of the corresponding candidate network, and at operation 836, the capacitance of the candidate network is estimated based on the corresponding scaling. Scaling refers to the effect of the air gap on the capacitance of the corresponding candidate network. In some embodiments, the higher the scaling, the less the effect of the air gap on the capacitance of the corresponding candidate mesh. For example, FIG. 9B illustrates a diagram 900B for determining various scales in accordance with some embodiments. Figure 900B illustrates Figures 9C-9E, which are schematic plan views illustrating different portions 900C-900E of the resulting layout, in accordance with some embodiments.

在一些實施例中,當候選網的長度小於第一臨界長度時,候選網的縮放比例具有第一縮放比例值。例如,如圖9B所示,當候選網的長度小於第一臨界長度L1時,候選網的縮放比例具有第一縮放比例值SR1。在至少一實施例中,第一縮放比例值SR1為1,其係指不插入與候選網相鄰之空氣間隙,以及候選網的電容不受空氣間隙影響。在至少一實施例中,第一臨界長度L1係空氣間隙可插入之最小 網長度。在至少一實施例中,L1為0.18754微米。在圖9C的範例架構中,由於網922與相鄰網923相距間隔s,因而係空氣間隙插入的候選網。然而,網922的長度L係短於L1,因此,無空氣間隙圖案可插入與網922相鄰。 In some embodiments, when the length of the candidate network is less than the first critical length, the scaling of the candidate network has a first scaling value. For example, as shown in FIG. 9B, when the length of the candidate network is less than the first critical length L1, the scaling of the candidate network has the first scaling value SR1. In at least one embodiment, the first scaling value SR1 is 1, which means that the air gap adjacent to the candidate network is not inserted, and the capacitance of the candidate network is not affected by the air gap. In at least one embodiment, the first critical length L1 is the smallest air gap insertable Net length. In at least one embodiment, L1 is 0.18754 microns. In the example architecture of FIG. 9C, since the mesh 922 is spaced apart from the adjacent mesh 923 by s, it is a candidate mesh into which the air gap is inserted. However, the length L of the mesh 922 is shorter than L1, and therefore, the airless gap pattern can be inserted adjacent to the mesh 922.

在一些實施例中,當候選網的長度不小於第一臨界長度且不大於第二臨界長度時,隨著候選網的對應長度從第一臨界長度增加至第二臨界長度,候選網的縮放比例從第一縮放比例值降低至第二縮放比例值。例如,如圖9B所示,當候選網的長度在第一臨界長度L1與第二臨界長度L2之間時,隨著候選網的對應長度增加,候選網的縮放比例從第一縮放比例值SR1降低至第二縮放比例值SR2。在至少一實施例中,第二縮放比例值SR2為0.7,其係指當空氣間隙插入在與對應的候選網相鄰時,候選網的電容將降低30%,亦即成為無空氣間隙插入之對應候選網的電容之70%。在至少一實施例中,第二臨界長度L2係6微米。SR1、SR2、L1與L2的特定數值僅為範例。其他數值亦在各種實施例的範圍內。 In some embodiments, when the length of the candidate network is not less than the first critical length and not greater than the second critical length, the scaling ratio of the candidate network increases as the corresponding length of the candidate network increases from the first critical length to the second critical length. Decreasing from the first scaling value to the second scaling value. For example, as shown in FIG. 9B, when the length of the candidate network is between the first critical length L1 and the second critical length L2, as the corresponding length of the candidate network increases, the scaling ratio of the candidate network is from the first scaling value SR1. Lower to the second scaling value SR2. In at least one embodiment, the second scaling value SR2 is 0.7, which means that when the air gap is inserted adjacent to the corresponding candidate network, the capacitance of the candidate network is reduced by 30%, that is, the air gap is not inserted. Corresponding to 70% of the capacitance of the candidate network. In at least one embodiment, the second critical length L2 is 6 microns. The specific values of SR1, SR2, L1 and L2 are merely examples. Other values are also within the scope of various embodiments.

在圖9D的範例架構中,候選網932、934、936的長度足以使得空氣間隙圖案937、939可插入在候選網932、934以及網934、936之間。然而,用於將候選網934電耦合至其他導電性圖案的通路941、943之存在,將可插入的空氣間隙圖案937、939的有效長度Lf限制於候選網932、934、936的長度L之一部分。理由在於環繞對應通路941、943的區域945、947中並未形成空氣間隙,因而無法降低通路941、943位在空氣間隙上的可能性,例如無法降低製造過程中錯位的可能性。藉由通路限制,判斷區域945、947的尺寸,通路限制係區域945、947與對應通路941、943的對立邊緣之間的間隔V。在至少一實施例中,V係0.06微米。V的其他數值亦在各種實施例的範圍內。 In the example architecture of FIG. 9D, candidate networks 932, 934, 936 are of sufficient length such that air gap patterns 937, 939 can be interposed between candidate networks 932, 934 and nets 934, 936. However, the presence of vias 941, 943 for electrically coupling the candidate mesh 934 to other conductive patterns limits the effective length Lf of the insertable air gap patterns 937, 939 to the length L of the candidate nets 932, 934, 936. portion. The reason is that no air gap is formed in the regions 945, 947 surrounding the corresponding passages 941, 943, so that the possibility that the passages 941, 943 are located on the air gap cannot be reduced, for example, the possibility of misalignment during the manufacturing process cannot be reduced. The size of the regions 945, 947 is determined by the path limitation, and the spacing V between the path limiting system regions 945, 947 and the opposite edges of the corresponding vias 941, 943. In at least one embodiment, the V is 0.06 microns. Other values of V are also within the scope of various embodiments.

在圖9B中,L1與L2之間的網長度之降低的縮放比例 係反應通路限制對於空氣間隙插入的影響。當網長度為短時,如圖9D的範例架構所示,通路限制顯著限制可插入的空氣間隙之有效長度L。因此,以空氣間隙插入可達到的電容降低量係低的,具有空氣間隙插入的候選網之電容係接近無空氣間隙插入之候選網的電容,其係指縮放比例接近1。當網長度增加時,如圖9E的範例架構所示,通路限制將可插入的空氣間隙之有效長度Lf限制在小於候選網的長度L。因此,空氣間隙插入可達到的電容降低量增加,以及具有空氣間隙插入之候選網的電容降低,其係指縮放比例降低。在至少一實施例中,當網長度夠長足以使得通路限制可被忽略時,縮放比例是固定的。例如,如圖9B所示,當候選網的長度大於第二臨界長度L2時,對應的縮放比例具有第二縮放比例值SR2。 In Figure 9B, the scaling of the reduction in the length of the network between L1 and L2 The reaction pathway limits the effect on air gap insertion. When the length of the web is short, as shown in the example architecture of Figure 9D, the path limitation significantly limits the effective length L of the insertable air gap. Therefore, the amount of capacitance reduction that can be achieved by the insertion of the air gap is low, and the capacitance of the candidate network with the air gap insertion is close to the capacitance of the candidate network with no air gap insertion, which means that the scaling ratio is close to 1. As the length of the net increases, as shown in the example architecture of Figure 9E, the path restriction limits the effective length Lf of the insertable air gap to less than the length L of the candidate net. Therefore, the amount of capacitance reduction that can be achieved by the insertion of the air gap is increased, and the capacitance of the candidate mesh having the air gap insertion is lowered, which means that the scaling is lowered. In at least one embodiment, the scaling is fixed when the length of the net is long enough for the path limit to be ignored. For example, as shown in FIG. 9B, when the length of the candidate net is greater than the second critical length L2, the corresponding scaling has a second scaling value SR2.

網長度與縮放比例之間的所述關係僅為範例。其他配置係在各種實施例的範圍內。例如,在至少一實施例中,網長度在L1與L2之間的縮放比例之降低不是線性,如圖9B所示;在一些實施例中,縮放比例的降低係非線性或階梯形。 The relationship between the length of the net and the scaling is only an example. Other configurations are within the scope of various embodiments. For example, in at least one embodiment, the reduction in the scale of the web length between L1 and L2 is not linear, as shown in Figure 9B; in some embodiments, the reduction in scaling is non-linear or stepped.

基於所判斷的縮放比例,具有空氣間隙插入的候選網之電容估計係將對應的縮放比例乘以無空氣間隙插入之候選網的電容。考量空氣間隙之所估計的電容係用於佈線操作中,如本文所述。相較於在佈線階段過程中未考量空氣間隙的其他方法,在一或多個實施例中,APR工具提供優化的佈局用於後續空氣間隙插入。因此,至少一實施例最大化或至少增加與空氣間隙插入相關的效能益處。 Based on the determined scaling, the capacitance estimate of the candidate mesh with air gap insertion multiplies the corresponding scaling by the capacitance of the candidate mesh without air gap insertion. The estimated capacitance considering the air gap is used in the wiring operation as described herein. In one or more embodiments, the APR tool provides an optimized layout for subsequent air gap insertion as compared to other methods that do not account for air gaps during the routing phase. Thus, at least one embodiment maximizes or at least increases the performance benefits associated with air gap insertion.

除了如圖8所述之在APR工具的空氣間隙考量以及/或如圖5與圖6A至6D所述之增加虛擬網用於增加空氣間隙覆蓋之外,一些實施例考量在後續設計階段的空氣間隙,例如在RC萃取操作中的空氣間隙。 In addition to the air gap considerations of the APR tool as described in FIG. 8 and/or the addition of the virtual mesh for increasing air gap coverage as described in FIGS. 5 and 6A through 6D, some embodiments consider air in subsequent design stages. Clearance, such as an air gap in an RC extraction operation.

圖10係根據一些實施例說明IC設計製程1000之至少一 部分的功能流程圖。 10 illustrates at least one of the IC design process 1000 in accordance with some embodiments. Part of the functional flow chart.

在操作1010,提供IC的網表以及SDC檔案。在至少一實施例中,網表與SDC檔案對應於產生佈局的輸出,如圖8的操作810所述。 At operation 1010, a netlist of the IC and an SDC file are provided. In at least one embodiment, the netlist and SDC file correspond to the output that produces the layout, as described in operation 810 of FIG.

在操作1020,提供原始RC技術檔案。用於產生RC技術檔案的範例方法係如美國專利申請案公開案第2009/0077507號所述,其係全文併入本案作為參考。在至少一實施例中,原始RC技術檔案包括各種原始多角圖案之預儲存的寄生電容與電阻。在至少一實施例中,原始RC技術檔案進一步包括介電常數K用於判斷寄生電容。當IC中插入空氣間隙時,寄生電容降低。在至少一實施例中,由於空氣間隙插入,調整介電常數K以模擬寄生電容降低,如本文所述。例如,介電常數K降低至小於用於製造IC且發生寄生電容之介電材料的實際介電常數。 At operation 1020, an original RC technical file is provided. An exemplary method for generating an RC technical file is described in U.S. Patent Application Publication No. 2009/007750, the entire disclosure of which is incorporated herein by reference. In at least one embodiment, the original RC technology file includes pre-stored parasitic capacitance and resistance of various original polygonal patterns. In at least one embodiment, the original RC technology file further includes a dielectric constant K for determining parasitic capacitance. When an air gap is inserted into the IC, the parasitic capacitance decreases. In at least one embodiment, the dielectric constant K is adjusted to simulate a parasitic capacitance reduction due to air gap insertion, as described herein. For example, the dielectric constant K is reduced to less than the actual dielectric constant of the dielectric material used to fabricate the IC and the parasitic capacitance occurs.

在操作1030,使用操作1010所提供的網表與SDC檔案以及在操作1020所提供的原始RC技術檔案作為輸入至APR工具,其進行放置與佈線操作以產生IC佈局,如圖1的操作120所述。在至少一實施例中,本文所述之APR工具800係用於放置與佈線操作1030。 At operation 1030, the netlist and SDC file provided by operation 1010 and the original RC technology file provided at operation 1020 are used as input to the APR tool, which performs placement and routing operations to produce an IC layout, such as operation 120 of FIG. Said. In at least one embodiment, the APR tool 800 described herein is for placement and routing operations 1030.

在操作1040,進行空氣間隙插入製程,將空氣間隙插入APR工具所輸出的佈局中,以獲得一修飾佈局。在至少一實施例中,在操作1040進行空氣間隙插入方法300或是虛擬網與空氣間隙插入方法500。 At operation 1040, an air gap insertion process is performed to insert an air gap into the layout output by the APR tool to obtain a modified layout. In at least one embodiment, air gap insertion method 300 or virtual mesh and air gap insertion method 500 is performed at operation 1040.

在操作1050,操作1040之空氣間隙插入製程所輸出的修飾佈局係藉由RC萃取工具而進行RC萃取。進行RC萃取,判斷後續處理之修飾佈局中的寄生參數,如圖1的操作150所述。在至少一實施例中,操作1050的RC萃取係包括操作1051至1059。 At operation 1050, the modified layout output by the air gap insertion process of operation 1040 is RC extracted by an RC extraction tool. The RC extraction is performed to determine parasitic parameters in the modified layout of subsequent processing, as described in operation 150 of FIG. In at least one embodiment, the RC extraction of operation 1050 includes operations 1051 through 1059.

在操作1051,基於未考量空氣間隙的RC萃取,進行 靜態時序分析(static timing analysis,STA)。在至少一實施例中,未考量空氣間隙的RC萃取係自修飾佈局萃取寄生電阻與電容,同時忽略插入的空氣間隙。例如,RC萃取工具將來自操作1040的修飾佈局分割為塊,其包含在操作1020所提供的原始RC技術檔案定義之可辨識的原始多角圖案。而後,RC萃取工具藉由從原始RC技術檔案讀取對應的預存寄生電阻與電容,而萃取修飾佈局之寄生電阻與電容。使用萃取的寄生電阻與電容以進行STA,評估IC中沿著各種信號路徑之時間延遲。在至少一實施例中,藉由忽略插入的空氣間隙以及沿著具有插入空氣間隙之網的介電常數變化相關的複雜性,進行操作1051,而不需進行考量用於修飾佈局的空氣間隙之耗時的RC萃取。 At operation 1051, based on RC extraction that does not consider air gaps, Static timing analysis (STA). In at least one embodiment, the RC extraction that does not consider air gaps extracts the parasitic resistance and capacitance from the modified layout while ignoring the interposed air gap. For example, the RC extraction tool divides the decorated layout from operation 1040 into blocks that contain the identifiable original polygon pattern defined by the original RC technology file provided at operation 1020. The RC extraction tool then extracts the parasitic resistance and capacitance of the modified layout by reading the corresponding pre-stored parasitic resistance and capacitance from the original RC technology file. Using the extracted parasitic resistance and capacitance to perform the STA, the time delay along the various signal paths in the IC is evaluated. In at least one embodiment, operation 1051 is performed by ignoring the intervening air gap and the complexity associated with the change in dielectric constant of the web having the interposed air gap, without the need to consider the air gap for modifying the layout. Time consuming RC extraction.

在操作1052,基於沿著自從操作1051所得到的各種信號路徑之時間延遲,辨識用於具有空氣間隙考量的RC萃取之至少一信號路徑。在至少一實施例中,所辨識的信號路徑係關鍵的信號路徑。在一範例中,關鍵的信號路徑係具有最長時間延遲的信號路徑。在另一範例中,關鍵的信號路徑係具有接近或高於時序限制的時間延遲之信號路徑。在一些實施例中,辨識超過一個關鍵信號路徑。例如,辨識一些最關鍵的信號路徑,用於具有空氣間隙考量的RC萃取。辨識信號路徑用於具有空氣間隙考量的RC萃取之其他配置亦在各種實施例的範圍內。 At operation 1052, at least one signal path for RC extraction with air gap considerations is identified based on a time delay along various signal paths derived from operation 1051. In at least one embodiment, the identified signal path is a critical signal path. In one example, the critical signal path is the signal path with the longest delay. In another example, the critical signal path is a signal path having a time delay that is near or above the timing limit. In some embodiments, more than one critical signal path is identified. For example, identify some of the most critical signal paths for RC extraction with air gap considerations. Other configurations of identifying signal paths for RC extraction with air gap considerations are also within the scope of various embodiments.

在操作1053,對於操作1052所辨識的信號路徑,進行具有空氣間隙考量的RC萃取。此具有空氣間隙考量的RC萃取係指以角為基礎的RC萃取(corner-based RC extraction)。在至少一實施例中,以角為基礎的RC萃取之進行方式係類似於操作1051所述之RC萃取,差別在於考量具有插入空氣間隙的網之變化的介電常數。因此,以角為基礎的RC萃取係提供更正確的萃取寄生參數。在至少一實施例中,由於以角為基礎的RC萃取涵蓋對應於關鍵信號路徑的角例 子,因而藉由對於一或多個辨識的信號路徑而非IC中的其他信號路徑,進行以角為基礎的RC萃取,處理時間減少,同時確保通過IC的正確性。 At operation 1053, for the signal path identified by operation 1052, an RC extraction with air gap considerations is performed. This RC extraction with air gap considerations refers to corner-based RC extraction. In at least one embodiment, the angle-based RC extraction is performed in a manner similar to the RC extraction described in operation 1051, with the difference being that the dielectric constant of the web having the interposed air gap is considered. Therefore, the angle-based RC extraction system provides a more accurate extraction parasitic parameter. In at least one embodiment, since the angle-based RC extraction covers corner examples corresponding to critical signal paths Thus, by performing angular-based RC extraction for one or more identified signal paths rather than other signal paths in the IC, processing time is reduced while ensuring correctness through the IC.

在操作1054,對於各個辨識的信號路徑,得到兩個時間延遲值。使用以角為基礎的RC萃取所萃取的寄生電容,得到第一時間延遲值,其在本文稱為Daccurate,係用於所辨識的信號路徑。使用如操作1051所述之以RC萃取而無考量空氣間隙所萃取的寄生電容,得到第二時間延遲值,其在本文稱為Dcorner,係用於辨識的信號路徑。在至少一實施例中,Dcorner係得自於操作1051的STA之結果。 At operation 1054, two time delay values are obtained for each of the identified signal paths. Using the parasitic capacitance extracted by the angle-based RC extraction, a first time delay value, referred to herein as D accurate , is used for the identified signal path. Using a RC extraction as described in operation 1051 without considering the parasitic capacitance extracted by the air gap, a second time delay value, referred to herein as D corner , is used for the identified signal path. In at least one embodiment, D corner is derived from the result of the STA of operation 1051.

在操作1055,判斷Dcorner是否符合Daccurate。當Dcorner與Daccurate之間的差之絕對值不大於百分之X時,Dcorner係被視為符合Daccurate。在一些實施例中,X係2%至4%。在少一實施例中,X為3%。使Dcorner符合Daccurate的其他X值與/或配置係在各種實施例的範圍內。 At operation 1055, it is determined whether D corner conforms to D accurate . When the absolute value of the difference between D corner percent and not greater than D accurate X, D corner are deemed to comply with Department D accurate. In some embodiments, the X system is between 2% and 4%. In a lesser embodiment, X is 3%. D corner so that the extent consistent with other D accurate values X and / or configurations based on various embodiments.

在操作1056,響應Dcorner不符合Daccurate的判斷(操作1055為「否」),調整原始RC技術檔案中的介電常數K。在至少一實施例中,Dcorner>Daccurate係指不考量空氣間隙所萃取的寄生電容係大於以角為基礎之RC萃取所萃取之更正確的寄生電容。為了降低未考量空氣間隙所萃取的寄生電容以符合以角為基礎之RC萃取所萃取之寄生電容,降低原始RC技術檔案中的介電常數,例如將其縮小。在至少一實施例中,Dcorner<Daccurate係指未考量空氣間隙所萃取的寄生電容係小於以角為基礎的RC萃取所萃取之更正確的寄生電容。為了增加未考量空氣間隙所萃取之寄生電容以符合以角為基礎的RC萃取所萃取的寄生電容,增加原始RC技術檔案中的介電常數K,例如放大介電常數K。在一些實施例中,辨識用於以角為基礎的RC萃取以及介電常數K的調整之信號路徑係包括1W1S信號路徑,其具有網,網具 有IC規格所允許最小寬度(亦即1W)以及IC規格所允許之與相鄰網的最小間隔(亦即1S)。 At operation 1056, the response D corner does not meet the D- accuracy determination (NO at operation 1055), and the dielectric constant K in the original RC technology file is adjusted. In at least one embodiment, D corner >D accurate means that the parasitic capacitance extracted without considering the air gap is greater than the more accurate parasitic capacitance extracted by the angle-based RC extraction. In order to reduce the parasitic capacitance extracted by the unmeasured air gap to meet the parasitic capacitance extracted by the angle-based RC extraction, the dielectric constant in the original RC technical file is reduced, for example, by reducing it. In at least one embodiment, D corner <D accurate means that the parasitic capacitance extracted by the unmeasured air gap is less than the more accurate parasitic capacitance extracted by the angle-based RC extraction. In order to increase the parasitic capacitance extracted from the air gap that is not considered to conform to the parasitic capacitance extracted by the angle-based RC extraction, the dielectric constant K in the original RC technical file, such as the amplification dielectric constant K, is increased. In some embodiments, the signal path identifying the angle-based RC extraction and the adjustment of the dielectric constant K comprises a 1W1S signal path having a mesh having a minimum width (ie, 1 W) allowed by the IC specification and The minimum spacing (ie 1S) allowed by the IC specification from the adjacent network.

在操作1057,以操作1056所調整的介電常數K,更新原始RC技術檔案而得到新的RC技術檔案。 At operation 1057, the original RC technology file is updated with the dielectric constant K adjusted by operation 1056 to obtain a new RC technology file.

在操作1058,以調整的介電常數K,更新未考量空氣間隙所萃取的寄生電容。例如,當操作1056的調整中縮小介電常數K時,根據所調整的介電常數K,亦縮小寄生電容。當操作1056的調整中放大介電常數K時,根據所調整的介電常數K,亦放大寄生電容。進行STA,使用更新的寄生電容,以重新計算對應之辨識路徑的Dcorner。而後,製程返回至操作1055,判斷重新計算的Dcorner是否符合Daccurate。當重新計算的Dcorner仍不符合Daccurate時,在操作1056、1057與1058中重複調整介電常數K。 At operation 1058, the parasitic capacitance extracted without considering the air gap is updated with the adjusted dielectric constant K. For example, when the dielectric constant K is reduced in the adjustment of operation 1056, the parasitic capacitance is also reduced in accordance with the adjusted dielectric constant K. When the dielectric constant K is amplified in the adjustment of operation 1056, the parasitic capacitance is also amplified in accordance with the adjusted dielectric constant K. Perform STA, use the updated parasitic capacitance to recalculate the D corner of the corresponding identification path. The process then returns to operation 1055 to determine if the recalculated D corner meets D accuracy . When D corner recalculation not meet the D accurate, the operation is repeated 1056, 1057 and 1058 to adjust the dielectric constant K.

在操作1059,響應Dcorner符合Daccurate的判斷,對應於使Daccurate與Dcorner匹配的介電常數K,對於IC中的其他信號路徑,被用於調整不考量空氣間隙所萃取的寄生電容。在一些實施例中,當操作1056的調整中係縮小介電常數K時,根據所調整的介電常數K,亦縮小其他信號路徑所萃取的寄生電容。當操作1056的調整中係放大介電常數K時,根據所調整的介電常數K,亦放大其他信號路徑所萃取的寄生電容。輸出所調整的寄生參數,用於後續處理。 At operation 1059, the response D corner conforms to the D- accuracy determination, corresponding to the dielectric constant K that matches D- precision with D corner , for other signal paths in the IC, used to adjust the parasitic capacitance extracted without considering the air gap. In some embodiments, when the dielectric constant K is reduced during the adjustment of operation 1056, the parasitic capacitance extracted by the other signal paths is also reduced according to the adjusted dielectric constant K. When the adjustment of the operation 1056 is to amplify the dielectric constant K, the parasitic capacitance extracted by the other signal paths is also amplified according to the adjusted dielectric constant K. The adjusted parasitic parameters are output for subsequent processing.

在操作1060,所調整的寄生參數係用於時序結束IC佈局。在至少一實施例中,操作1060的時序結束係對應於圖1的操作160所述之時序結束。 At operation 1060, the adjusted parasitic parameters are used for timing end IC layout. In at least one embodiment, the end of the sequence of operation 1060 corresponds to the end of the sequence described in operation 160 of FIG.

有其他的方法進行IC的RC萃取且考量空氣間隙。當相鄰導電性圖案之間的隔離從空氣間隙改變為介電材料時,由於存所插入的空氣間隙與介電常數之相關變化存在,因而此RC萃取耗時。此外,當空氣間隙插入的位置基於後續階段的分析而改變時,重新產 生包含空氣間隙圖案的遮罩層,並且對於IC重複進行考量空氣間隙之耗時的RC萃取。因此,設計時間與成本皆增加。 There are other ways to perform RC extraction of the IC and consider the air gap. When the isolation between adjacent conductive patterns is changed from the air gap to the dielectric material, the RC extraction is time consuming due to the change in the associated air gap and the dielectric constant. In addition, when the position of the air gap insertion is changed based on the analysis of the subsequent stage, the production is re-produced. A mask layer containing an air gap pattern is created and a time consuming RC extraction that takes into account the air gap is repeated for the IC. Therefore, design time and cost increase.

相較於其他方法,根據一些實施例,IC設計製程1000對於IC中的一或多個關鍵信號路徑而非其他路徑,進行考量空氣間隙的RC萃取。因此,由於以角為基礎的RC萃取涵蓋對應於關鍵信號路徑的角例子,因而減少處理時間,同時確保通過IC的正確性。在至少一實施例中,相較於其他方法,時序結束(例如,操作1060)與佈局校正(例如,操作1030與/或操作1040)之間的周轉時間減少。在至少一實施例中,進行RC萃取,而不重複產生包含空氣間隙圖案的遮罩層。 In contrast to other approaches, in accordance with some embodiments, the IC design process 1000 takes into account RC extraction of air gaps for one or more critical signal paths in the IC, rather than other paths. Thus, since the angle-based RC extraction covers angular examples corresponding to critical signal paths, processing time is reduced while ensuring correctness through the IC. In at least one embodiment, the turnaround time between the end of timing (eg, operation 1060) and the layout correction (eg, operation 1030 and/or operation 1040) is reduced compared to other methods. In at least one embodiment, RC extraction is performed without repeatedly producing a mask layer comprising an air gap pattern.

上述方法包含範例操作,但不需要以所示之順序進行。根據本揭露之實施例的精神與範圍,可適當地加入、置換、改變順序、與/或排除操作。結合不同特徵與/或不同實施例的實施方式亦在本揭露的範圍內,並且對於該技藝中具有通常技術者而言在理解本揭露之後係屬可得知的。 The above methods include example operations, but need not be performed in the order shown. Depending on the spirit and scope of the embodiments of the present disclosure, the operations may be added, replaced, changed, and/or eliminated as appropriate. Embodiments that combine different features and/or different embodiments are also within the scope of the disclosure, and are known to those of ordinary skill in the art after having the understanding of the disclosure.

圖11係根據一些實施例說明計算機系統1100的方塊圖。藉由一或多個圖11的計算機系統1100,在一些實施例中,實施圖1至圖7所述之一或多個工具與/或引擎與/或系統與/或操作。系統1100包括經由匯流排1104或其他互連通訊機制所通訊耦合之至少一處理器1101、記憶體1102、網路介面(I/F)1106、儲存器1110、輸入/輸出(I/O)裝置1108。 11 is a block diagram illustrating a computer system 1100 in accordance with some embodiments. With one or more of computer system 1100 of FIG. 11, in some embodiments, one or more of the tools and/or engines and/or systems and/or operations described in FIGS. 1-7 are implemented. System 1100 includes at least one processor 1101, memory 1102, network interface (I/F) 1106, memory 1110, input/output (I/O) device communicatively coupled via bus 1104 or other interconnect communication mechanism 1108.

在一些實施例中,記憶體1102包括隨機存取記憶體(RAM)與/或其他動態儲存裝置與/或唯讀記憶體(ROM)與/或其他靜態儲存裝置,耦合至匯流排1104用於儲存處理器1101所要執行的資料與/或指令,例如核心1114、使用者空間1116、部分的核心與/或使用者空間以及其組件。在一些實施例中,亦使用記憶體1102,用於儲存處理器1101執行指令過程中的暫時變數或其他中間資訊。 In some embodiments, memory 1102 includes random access memory (RAM) and/or other dynamic storage devices and/or read only memory (ROM) and/or other static storage devices coupled to bus bar 1104 for The data and/or instructions to be executed by the processor 1101 are stored, such as core 1114, user space 1116, portions of the core and/or user space, and components thereof. In some embodiments, the memory 1102 is also used to store temporary variables or other intermediate information during the execution of the instructions by the processor 1101.

在一些實施例中,儲存裝置1110,例如磁碟或光碟,係耦合至匯流排1104,用於儲存資料與/或指令,例如核心1114、使用者空間1116等。I/O裝置1108包括輸入裝置、輸出裝置與/或結合的輸入/輸出裝置,用於使得使用者與系統1100交互作用。例如,輸入裝置包括鍵盤、鍵板、滑鼠、軌跡球、軌跡墊與/或游標方向鍵,用於與處理器1101通訊資訊與指令。例如,輸出裝置包括顯示器、印表機、語音合成器等,用於與使用者通訊資訊。 In some embodiments, storage device 1110, such as a magnetic disk or optical disk, is coupled to busbar 1104 for storing data and/or instructions, such as core 1114, user space 1116, and the like. I/O device 1108 includes input devices, output devices, and/or combined input/output devices for causing a user to interact with system 1100. For example, the input device includes a keyboard, a keypad, a mouse, a trackball, a track pad, and/or a cursor direction key for communicating information and instructions with the processor 1101. For example, the output device includes a display, a printer, a voice synthesizer, etc. for communicating information with the user.

在一些實施例中,藉由處理器1101,實施圖1至圖7所述之一或多個操作與/或工具的功能性與/或引擎與/或系統,該處理器1101係編程用於進行此操作與/或功能。在一些實施例中,處理器1101係作為特定架構的硬體(例如,一或多個特殊應用積體電路(ASIC))。一或多個記憶體1102、I/F 1106、儲存裝置1110、I/O裝置1108、硬體組件1118以及匯流排1104係可操作的,接收用於處理器1101進行處理的指令、資料、設計限制、設計規則、網表、佈局、模型與/或其他參數。 In some embodiments, the functionality and/or engine and/or system of one or more operations and/or tools described in FIGS. 1-7 is implemented by processor 1101, which is programmed for Do this and/or function. In some embodiments, processor 1101 is hardware of a particular architecture (eg, one or more special application integrated circuits (ASICs)). One or more memories 1102, I/F 1106, storage device 1110, I/O device 1108, hardware component 1118, and bus bar 1104 are operable to receive instructions, data, and designs for processing by processor 1101. Limits, design rules, netlists, layouts, models, and/or other parameters.

在一些實施例中,操作與/或功能被實施為儲存在非暫時計算機可讀取媒介中所儲存的程式之功能。在至少一實施例中,操作與/或功能被實施為儲存在記憶體1102中的程式之功能,例如一組可執行的指令。在至少一實施例中,儲存在記憶體1102的指令包括用於實施圖1、3、5、7、8與10所述之製程流程至少其中之一的功能。非暫時計算機可讀取儲存媒介的範例包含但不限於外部/可移除的與/或內部/內建的儲存或記憶體單元,例如一或多個例如DVD之光碟、例如硬碟之磁碟、例如ROM、RAM、記憶卡之半導體記憶體,以及類似物。 In some embodiments, the operations and/or functions are implemented as functions stored in a program stored in a non-transitory computer readable medium. In at least one embodiment, the operations and/or functions are implemented as functions of a program stored in memory 1102, such as a set of executable instructions. In at least one embodiment, the instructions stored in memory 1102 include functionality for implementing at least one of the process flows of FIGS. 1, 3, 5, 7, 8, and 10. Examples of non-transitory computer readable storage media include, but are not limited to, external/removable and/or internal/built-in storage or memory units, such as one or more optical discs such as DVDs, such as hard disk drives. For example, semiconductor memory such as ROM, RAM, memory card, and the like.

在一些實施例中,根據IC中的網之長度與/或其他特性或成本函數,在IC佈局中插入空氣間隙。因此,在一或多個實施例 中,優化因空氣間隙插入之寄生電容降低效應。 In some embodiments, an air gap is inserted in the IC layout based on the length of the mesh in the IC and/or other characteristics or cost functions. Thus, one or more embodiments Optimize the parasitic capacitance reduction effect due to air gap insertion.

在一些實施例中,在IC佈局中插入虛擬網,以於不可插入空氣間隙的區域中增加空氣間隙覆蓋。在一些實施例中,根據IC中之網的長度與/或其他特性或成本函數,插入虛擬網與空氣間隙。因此,在一或多個實施例中,優化因空氣間隙插入之寄生電容降低效應。 In some embodiments, a virtual mesh is inserted in the IC layout to increase air gap coverage in areas where air gaps are not insertable. In some embodiments, the virtual mesh and air gap are inserted according to the length of the network in the IC and/or other characteristics or cost functions. Thus, in one or more embodiments, the parasitic capacitance reduction effect due to air gap insertion is optimized.

在一些實施例中,在APR階段,甚至在空氣間隙實際插入於佈局中之前,考量空氣間隙,進行RC估計。因此,APR階段輸出用於後續空氣間隙插入之優化的佈局,其最大化或至少增加與空氣間隙插入相關的效能益處。 In some embodiments, during the APR phase, the air gap is considered for RC estimation even before the air gap is actually inserted into the layout. Thus, the APR stage outputs an optimized layout for subsequent air gap insertion that maximizes or at least increases the performance benefits associated with air gap insertion.

在一些實施例中,對於IC的關鍵信號路徑,考量空氣間隙,進行以角為基礎的RC萃取,而對於IC之其他、較非關鍵的信號路徑,進行不考量空氣間隙的RC萃取。因此,藉由覆蓋角例子,減少設計周轉時間,同時確保正確性。 In some embodiments, for the critical signal path of the IC, the air gap is considered for angular-based RC extraction, while for other, less critical signal paths of the IC, RC extraction is not considered for air gaps. Therefore, by using the coverage angle example, the design turnaround time is reduced while ensuring correctness.

在一些實施例中,至少部分藉由處理器所進行的方法係包括進行空氣間隙插入製程。空氣間隙插入製程包括順序分類積體電路佈局的複數個網,以及根據複數個網的分類順序,將空氣間隙圖案插入與複數個網相鄰。該方法進一步包括產生積體電路的修飾佈局。修飾佈局包括複數個網以及插入的空氣間隙圖案。 In some embodiments, the method performed at least in part by the processor includes performing an air gap insertion process. The air gap insertion process includes a plurality of nets that sequentially classify the integrated circuit layout, and inserts the air gap pattern adjacent to the plurality of nets according to the sorting order of the plurality of nets. The method further includes generating a modified layout of the integrated circuit. The decorated layout includes a plurality of nets and an interposed air gap pattern.

在一些實施例中,裝置包括至少一處理器,用於進行以下操作。虛擬網與空氣間隙插入製程包括依序分類積體電路之佈局的複數個網,以及根據複數個網的分類順序,將虛擬網與空氣間隙圖案插入與複數個網相鄰。產生積體電路的修飾佈局。修飾佈局包括複數個網、插入的虛擬網、以及插入的空氣間隙圖案。 In some embodiments, the apparatus includes at least one processor for performing the following operations. The virtual network and air gap insertion process includes a plurality of networks that sequentially classify the layout of the integrated circuit, and inserts the virtual network and the air gap pattern adjacent to the plurality of nets according to the sorting order of the plurality of nets. Produce a modified layout of the integrated circuit. The decorated layout includes a plurality of nets, an inserted virtual net, and an inserted air gap pattern.

在一些實施例中,計算機程式產品包括非暫時計算機可讀取的媒介,其包含指令於其中,當藉由至少一處理器而執行時, 造成至少一處理器進行以下操作。在積體電路的複數個網中,選擇用於空氣間隙插入的候選網。基於對應候選網的長度,判斷候選網的各種縮放比例。基於候選網的對應縮放比例,估計候選網的電容。基於所估計的候選網之電容,進行全面佈線、軌道分配或詳細佈線其中其之,以產生積體電路的佈局。 In some embodiments, a computer program product includes a non-transitory computer readable medium, including instructions therein, when executed by at least one processor, Cause at least one processor to perform the following operations. In a plurality of networks of integrated circuits, candidate networks for air gap insertion are selected. The various scaling ratios of the candidate networks are determined based on the length of the corresponding candidate network. The capacitance of the candidate network is estimated based on the corresponding scaling of the candidate network. Based on the estimated capacitance of the candidate network, full wiring, track distribution, or detailed routing is performed to generate a layout of the integrated circuit.

前述說明概述一些實施例的特徵,因而該技藝之技術人士可更加理解本揭露的各方面。該技藝的技術人士應理解其可輕易使用本揭露作為設計或修飾其他製程與結構的基礎,而產生與本申請案相同之目的以及/或達到相同優點。該技藝之技術人士亦應理解此均等架構並不脫離本揭露的精神與範圍,並且其可進行各種改變、取代與變化而不脫離本揭露的精神與範圍。 The foregoing description summarizes the features of some embodiments, and those skilled in the art can understand the aspects of the disclosure. Those skilled in the art will appreciate that the present disclosure can be readily utilized as a basis for designing or modifying other processes and structures to achieve the same objectives and/or the same advantages as the present application. It should be understood by those skilled in the art that the present invention is not limited to the spirit and scope of the disclosure, and various changes, substitutions and changes can be made without departing from the spirit and scope of the disclosure.

110‧‧‧IC設計 110‧‧‧IC design

120‧‧‧APR 120‧‧‧APR

130‧‧‧虛擬插入 130‧‧‧virtual insertion

140‧‧‧空氣間隙插入 140‧‧‧Air gap insertion

150‧‧‧RC萃取 150‧‧‧RC extraction

160‧‧‧時序結束 160‧‧‧End of sequence

170‧‧‧製造 170‧‧‧Manufacture

Claims (10)

一種方法,該方法係至少部分由一處理器進行,該方法包括:進行一空氣間隙插入製程,該空氣間隙插入製程包括:依序分類一積體電路之一佈局的複數個網;以及根據該等網的分類順序,插入與該等網相鄰之空氣間隙圖案;以及產生該積體電路的一修飾佈局,該修飾佈局包括該等網以及該插入的空氣間隙圖案。 A method, the method being performed at least in part by a processor, the method comprising: performing an air gap insertion process, the air gap insertion process comprising: sequentially sorting a plurality of nets arranged in one of the integrated circuits; a sorting order of the equal nets, inserting an air gap pattern adjacent to the nets; and a modified layout for producing the integrated circuits, the modified layout including the nets and the inserted air gap pattern. 如請求項1所述的方法,進一步包括:在插入與該等網中的一網相鄰之至少一空氣間隙圖案之後,判斷已經插入的該等空氣間隙圖案是否滿足限制;響應已經插入的該空氣間隙圖案不滿足該限制之判斷,移除該插入的至少一空氣間隙圖案,以及進行至該修飾佈局之該產生;以及響應已經插入的該空氣間隙圖案滿足該限制的判斷,按照分類順序,插入至少另一個空氣間隙圖案以與該等網中的下一個網相鄰,以及返回至該判斷。 The method of claim 1, further comprising: after inserting at least one air gap pattern adjacent to a net in the nets, determining whether the air gap patterns that have been inserted satisfy a limit; responding to the already inserted The air gap pattern does not satisfy the determination of the limit, removing the inserted at least one air gap pattern, and performing the generation to the modified layout; and determining, in accordance with the classification order, that the air gap pattern that has been inserted satisfies the limit At least one other air gap pattern is inserted to be adjacent to the next one of the nets, and back to the determination. 如請求項1所述的方法,其中該等網包括信號網以及至少一虛擬網,以及該方法進一步包括在該空氣間隙插入製程之前,插入該至少一虛擬網以與至少一個該信號網相鄰。 The method of claim 1, wherein the network comprises a signal network and at least one virtual network, and the method further comprises inserting the at least one virtual network adjacent to the at least one signal network prior to the air gap insertion process . 如請求項1所述的方法,進一步包括:檢查該積體電路是否滿足一時序規格;響應該積體電路不滿足該時序規格的判斷, 辨識該積體電路中一失敗的信號路徑,以及對於包含在該失敗的信號路徑中的網,進行該空氣間隙插入製程。 The method of claim 1, further comprising: checking whether the integrated circuit satisfies a timing specification; and responding to the determination that the integrated circuit does not satisfy the timing specification, Identifying a failed signal path in the integrated circuit and performing the air gap insertion process for the network included in the failed signal path. 一種裝置,包括至少一處理器用以執行:一虛擬網與空氣間隙插入製程,該虛擬網與空氣間隙插入製程包括:依序分類一積體電路的一佈局之複數個網;以及根據該等網的分類順序,插入與該等網相鄰之虛擬網與空氣間隙圖案;以及產生該積體電路的一修飾佈局,該修飾佈局包括該等網、該等插入的虛擬網、以及該等插入的空氣間隙圖案。 An apparatus comprising: at least one processor for performing: a virtual network and an air gap insertion process, the virtual network and air gap insertion process comprising: sequentially sorting a plurality of networks of a layout of an integrated circuit; and according to the network a sorting order, inserting a virtual mesh and air gap pattern adjacent to the nets; and a modified layout for generating the integrated circuit, the modified layout including the nets, the inserted virtual nets, and the inserted Air gap pattern. 如請求項5所述的裝置,其中該插入係包括:插入至少一虛擬網以與該等網中的一網相鄰;在該插入的至少一虛擬網與該對應網之間,插入至少一空氣間隙圖案;以及在該對應網與該等網中的一相鄰網之間,插入至少另一個空氣間隙圖案。 The device of claim 5, wherein the inserting system comprises: inserting at least one virtual network to be adjacent to a network in the network; inserting at least one between the inserted at least one virtual network and the corresponding network An air gap pattern; and at least another air gap pattern is interposed between the corresponding net and an adjacent one of the nets. 如請求項5所述的裝置,其中該虛擬網與空氣間隙插入製程進一步包括:在插入至少一虛擬網以與該等網中的一網相鄰以及在該插入的至少一虛擬網與該對應網之間插入至少一空氣間隙圖案之後,判斷已經插入的該空氣間隙圖案是否滿足一限制;響應已經插入的該空氣間隙圖案不滿足該限制之判斷,移除該插入的至少一空氣間隙圖案,以及進行至該修飾佈局之該產生;以及響應已經插入的該空氣間隙圖案滿足該限制的判斷, 按照該分類順序,插入至少另一個虛擬網以與該等網中的下一個網相鄰,在該至少另一個虛擬網與該下一個網之間,插入至少另一個空氣間隙圖案,以及返回至該判斷。 The device of claim 5, wherein the virtual network and air gap insertion process further comprises: inserting at least one virtual network to be adjacent to a network in the networks and corresponding to the inserted at least one virtual network After inserting at least one air gap pattern between the nets, determining whether the air gap pattern that has been inserted satisfies a limit; and removing the inserted at least one air gap pattern in response to the determination that the air gap pattern that has been inserted does not satisfy the limit, And performing the generation of the modified layout; and determining that the air gap pattern that has been inserted satisfies the limit, Inserting at least one other virtual network adjacent to the next one of the nets according to the sorting order, inserting at least another air gap pattern between the at least one other virtual net and the next net, and returning to The judgment. 如請求項5所述的裝置,其中該虛擬網與空氣間隙插入製程進一步包括:在插入至少一虛擬網以與該等網中的一網相鄰以及在該插入的至少一虛擬網與該對應網之間插入至少一空氣間隙圖案之後,判斷已經插入的該空氣間隙圖案是否滿足一限制;響應已經插入的該空氣間隙圖案不滿足該限制之判斷,移除該插入的至少一虛擬網,移除該插入的至少一空氣間隙圖案,以及進行至該修飾佈局之該產生;以及響應已經插入的該空氣間隙圖案滿足該限制的判斷,按照該分類順序,插入至少另一個虛擬網以與該等網中的下一個網相鄰,在該至少另一個虛擬網與該下一個網之間,插入至少另一個空氣間隙圖案,以及返回至該判斷。 The device of claim 5, wherein the virtual network and air gap insertion process further comprises: inserting at least one virtual network to be adjacent to a network in the networks and corresponding to the inserted at least one virtual network After inserting at least one air gap pattern between the nets, determining whether the air gap pattern that has been inserted satisfies a limit; in response to the determination that the air gap pattern that has been inserted does not satisfy the limit, removing the inserted at least one virtual net, moving In addition to the insertion of at least one air gap pattern, and the generation to the modified layout; and in response to the determination that the air gap pattern that has been inserted satisfies the limit, in accordance with the sorting order, inserting at least another virtual network to The next network in the network is adjacent, at least another air gap pattern is inserted between the at least one other virtual network and the next network, and the determination is returned. 一種計算機程式產品,其包括非暫時計算機可讀取的媒介,其包含指令於其中,當藉由至少一處理器而執行時,使該至少一處理器執行:在一積體電路的複數個網中,選擇用於空氣間隙插入的候選網;基於該等對應的候選網之長度,判斷該等候選網的各種縮放比 例;基於該等候選網的對應縮放比例,估計該等候選網的電容;以及基於該等候選網之該估計的電容,進行全面佈線、軌道分配以及詳細佈線至少其中之一,產生該積體電路的一佈局。 A computer program product comprising a non-transitory computer readable medium, comprising instructions therein, when executed by at least one processor, causing the at least one processor to execute: a plurality of networks in an integrated circuit Selecting candidate networks for air gap insertion; determining various scaling ratios of the candidate networks based on the lengths of the corresponding candidate networks Example: estimating capacitances of the candidate networks based on corresponding scaling ratios of the candidate networks; and performing at least one of full wiring, track distribution, and detailed routing based on the estimated capacitance of the candidate networks, generating the integrated body A layout of the circuit. 如請求項9所述的計算機程式產品,其中當藉由該至少一處理器而執行時該等指令時,該等指令進一步使該至少一處理器執行:在該積體電路之該產生的佈局中,插入虛擬網與空氣間隙圖案;在該積體電路中辨識一信號路徑,該信號路徑包含該等空氣間隙圖案之至少一者;基於考量該信號路徑中的該至少一空氣間隙圖案而萃取的該信號路徑之至少一電容,計算該信號路徑的一第一時間延遲;計算該信號路徑的一第二時間延遲,該計算係基於不考量該信號路徑中的該至少一空氣間隙圖案而萃取的該信號路徑之至少一電容,以及一可調整的介電常數;調整該介電常數,使得該第二時間延遲匹配該第一時間延遲;以及基於該第二時間延遲匹配該第一時間延遲之該調整的介電常數,調整該積體電路中之其他信號路徑的電容。 The computer program product of claim 9, wherein when the instructions are executed by the at least one processor, the instructions further cause the at least one processor to execute: the generated layout of the integrated circuit Inserting a virtual network and an air gap pattern; identifying a signal path in the integrated circuit, the signal path including at least one of the air gap patterns; extracting based on the at least one air gap pattern in the signal path Calculating a first time delay of the signal path by calculating at least one capacitance of the signal path; calculating a second time delay of the signal path, the calculating is based on extracting the at least one air gap pattern in the signal path At least one capacitance of the signal path, and an adjustable dielectric constant; adjusting the dielectric constant such that the second time delay matches the first time delay; and matching the first time delay based on the second time delay The adjusted dielectric constant adjusts the capacitance of other signal paths in the integrated circuit.
TW104137688A 2014-11-26 2015-11-16 Method, device and computer program product for integrated circuit layout generation TWI598758B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/555,191 US10140407B2 (en) 2014-11-26 2014-11-26 Method, device and computer program product for integrated circuit layout generation

Publications (2)

Publication Number Publication Date
TW201629815A true TW201629815A (en) 2016-08-16
TWI598758B TWI598758B (en) 2017-09-11

Family

ID=56010481

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137688A TWI598758B (en) 2014-11-26 2015-11-16 Method, device and computer program product for integrated circuit layout generation

Country Status (4)

Country Link
US (1) US10140407B2 (en)
KR (1) KR101776385B1 (en)
CN (1) CN105631087B (en)
TW (1) TWI598758B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713984B (en) * 2019-01-28 2020-12-21 和碩聯合科技股份有限公司 Method for calculating impedance of conductor
TWI718296B (en) * 2016-11-29 2021-02-11 台灣積體電路製造股份有限公司 Intergration circuit simulation method and intergration circuit simulation system
US11537773B2 (en) 2020-05-18 2022-12-27 Taiwan Semiconductor Manufacturing Company Ltd. Systems and methods for integrated circuit layout
TWI810566B (en) * 2020-05-18 2023-08-01 台灣積體電路製造股份有限公司 Integrated circuit design implementation system, and method and processing system program product for providing integrated circuit design

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9991249B2 (en) * 2016-02-11 2018-06-05 Samsung Electronics Co., Ltd. Integrated circuit and computer-implemented method of manufacturing the same
US10949595B2 (en) * 2017-06-22 2021-03-16 Semiconductor Energy Laboratory Co., Ltd. Layout design system and layout design method
US10846456B2 (en) 2018-05-02 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit modeling methods and systems
US10892185B2 (en) 2018-09-28 2021-01-12 Samsung Electronics Co., Ltd. Semiconductor device including a blocking pattern in an interconnection line
US10699043B2 (en) * 2018-12-04 2020-06-30 Google Llc Generating integrated circuit floorplans using neural networks
TWI718486B (en) * 2019-02-27 2021-02-11 瑞昱半導體股份有限公司 Ic layout design method
CN113505554A (en) * 2021-07-05 2021-10-15 广东工业大学 Aging pre-calibration method and system

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6728113B1 (en) 1993-06-24 2004-04-27 Polychip, Inc. Method and apparatus for non-conductively interconnecting integrated circuits
JP3481222B2 (en) 2001-09-07 2003-12-22 松下電器産業株式会社 Wiring structure and its design method
JP2004021766A (en) * 2002-06-19 2004-01-22 Fujitsu Ltd Electronic circuit design method and computer program
JP4284235B2 (en) * 2004-06-07 2009-06-24 富士通株式会社 WIRING SELECTION METHOD AND DEVICE, WIRING SELECTION PROGRAM, COMPUTER-READABLE RECORDING MEDIUM CONTAINING WIRING SELECTION PROGRAM, AND DELAY IMPROVING METHOD
US7228514B2 (en) * 2005-01-21 2007-06-05 International Business Machines Corporation Method, system and computer program product for automatically estimating pin locations and interconnect parasitics of a circuit layout
US7703067B2 (en) 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
JP2008103610A (en) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd Wiring structure for semiconductor integrated circuit, its design method, and design device
JP2008130911A (en) * 2006-11-22 2008-06-05 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit design method and program, semiconductor integrated circuit design support method and program, and wiring parasitic capacity calculation method and program
US7801717B2 (en) 2007-01-22 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd Method for smart dummy insertion to reduce run time and dummy count
JP2008205283A (en) 2007-02-21 2008-09-04 Matsushita Electric Ind Co Ltd Wiring structure for semiconductor integrated circuit device, designing method and designing apparatus thereof
KR100847844B1 (en) 2007-08-10 2008-07-23 주식회사 동부하이텍 Method of designing a dummy pattern for a semiconductor device
US8826207B2 (en) 2007-09-17 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating technology file for integrated circuit design tools
US8307321B2 (en) 2009-03-20 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for dummy metal and dummy via insertion
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8685778B2 (en) 2010-06-25 2014-04-01 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8769451B2 (en) * 2012-07-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer program product

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718296B (en) * 2016-11-29 2021-02-11 台灣積體電路製造股份有限公司 Intergration circuit simulation method and intergration circuit simulation system
TWI713984B (en) * 2019-01-28 2020-12-21 和碩聯合科技股份有限公司 Method for calculating impedance of conductor
US11537773B2 (en) 2020-05-18 2022-12-27 Taiwan Semiconductor Manufacturing Company Ltd. Systems and methods for integrated circuit layout
TWI810566B (en) * 2020-05-18 2023-08-01 台灣積體電路製造股份有限公司 Integrated circuit design implementation system, and method and processing system program product for providing integrated circuit design
US11853667B2 (en) 2020-05-18 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for integrated circuit layout

Also Published As

Publication number Publication date
KR20160063225A (en) 2016-06-03
KR101776385B1 (en) 2017-09-07
TWI598758B (en) 2017-09-11
US10140407B2 (en) 2018-11-27
US20160147928A1 (en) 2016-05-26
CN105631087A (en) 2016-06-01
CN105631087B (en) 2018-12-21

Similar Documents

Publication Publication Date Title
TWI598758B (en) Method, device and computer program product for integrated circuit layout generation
US8701055B1 (en) Macro cell based process design kit for advanced applications
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
KR102396699B1 (en) Cell placement and routing using cell level layout dependent stress effects
Kahng et al. VLSI physical design: from graph partitioning to timing closure
US8826213B1 (en) Parasitic capacitance extraction for FinFETs
US8943455B2 (en) Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US8336002B2 (en) IC design flow enhancement with CMP simulation
US8984470B2 (en) Method and apparatus for performing redundant via insertion during circuit design
CN107315848B (en) Method and system for integrated circuit design
US10396063B2 (en) Circuit with combined cells and method for manufacturing the same
US10169515B2 (en) Layout modification method and system
US8707230B1 (en) Method and system for semiconductor simulation
US20100270671A1 (en) Manipulating fill patterns during routing
TW202018869A (en) Integrated circuit layout method
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
US10002224B2 (en) Interactive routing of connections in circuit using auto welding and auto cloning
US9659133B2 (en) Method, system and computer program product for generating layout for semiconductor device
US20070266360A1 (en) Metal Thickness Simulation for Improving RC Extraction Accuracy
KR20170094744A (en) Integrated circuit and computer-implemented method for manufacturing the same
US8316336B1 (en) Method and mechanism for modeling interconnect structures for integrated circuits
Lienig et al. Steps in Physical Design: From Netlist Generation to Layout Post Processing
Elshawy et al. Multi-device layout templates for nanometer analog design
US20240086609A1 (en) Integrated circuit design method, system and computer program product
US10534889B2 (en) Determining ECO aggressor nets during incremental extraction