TW201619428A - Low-k oxide deposition by hydrolysis and condensation - Google Patents

Low-k oxide deposition by hydrolysis and condensation Download PDF

Info

Publication number
TW201619428A
TW201619428A TW104126948A TW104126948A TW201619428A TW 201619428 A TW201619428 A TW 201619428A TW 104126948 A TW104126948 A TW 104126948A TW 104126948 A TW104126948 A TW 104126948A TW 201619428 A TW201619428 A TW 201619428A
Authority
TW
Taiwan
Prior art keywords
film
depositing
decane
flowable
semiconductor substrate
Prior art date
Application number
TW104126948A
Other languages
Chinese (zh)
Inventor
尼可拉斯 穆加 恩迪居
克瑞斯那 尼特拉
德瑞克 B 王
喬治 安祖 安東內利
內麗莎 蘇 戴格
克林帕 派崔克 A 凡
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/464,196 external-priority patent/US9245739B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201619428A publication Critical patent/TW201619428A/en

Links

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Methods for depositing flowable dielectric films using halogen-free precursors and catalysts on a substrate are provided herein. Halogen-free precursors and catalysts include self-catalyzing aminosilane compounds and halogen-free organic acids. Flowable films may be used to fill pores in existing dielectric films on substrates having exposed metallization layers. The methods involve hydrolysis and condensation reactions.

Description

藉由水解及縮合的低介電常數氧化物沉積Low dielectric constant oxide deposition by hydrolysis and condensation

本揭露內容係關於半導體基板處理,更具體而言,係關於藉由水解及縮合的低介電常數氧化物沉積。The present disclosure relates to semiconductor substrate processing and, more particularly, to low dielectric constant oxide deposition by hydrolysis and condensation.

隨著積體電路(IC, integrated circuits)的特徵部尺寸縮小,關於增加之電阻及電阻-電容(RC, resistance-capacitance)耦合的問題抵銷任何源自較小裝置尺寸的速度優勢,而限制裝置效能之改善。改善裝置效能及可靠度之方法包含使用高導電性金屬(如:銅),及使用低介電常數(低k/ low-k, lower dielectric constant)材料。As the feature size of integrated circuits (ICs) shrinks, the problem of increased resistance and resistance-capacitance coupling offsets any speed advantage derived from smaller device sizes, while limiting Improvement in device performance. Methods to improve device performance and reliability include the use of highly conductive metals such as copper and the use of low dielectric constant (low k/low-k, lower dielectric constant) materials.

低k材料係為半導體等級之絕緣材料,其具有一介電常數(「k」),該介電常數較二氧化矽(SiO2 )之介電常數(即3.9)低。隨著越來越多先進技術的需求,使用具有小於2.5的k之超低介電常數(ULK, ultra low-k)介電質材料。可藉由將孔洞併入低k介電質而獲得ULK介電質,產生多孔性的介電質材料。ULK介電質的應用包含後端製程(BEOL, back end of line)之層間介電質(ILDs, interlayer dielectrics)。The low-k material is a semiconductor-grade insulating material having a dielectric constant ("k") which is lower than the dielectric constant (i.e., 3.9) of cerium oxide (SiO 2 ). With the increasing demand for advanced technology, ultra-low dielectric constant (ULK) dielectric materials having a k of less than 2.5 are used. The ULK dielectric can be obtained by incorporating the holes into the low-k dielectric to produce a porous dielectric material. Applications for ULK dielectrics include interlayer dielectrics (ILDs) of the back end of line (BEOL).

本說明書中所提供的係於半導體基板上沉積膜之方法。一態樣包含將包含含矽前驅物、氧化劑、及不含鹵素之酸催化劑化合物之製程氣體導入至反應腔室;及在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體,而形成該可流動膜之化學反應包含SN 1水解機制及縮合A method of depositing a film on a semiconductor substrate as provided in the present specification. In one aspect, a process gas comprising a ruthenium-containing precursor, an oxidant, and a halogen-free acid catalyst compound is introduced into the reaction chamber; and the substrate is exposed under conditions in which the condensed flowable film is formed on the substrate In the process gas, the chemical reaction forming the flowable membrane comprises S N 1 hydrolysis mechanism and condensation

在某些實施例中,該不含鹵素之催化劑化合物係選自由乙酸、及感光性有機酸催化劑所組成之群組,該感光性有機酸催化劑係可選自由由磺酸、苦味酸、酒石酸、檸檬酸、乙二胺四乙酸、焦磷酸、該等酸之經取代衍生物、及其組合所組成之群組。在某些實施例中,當將該基板曝露於UV輻射時,將該基板曝露於該製程氣體。In certain embodiments, the halogen-free catalyst compound is selected from the group consisting of acetic acid, and a photosensitive organic acid catalyst, optionally selected from the group consisting of sulfonic acid, picric acid, tartaric acid, A group consisting of citric acid, ethylenediaminetetraacetic acid, pyrophosphoric acid, substituted derivatives of such acids, and combinations thereof. In certain embodiments, the substrate is exposed to the process gas when the substrate is exposed to UV radiation.

該氧化劑係可選自由水、臭氧、及過氧化物所組成之群組。在各種實施例中,該含矽前驅物及該氧化劑係經由分開的入口而導入至該反應腔室。在某些實施例中,該不含鹵素之催化劑化合物係獨立於該含矽前驅物及該氧化劑而導入至該反應腔室。The oxidizing agent is selected from the group consisting of water, ozone, and peroxide. In various embodiments, the ruthenium containing precursor and the oxidant are introduced into the reaction chamber via separate inlets. In certain embodiments, the halogen-free catalyst compound is introduced into the reaction chamber independently of the ruthenium containing precursor and the oxidant.

在各種實施例中,該方法更包含處理該可流動膜,其可包含將該可流動膜曝露於該氧化劑及將該膜曝露於熱或電漿環境。在某些實施例中,該可流動膜將具有介於約1 Å 及約1 nm之間之平均臨界尺寸的孔隙封閉。In various embodiments, the method further comprises treating the flowable film, which can include exposing the flowable film to the oxidant and exposing the film to a thermal or plasma environment. In certain embodiments, the flowable membrane encloses pores having an average critical dimension between about 1 Å and about 1 nm.

另一態樣包含藉由以下步驟而於半導體基板上沉積膜之方法:將包含含矽前驅物、氧化劑、及不含鹵素之催化劑化合物之製程氣體導入至反應腔室;及在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體,而該催化劑化合物係選自由磺酸、苦味酸、酒石酸、檸檬酸、乙二胺四乙酸、焦磷酸、及其組合所組成之群組。Another aspect includes a method of depositing a film on a semiconductor substrate by the following steps: introducing a process gas comprising a ruthenium-containing precursor, an oxidant, and a halogen-free catalyst compound into the reaction chamber; and The substrate is exposed to the process gas under conditions in which the flow film is formed on the substrate, and the catalyst compound is selected from the group consisting of sulfonic acid, picric acid, tartaric acid, citric acid, ethylenediaminetetraacetic acid, pyrophosphoric acid, and combinations thereof The group that makes up.

在某些實施例中,該可流動膜包含碳摻雜矽氧化物膜。In certain embodiments, the flowable film comprises a carbon doped cerium oxide film.

另一態樣包含藉由以下步驟而於半導體基板上沉積膜之方法:將包含含矽前驅物、及氧化劑之製程氣體導入至反應腔室;及在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體,而該含矽前驅物係為不含鹵素之自催化胺基矽烷化合物,且形成該可流動膜之化學反應包含該胺基矽烷化合物上的胺基與該氧化劑之間的水解機制、及縮合。Another aspect includes a method of depositing a film on a semiconductor substrate by introducing a process gas including a ruthenium-containing precursor and an oxidant into a reaction chamber; and forming a condensable flowable film on the substrate The substrate is exposed to the process gas, and the ruthenium-containing precursor is a halogen-free autocatalytic amino decane compound, and the chemical reaction forming the flowable film comprises an amine group on the amino decane compound. The hydrolysis mechanism and condensation between the oxidant.

在某些實施例中,該含矽前驅物係不含鹵素。在各種實施例中,該方法更包含藉由將該可流動膜曝露於該氧化劑來處理該可流動膜。在某些實施例中,該含矽前驅物之化學結構包含至少一N-烷基胺基團。In certain embodiments, the ruthenium containing precursor is halogen free. In various embodiments, the method further comprises treating the flowable membrane by exposing the flowable membrane to the oxidant. In certain embodiments, the ruthenium containing precursor chemical structure comprises at least one N-alkylamine group.

含矽前驅物之化學結構可包含至少一配位基,該配位基係選自由N-烷基胺;N,N二烷基胺;烷氧基;烷基;烯基;炔基;芳香基;及氫所組成之群組。在某些實施例中,該可流動膜將具有介於約1 Å 及約1 nm之間之平均臨界尺寸的孔隙封閉。The chemical structure of the ruthenium-containing precursor may comprise at least one ligand selected from the group consisting of N-alkylamines; N,N dialkylamines; alkoxy groups; alkyl groups; alkenyl groups; alkynyl groups; a group consisting of hydrogen; In certain embodiments, the flowable membrane encloses pores having an average critical dimension between about 1 Å and about 1 nm.

另一態樣包含藉由以下步驟而於半導體基板上沉積膜之方法:將包含不含鹵素之含矽前驅物、及氧化劑之製程氣體導入至反應腔室;及在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體,而該不含鹵素之含矽前驅物係選自由二甲基胺基三甲基矽烷、二甲基胺基三乙基矽烷、雙二甲基胺基二乙基矽烷、三二甲基胺基甲基矽烷、三甲基胺基甲基矽烷、三甲基胺基矽烷、雙二甲基胺基二甲基矽烷、雙二甲基胺基乙氧基甲基矽烷、甲基胺基二乙氧基甲基矽烷、三甲基胺基乙烯基矽烷、雙甲基胺基二乙烯基矽烷、雙二甲基胺基乙氧基二乙烯基矽烷、乙醯氧基矽烷、及其組合所組成之群組。在某些實施例中,該方法更包含藉由將該可流動膜曝露於該氧化劑來處理該可流動膜。Another aspect includes a method of depositing a film on a semiconductor substrate by introducing a process gas containing a halogen-free germanium-containing precursor and an oxidant into a reaction chamber; and forming a condensable flowable film The substrate is exposed to the process gas under conditions on the substrate, and the halogen-free ruthenium-containing precursor is selected from the group consisting of dimethylaminotrimethyl decane, dimethylaminotriethyl decane, and double Dimethylaminodiethyl decane, trimethylaminomethyl decane, trimethylaminomethyl decane, trimethylamino decane, bisdimethylamino dimethyl decane, dimethyl Aminomethyl ethoxymethyl decane, methylaminodiethoxymethyl decane, trimethylamino vinyl decane, dimethylamino divinyl decane, bisdimethylamino ethoxy A group consisting of divinyl decane, ethoxy decane, and combinations thereof. In certain embodiments, the method further comprises treating the flowable membrane by exposing the flowable membrane to the oxidant.

該等及其他態樣係參照圖示進一步敘述於下。These and other aspects are further described below with reference to the drawings.

在以下敘述中,為提供對於所呈現實施例之完整瞭解,將提出許多具體細節。在不具有這些具體細節之部分或全部者的情況下,仍可實施本揭露實施例。在其他情況下,為避免不必要地混淆本揭露實施例,因此已不詳細描述眾所周知的程序操作。雖然本揭露實施例將結合具體實施例而描述,但應瞭解其並非意欲限制本揭露實施例。In the following description, numerous specific details are set forth to provide a complete understanding of the embodiments presented. Embodiments of the present disclosure may still be practiced without some or all of these specific details. In other instances, well-known program operations have not been described in detail in order to avoid unnecessarily obscuring the disclosed embodiments. While the present invention has been described in connection with the specific embodiments, it is understood that

隨著積體電路(IC, integrated circuits)的特徵部尺寸縮小,關於增加之電阻及電阻-電容(RC, resistance-capacitance)耦合的問題抵銷任何源自較小裝置尺寸的速度優勢,而限制裝置效能之改善。改善裝置效能及可靠度之方法包含使用高導電性金屬(如:銅),及使用低介電常數(低k/ low-k, lower dielectric constant)材料。As the feature size of integrated circuits (ICs) shrinks, the problem of increased resistance and resistance-capacitance coupling offsets any speed advantage derived from smaller device sizes, while limiting Improvement in device performance. Methods to improve device performance and reliability include the use of highly conductive metals such as copper and the use of low dielectric constant (low k/low-k, lower dielectric constant) materials.

低k材料係為半導體等級之絕緣材料,其具有一介電常數(「k」),該介電常數較二氧化矽(SiO2 )之介電常數(即3.9)低。隨著越來越多先進技術的需求,使用具有小於2.5的k之超低介電常數(ULK, ultra low-k)介電質材料。可藉由將孔洞併入低k介電質而獲得ULK介電質,產生多孔性的介電質材料。ULK介電質的應用包含後端製程(BEOL, back end of line)之層間介電質(ILDs, interlayer dielectrics)。The low-k material is a semiconductor-grade insulating material having a dielectric constant ("k") which is lower than the dielectric constant (i.e., 3.9) of cerium oxide (SiO 2 ). With the increasing demand for advanced technology, ultra-low dielectric constant (ULK) dielectric materials having a k of less than 2.5 are used. The ULK dielectric can be obtained by incorporating the holes into the low-k dielectric to produce a porous dielectric material. Applications for ULK dielectrics include interlayer dielectrics (ILDs) of the back end of line (BEOL).

本說明書中所提供的是沉積介電膜(包含低k膜)的方法,其係藉由造成可流動介電膜之形成的機制。如本說明書中所使用,「可流動介電膜」一詞係為具有流動特性之可流動的摻雜或無摻雜介電膜,該流動特性提供一致的間隙或孔隙填充。「可流動氧化物膜」一詞係用以指涉可流動之摻雜或無摻雜的矽氧化物膜。「可流動介電膜」一詞可包含自蒸氣相反應物所形成且在初沉積時可流動的任何介電膜,其包含經處理而不再為可流動的膜。「初沉積時的可流動介電膜」一詞指涉在任何沉積後處理、緻密化、或固化之前的可流動介電膜。初沉積時的可流動介電膜可特徵化如軟膠狀膜、具有液體流動特性的凝膠、液膜、或可流動膜。Provided in the present specification is a method of depositing a dielectric film (including a low-k film) by a mechanism that causes formation of a flowable dielectric film. As used in this specification, the term "flowable dielectric film" is a flowable doped or undoped dielectric film having flow characteristics that provides consistent gap or pore filling. The term "flowable oxide film" is used to refer to a flowable doped or undoped tantalum oxide film. The term "flowable dielectric film" can include any dielectric film formed from a vapor phase reactant and flowable upon initial deposition, which comprises a film that is treated to be no longer flowable. The term "flowable dielectric film at the time of initial deposition" refers to a flowable dielectric film prior to any post-deposition treatment, densification, or curing. The flowable dielectric film at the initial deposition can be characterized as a soft gelatinous film, a gel having liquid flow characteristics, a liquid film, or a flowable film.

本說明書中所揭露之方法對於在包含曝露金屬的結構上沉積可流動氧化物而言,可為特別有利的。在BEOL應用之如此的範例中,可沉積低k介電膜(例如超低k碳摻雜氧化物(ULK CDO, ultra low-k carbon-doped oxide))以形成ILD,隨後將其蝕刻以形成凹陷部並曝露金屬線。最終將以金屬(例如銅)來填充該凹陷部。該ILD可為多孔性的,然而由於金屬前驅物膜或金屬穿過該等ILD的孔隙,該ILD遭受到膜潛在的退化作用。如本說明書中所揭露之低k膜可用於將該ILD之孔隙封閉。The methods disclosed in this specification can be particularly advantageous for depositing flowable oxides on structures comprising exposed metals. In such an example of a BEOL application, a low-k dielectric film (eg, ultra low-k carbon-doped oxide) can be deposited to form an ILD, which is subsequently etched to form The recess is exposed and the metal wire is exposed. The recess will eventually be filled with a metal such as copper. The ILD can be porous, however due to the metal precursor film or metal passing through the pores of the ILDs, the ILD suffers from potential degradation of the membrane. A low-k film as disclosed in this specification can be used to close the pores of the ILD.

由於含鹵素催化劑可與基板的某些區域中所曝露之金屬化層反應,因此該等催化劑之使用可能係有問題的,尤其氯化物及溴化物可能更為容易與金屬化層反應。在一實例中,在氧化狀態或環境下,氟化物可將銅腐蝕,因此用以填充基板上之孔隙或間隙的含氟之矽前驅物可能會使該基板之區域退化。基板上該等電特性的退化最終引起微電子裝置中的故障。再者,鹵陰離子可被留在該所沉積之膜中,然後自低k介電質層瀝濾出來,接著進入整體結構的其他部分,導致在整合期間的腐蝕、更長的處理時間、及更進一步的處理步驟。鹵陰離子亦導致介電質上之移動的電荷,其使該介電質之絕緣電特性退化。Since the halogen-containing catalyst can react with the metallized layer exposed in certain regions of the substrate, the use of such catalysts can be problematic, especially chlorides and bromides may react more readily with the metallization layer. In one example, the fluoride can etch copper in an oxidized state or environment, and thus the fluorine-containing ruthenium precursor used to fill the pores or gaps on the substrate may degrade the region of the substrate. Degradation of this isoelectric characteristic on the substrate ultimately causes failure in the microelectronic device. Furthermore, the halide anion can be retained in the deposited film and then leached from the low-k dielectric layer and then into other portions of the overall structure, resulting in corrosion during integration, longer processing time, and Further processing steps. The halide anion also causes a shift in charge on the dielectric which degrades the dielectric properties of the dielectric.

本說明書中所敘述之方法包含介電質沉積,其中藉由在可流動氧化物之沉積中使用不含鹵素的催化劑,以介電材料填充間隙(如:閘極之間的間隙)或孔隙(如:ILD層中的孔隙)。在某些實施例中,形成可流動膜涉及使含矽前驅物與氧化劑反應,以在基板上形成凝結的可流動膜。可藉由不含鹵素之催化劑來輔助該膜之形成,或可藉由含矽前驅物的配位基來催化該膜之形成。將該基板曝露於製程氣體達一段足以沉積可流動膜的期間,以填充至少該間隙的若干者。該沉積製程可形成具有良好流動特性之軟膠狀的膜,並提供一致的填充。在某些實施例中,該可流動膜為有機矽膜,例如非晶形的有機矽膜。在某些實施例中,該等方法涉及在小空間中選擇性地使液體凝結。該液體可為介電材料或欲沉積介電材料之前驅物。在某些物理條件下,該液體亦選擇性地僅沉積於毛細管(如:層中之孔隙或狹窄的蝕刻間隙)中,或可藉由蒸發作用將主體液體移除,而毛細管中之液體則保持凝結。藉由選擇性地將材料沉積於積體電路之狹窄侷限空間中,該製程有助於由下至上或由裡至外的填充。The methods described in this specification include dielectric deposition in which a gap (eg, a gap between gates) or pores is filled with a dielectric material by using a halogen-free catalyst in the deposition of a flowable oxide ( Such as: pores in the ILD layer). In certain embodiments, forming a flowable film involves reacting a ruthenium containing precursor with an oxidant to form a condensed flowable film on the substrate. The formation of the film may be aided by a halogen-free catalyst, or the formation of the film may be catalyzed by a ligand containing a ruthenium precursor. The substrate is exposed to the process gas for a period of time sufficient to deposit a flowable film to fill at least a portion of the gap. The deposition process forms a soft gel-like film with good flow characteristics and provides consistent filling. In certain embodiments, the flowable film is an organic tantalum film, such as an amorphous organic tantalum film. In certain embodiments, the methods involve selectively condensing a liquid in a small space. The liquid can be a dielectric material or a precursor to which a dielectric material is to be deposited. Under certain physical conditions, the liquid is also selectively deposited only in the capillary (eg, pores in the layer or narrow etch gap), or the bulk liquid can be removed by evaporation, while the liquid in the capillary is Keep condensing. The process facilitates filling from bottom to top or from inside to outside by selectively depositing material into a narrow confined space of the integrated circuit.

本說明書中所敘述之方法可用於多孔性介電層的孔隙封閉,如發明名稱為「FLOWABLE DIELECTRIC FOR SELECTIVE ULTRA LOW-K PORE SEALING」之美國專利申請案第14/464,071號(代理人案號:LAMRP102/3358-1US)中所敘述,該申請案與本案同時提出申請,且併入本說明書中以供參照。The method described in this specification can be used for the pore closure of a porous dielectric layer, such as U.S. Patent Application Serial No. 14/464,071, entitled "FLOWABLE DIELECTRIC FOR SELECTIVE ULTRA LOW-K PORE SEALING" (Attorney Case No.: This application is filed concurrently with the present application and is hereby incorporated by reference in its entirety in its entirety in its entirety.

除了BEOL應用,該等方法亦可用於前段製程(FEOL, front end of the line)應用。該等方法對於BEOL應用尤其有益,係因所使用之催化劑及前驅物係不含鹵素,而藉此可用於以可流動膜來填充孔隙,而在不使下層金屬層退化或與該金屬層發生反應的情況下曝露該金屬層。In addition to BEOL applications, these methods can also be used in front end of the line (FEOL) applications. These methods are particularly beneficial for BEOL applications because the catalysts and precursors used are halogen-free, and thereby can be used to fill pores with a flowable membrane without degrading or causing degradation of the underlying metal layer. The metal layer is exposed in the case of a reaction.

使用不含鹵素之催化沉積的優點包含(1)排除整體結構之腐蝕、(2)防止微量級的鹵化物併入該介電質、及(3)降低由於使用無改質或無摻雜之催化劑所造成的成本。亦可獨立輸送不含鹵素的有機酸,以藉由控制最終混合物中的前驅物、催化劑、水、及溶劑之相對比例,而控制該所沉積之膜的收縮率及多孔性。再者,由於所沉積之膜的上表面上之親水性表面的形成,在結束一沉積循環之後,可在相同的膜上重複該沉積製程,以增長更厚的膜。本說明書中的該方法中之不含鹵素的前驅物及/或催化劑屬於以下兩類:有機酸、及自催化矽烷。有機酸 Advantages of using halogen-free catalytic deposition include (1) eliminating corrosion of the overall structure, (2) preventing trace levels of halides from incorporating into the dielectric, and (3) reducing the use of unmodified or undoped The cost of the catalyst. The halogen-free organic acid can also be independently transported to control the shrinkage and porosity of the deposited film by controlling the relative proportions of precursor, catalyst, water, and solvent in the final mixture. Furthermore, due to the formation of a hydrophilic surface on the upper surface of the deposited film, the deposition process can be repeated on the same film after the end of a deposition cycle to grow a thicker film. The halogen-free precursors and/or catalysts in the process of the present specification belong to the following two classes: organic acids, and autocatalytic decanes. Organic acid

藉由不含鹵素的有機酸並使用水解及縮合反應,可催化矽氧化物介電膜沉積至孔隙或間隙中。圖1為一化學機制之範例的描繪,該機制係針對使用酸催化劑之有機矽前驅物的水解。水合氫離子(hydronium)於溶液中產生,而水解反應可於水性(含水)、或非水性/非水解溶液中發生,其使用醇類、溶劑、或其他化學物作為氫氧(–OH)配位基之來源。The deposition of the cerium oxide dielectric film into the pores or spaces can be catalyzed by a halogen-free organic acid and using hydrolysis and condensation reactions. Figure 1 is a depiction of an example of a chemical mechanism for the hydrolysis of an organic ruthenium precursor using an acid catalyst. Hydronium ions are produced in solution, and hydrolysis can occur in aqueous (aqueous) or non-aqueous/non-hydrolyzed solutions using alcohols, solvents, or other chemicals as hydrogen-oxygen (-OH) The source of the bit base.

圖1顯示針對矽烷氧化物反應之酸催化水解的例示性SN 1機制。如所顯示,在第一步驟中,發生–OR配位基的質子化,水合氫離子藉此因不含鹵素的有機酸攻擊該矽烷氧化物化合物上之配位基之一中的氧而產生,形成具有結合至該配位基之氧原子的氫原子之中間狀態,並在該矽烷氧化物化合物上產生帶微正電的有機醇ROH基。在下一步驟中,在ROH醇離去基的脫附期間及Si–OH鍵的形成期間,由H2 O水分子所造成之協同親核性SN 1攻擊於該前驅物的矽中心發生。所造成之化合物為Si(OR)3 OH化合物、ROH,而該水合氫離子係再次產生。在某些實施例中,並非所有在Si(OR)4 化合物上的配位基皆與該水合氫離子反應。在各種實施例中,結合至該矽中心的一或更多的該等配位基係為有機基團,而並非烷氧化物。例如,可用來替代圖1中所描繪之矽烷氧化物的矽化合物可具有化學式SiR(OR’)3 ,其中R及R’為有機官能基或其他有機鏈。可針對該矽烷氧化物化合物上的其他ROH配位基,而重複圖1中的機制,其可藉此形成隨後縮合機制中欲使用的矽醇或烷基矽醇化合物。Figure 1 shows an exemplary S N 1 mechanism for acid catalyzed hydrolysis of a decane oxide reaction. As shown, in the first step, protonation of the -OR ligand occurs, whereby the hydronium ion is generated by attacking oxygen in one of the ligands on the decane oxide compound by the halogen-free organic acid. Forming an intermediate state of a hydrogen atom having an oxygen atom bonded to the ligand, and generating a micro-positive organic alcohol ROH group on the decane oxide compound. In the next step, during the desorption of the ROH alcohol leaving group and during the formation of the Si-OH bond, the synergistic nucleophilic S N 1 caused by the H 2 O water molecules attacks the center of the precursor of the precursor. The resulting compound is a Si(OR) 3 OH compound, ROH, and the hydronium ion is regenerated. In certain embodiments, not all of the ligands on the Si(OR) 4 compound react with the hydronium ion. In various embodiments, one or more of the ligands bonded to the center of the crucible are organic groups rather than alkoxides. For example, a ruthenium compound that can be used in place of the decane oxide depicted in Figure 1 can have the formula SiR(OR') 3 , where R and R' are organic functional groups or other organic chains. The mechanism of Figure 1 can be repeated for other ROH ligands on the decane oxide compound, which can thereby form the sterol or alkyl sterol compound to be used in the subsequent condensation mechanism.

矽醇或烷基矽醇化合物中所激增的Si–OH基開始縮聚(polycondense)且形成–Si–O–Si–網狀結構,其中隨著各縮合反應,H2 O分子作為共同產物而被釋出。在各種實施例中,在縮合作用之後,形成碳摻雜矽氧化物膜。舉例而言,由於在該矽中心上任何未反應之–OR基或剩餘的–R基所致,各種碳原子可被嵌入該膜中。縮合作用造成少分支寡聚物,且依據情況,可能經由以下三種競爭性的機制而發生:脫醇縮合(alcoxolation)、脫水縮合(oxolation)、及羥聯(olation)。The excited Si—OH groups in the sterol or alkyl sterol compound begin to polycondense and form a —Si—O—Si—mesh structure in which the H 2 O molecules are co-products with each condensation reaction. Released. In various embodiments, a carbon-doped cerium oxide film is formed after the condensation. For example, various carbon atoms can be embedded in the film due to any unreacted -OR groups or remaining -R groups at the center of the crucible. Condensation results in less branched oligomers and, depending on the situation, may occur via three competing mechanisms: alcoxolation, oxolation, and olation.

脫醇縮合係為一反應,橋接側氧基(oxo group)係藉由該反應透過除去醇類分子而形成。該機制實質上與水解相同,其中矽原子取代該進入基中之氫原子。以下化學反應表示脫醇縮合作用反應之範例,其中M為金屬,例如矽: The dealcoholization condensation is a reaction, and the bridged oxo group is formed by removing the alcohol molecules by the reaction. This mechanism is essentially the same as hydrolysis, in which a deuterium atom replaces the hydrogen atom entering the group. The following chemical reaction represents an example of a dealcoholization reaction in which M is a metal such as ruthenium:

脫水縮合係為一反應,橋接的側氧基係藉由該反應透過除去水分子而形成。圖2A及2B中提供兩例示性機制。在圖2A中,提供SiR1 (OH)3 及SiR2 (OH)3 作為例示性的烷基矽醇,其由關於上述圖1所描述的水解機制而產生。該兩化合物反應,而使水分子被除去,同時形成–Si–O–Si–網狀結構。在介於具有種種有機基團或–OR配位基之矽醇化合物之間的各種循環之後,形成該–Si–O–Si–網狀結構的部分之範例如所示。應注意,此為碳摻雜矽氧化物膜,因此R1、R2、及R3係為各種有機化合物。同樣地,如圖2B中所顯示,兩-Si(OH)3 化合物反應,而使水分子在–Si–O–Si–鍵形成時被除去。圖2B亦顯示當此反應在其他-Si(OH)3 基之間重複時,該–Si–O–Si–網狀結構的形成。應注意,圖2A及2B描繪某些未反應的–OH基。在縮合反應中,可能並非所有–OH基皆反應;然而大部分–OH基將反應以形成該–Si–O–Si–網狀結構。描繪羥聯反應之範例的一般性化學平衡式係顯示於反應2: The dehydration condensation is a reaction in which the bridged side oxy group is formed by removing water molecules by the reaction. Two exemplary mechanisms are provided in Figures 2A and 2B. In FIG. 2A, SiR 1 (OH) 3 and SiR 2 (OH) 3 are provided as exemplary alkyl sterols, which are produced by the hydrolysis mechanism described above with respect to FIG. The two compounds react to remove water molecules while forming a –Si–O–Si–mesh structure. The various forms of forming the -Si-O-Si-mesh structure after various cycles between the sterol compounds having various organic groups or -OR ligands are shown, for example. It should be noted that this is a carbon-doped cerium oxide film, and thus R1, R2, and R3 are various organic compounds. Similarly, as shown in Figure 2B, the two-Si(OH) 3 compound reacts to remove water molecules as the -Si-O-Si- bond is formed. Figure 2B also shows the formation of the -Si-O-Si-network when this reaction is repeated between other -Si(OH) 3 groups. It should be noted that Figures 2A and 2B depict certain unreacted -OH groups. In the condensation reaction, not all -OH groups may react; however, most of the -OH groups will react to form the -Si-O-Si-network. A general chemical equilibrium model depicting an example of a hydroxylation reaction is shown in Reaction 2:

羥聯係為一反應,橋接的側氧基係藉由該反應透過除去溶劑分子而形成,且可在未達到矽的充分配位時發生。在此情況下,透過除去溶劑分子,可形成橋接的配位羥離子(hydroxo groups)。之後視介質中之水濃度,可成為H2 O或ROH。The hydroxyl group is a reaction, and the bridged side oxy group is formed by removing the solvent molecules by the reaction, and can occur when the sufficient coordination of hydrazine is not achieved. In this case, bridged coordinating hydroxo groups can be formed by removing solvent molecules. Then, depending on the concentration of water in the medium, it can be H 2 O or ROH.

在某些實施例中,使用乙酸作為不含鹵素的有機酸。乙酸催化的介電質沉積機制可如以下所述。首先,含矽前驅物及乙酸可在除去醇分子的水解或溶劑分解反應中反應。此機制一般可對映上述關於圖1之SN 1水解機制,且可歸納於以下之反應3。 In certain embodiments, acetic acid is used as the halogen-free organic acid. The acetic acid catalyzed dielectric deposition mechanism can be as follows. First, the ruthenium-containing precursor and acetic acid can be reacted in a hydrolysis or solvolysis reaction for removing alcohol molecules. This mechanism generally maps the above-described S N 1 hydrolysis mechanism with respect to Figure 1, and can be summarized in Reaction 3 below.

在某些實施例中,該所造成的M–OAc化合物可與該醇一起經歷隨後之水解反應,如此一來可形成MOH,並除去羧有機化合物,如以下反應4中所示。 In certain embodiments, the resulting M-OAc compound can undergo subsequent hydrolysis reactions with the alcohol, such that MOH can be formed and the carboxy organic compound removed, as shown in Reaction 4 below.

無論該反應在反應3中造成M–OAc化合物,或在反應4中造成MOH化合物,隨後可使用如前述之脫醇縮合、脫水縮合、或羥聯機制,來使該化合物經歷縮合作用。例如,該M–OAc化合物可與M–OR化合物一起經歷以下反應: Whether the reaction causes an M-OAc compound in Reaction 3 or an MOH compound in Reaction 4, the compound can be subjected to condensation by a dealcoholization condensation, dehydration condensation, or a hydroxylation mechanism as described above. For example, the M-OAc compound can undergo the following reactions with the M-OR compound:

如反應5a所示,縮合反應除去具有R配位基的羧酸化合物,而使M–O–M網狀結構形成。在各種實施例中,該金屬M為矽,如此一來形成–Si–O–Si–網狀結構。As shown in reaction 5a, the condensation reaction removes the carboxylic acid compound having an R ligand, and the M-O-M network structure is formed. In various embodiments, the metal M is tantalum such that a -Si-O-Si-mesh structure is formed.

在某些實施例中,縮合反應可於兩M–OR化合物之間發生,造成醚R–O–R的去除及M–O–M網狀結構的形成,如以下反應5b所示。 In certain embodiments, the condensation reaction can occur between two M-OR compounds, resulting in the removal of the ether R-O-R and the formation of the M-O-M network, as shown in Reaction 5b below.

圖4A依據本揭露實施例,係為針對沉積介電膜之方法的流程圖。在反應腔室中,該介電膜沉積於基板上。在某些實施中,該介電膜將沉積於侷限空間中,例如多孔性介電質中之孔隙或間隙。4A is a flow diagram of a method for depositing a dielectric film in accordance with an embodiment of the present disclosure. The dielectric film is deposited on the substrate in the reaction chamber. In some implementations, the dielectric film will be deposited in a confined space, such as a void or gap in a porous dielectric.

將基板設置至反應腔室。將該基板設置至該腔室可涉及在該腔室中將該基板固緊至基座或其他支撐體。為此目的,可利用靜電式卡盤、真空式卡盤、或機械式卡盤。在各種實施例中,該基板包含多個孔隙及/或間隙,其可為渠溝、孔穴、導孔等。The substrate is placed into the reaction chamber. Positioning the substrate to the chamber can involve securing the substrate to the base or other support in the chamber. For this purpose, an electrostatic chuck, a vacuum chuck, or a mechanical chuck can be utilized. In various embodiments, the substrate comprises a plurality of pores and/or gaps, which may be channels, cavities, vias, and the like.

在填充孔隙的應用中,多孔性的膜可包含中孔性及/或微孔性。中孔性一般指涉2 nm–50 nm的孔隙尺寸,而微孔性則指涉小於2 nm的孔隙尺寸。在具有連通孔隙性之介電質中,該等連通孔隙之至少若干者的尺寸可連續性地具有約為埃至奈米之尺寸的微孔隙,連通至具有約為奈米至數十奈米之尺寸的中孔隙。儘管該方法亦可用於封閉不連通之孔隙,並提供平滑之沉積表面,但可發現在封閉連通孔隙方面之特別用途,其留下之未封閉者提供透過膜的擴散途徑。在各種實施例中,孔隙之臨界尺寸係約1 Å至約1 nm。In applications where pores are filled, the porous membrane may comprise mesoporosity and/or microporosity. Mesoporosity generally refers to pore sizes of 2 nm–50 nm, while microporosity refers to pore sizes of less than 2 nm. In a dielectric having interconnected porosity, at least some of the interconnected pores may be sized to continuously have micropores of about angstroms to nanometers, connected to have a diameter of from about nanometers to tens of nanometers. Medium pore size. Although this method can also be used to seal non-connected pores and provide a smooth deposition surface, a particular use in closing the connected pores can be found, leaving the unclosed person to provide a diffusion pathway through the membrane. In various embodiments, the critical dimension of the pores is from about 1 Å to about 1 nm.

在其中基板上之間隙將被填充(如:淺渠溝隔離(shallow trench isolation))的應用中,該間隙的臨界尺寸可為1至50 nm,如10至30 nm。臨界尺寸指涉孔隙或間隙在其最窄處的開口之寬度。在某些實施例中,該間隙的縱橫比係介於3:1及60:1之間。該基板可為半導體基板,如矽、絕緣層覆矽(SOI, silicon-on-insulator)、砷化鎵等,且可包含曝露於基板之表面上的金屬化層、或可為一或多重材料。材料之範例包含氮化物、氧化物、碳化物、氮氧化物、碳氧化物、矽化物、以及裸矽、或其他半導體材料。用於BEOL處理中的材料之額外範例包含銅、鉭、氮化鉭、鈦、氮化鈦、釕、及鈷。In applications where the gap on the substrate is to be filled (e.g., shallow trench isolation), the gap may have a critical dimension of 1 to 50 nm, such as 10 to 30 nm. The critical dimension refers to the width of the opening where the pore or gap is at its narrowest point. In some embodiments, the gap has an aspect ratio between 3:1 and 60:1. The substrate may be a semiconductor substrate such as germanium, silicon-on-insulator (SOI), gallium arsenide, etc., and may include a metallization layer exposed on the surface of the substrate, or may be one or more materials. . Examples of materials include nitrides, oxides, carbides, oxynitrides, carbon oxides, tellurides, and bare bismuth, or other semiconductor materials. Additional examples of materials used in BEOL processing include copper, tantalum, tantalum nitride, titanium, titanium nitride, tantalum, and cobalt.

針對填充孔隙之應用,該基板可包含具有介電常數2.4以下之ULK膜。ULK膜的範例包含碳摻雜氧化物(CDO, carbon doped oxide)膜、沸石膜、及聚合物膜。ULK膜可包含待以可流動介電質來填充之孔隙。該基板可包含曝露之金屬,如曝露之銅線。For applications in which pores are filled, the substrate may comprise a ULK film having a dielectric constant of 2.4 or less. Examples of ULK films include carbon doped oxide (CDO) films, zeolite films, and polymer films. The ULK film can comprise pores to be filled with a flowable dielectric. The substrate can comprise an exposed metal such as an exposed copper wire.

在某些實施中,在圖4A及4B的方法之前,處理該基板之一或更多的表面。由於相對於在金屬表面上,可流動介電材料在介電質表面上具有較短的成核延遲,因此在某些實施中可使用前處理製程以提升選擇性。可使用一或更多的前處理來控制基板上的表面終端,以提升或阻礙後續的可流動介電質沉積。在某些實施中,使用一前處理,該前處理與將被封閉的多孔性介電材料、及與曝露的金屬表面(若存在)進行不同的交互作用。以此方式,提升可流動介電質沉積至孔隙中的選擇性。In some implementations, one or more surfaces of the substrate are processed prior to the methods of Figures 4A and 4B. Since the flowable dielectric material has a shorter nucleation delay on the dielectric surface relative to the metal surface, a pretreatment process can be used in some implementations to increase selectivity. One or more pre-treatments can be used to control the surface termination on the substrate to enhance or hinder subsequent flowable dielectric deposition. In some implementations, a pre-treatment is used that interacts differently with the porous dielectric material to be blocked, and with the exposed metal surface, if present. In this way, the selectivity of the flowable dielectric deposit into the pores is increased.

前處理可取決於特定表面,可流動介電材料將沉積於該特定表面上、或被阻止沉積於其上。對於在矽氮化物及矽氧化物材料上的沉積而言,矽醇(Si–OH)終端的產生可為可流動氧化物的沉積提供良好的潤濕。在某些實施中,可對已經歷介電常數回復(k-recovery)製程而回復在蝕刻期間被移除之Si–C鍵的多孔性介電膜進行前處理,以將所回復的鍵之若干者改變為Si–OH終端。The pretreatment may depend on the particular surface on which the flowable dielectric material will deposit or be prevented from depositing. For deposition on tantalum nitride and tantalum oxide materials, the production of sterol (Si-OH) terminations provides good wetting for the deposition of flowable oxides. In some implementations, the porous dielectric film that has undergone a dielectric constant recovery (k-recovery) process to recover the Si–C bond removed during etching can be pretreated to Several changed to Si-OH terminals.

用以產生親水性表面以促進潤濕(尤其針對疏水性介電質前驅物(如:含碳介電前驅物))之前處理係揭露於美國臨時專利申請案第61/895,676號中,該申請案併入本說明書中以供參照。在某些實施中,該等方法涉及將該多孔性介電質曝露於氧化氣體,而在某些實施中,係曝露於含氫之氧化氣體。然而,對於許多孔隙封閉應用而言,可能有不應被氧化的曝露金屬表面。因此,雖然在某些實施中可使用氧化處理以增加某些多孔性材料的潤溼性,但在此類製程中,該氧化處理可被避免。Used to create a hydrophilic surface to promote wetting (especially for hydrophobic dielectric precursors (eg, carbon-containing dielectric precursors)). The prior treatment is disclosed in U.S. Provisional Patent Application Serial No. 61/895,676, which is incorporated herein by reference. The present invention is incorporated herein by reference. In some implementations, the methods involve exposing the porous dielectric to an oxidizing gas, and in some embodiments, exposing to a hydrogen-containing oxidizing gas. However, for many pore sealing applications, there may be exposed metal surfaces that should not be oxidized. Thus, although oxidation treatment may be used in some implementations to increase the wettability of certain porous materials, in such processes, the oxidation treatment can be avoided.

在孔隙封閉應用中可為有益的前處理之範例係揭露於發明名稱為「FLOWABLE DIELECTRIC FOR SELECTIVE ULTRA LOW-K PORE SEALING」之美國專利申請案第14/464,071號(代理人案號:LAMRP102/3358-1US)中,該申請案與本案同時提出申請,且併入本說明書中以供參照。An example of a useful pre-treatment in a pore-closure application is disclosed in U.S. Patent Application Serial No. 14/464,071, entitled "FLOWABLE DIELECTRIC FOR SELECTIVE ULTRA LOW-K PORE SEALING" (Attorney Docket No.: LAMRP 102/3358) -1US), the application is filed concurrently with the present application, and is incorporated herein by reference.

依據各種實施例,前處理操作可涉及對電漿之曝露,例如含氫、氧、氮、氦、氬物種、或其某些組合之電漿。該電漿可藉由例如Astron®遠端電漿源之遠端電漿產生器、感應耦合電漿產生器、或電容耦合電漿產生器而在下游或原位產生。在某些實施中,前處理可避免原位電漿以避免損害多孔性介電層。在替代實施例中,該前處理操作涉及在非電漿環境中,將基板曝露於前處理化學物。特定製程條件可依實施情況而改變。在這些實施例中的某些中,可於存在來自另一能量源之能量的情況下將基板曝露於前處理化學物,此另一能量源包含熱能量源、紫外線源、微波源等。在某些實施例中,除了或替代上述前處理操作,以對催化劑、界面活性劑、或黏著促進化學物的曝露來對基板進行前處理。若有執行,則此前處理操作可在沉積腔室中發生,或可在基板傳送至沉積腔室之前,於另一腔室中發生。According to various embodiments, the pre-treatment operation may involve exposure to a plasma, such as a plasma containing hydrogen, oxygen, nitrogen, helium, argon species, or some combination thereof. The plasma can be generated downstream or in situ by a remote plasma generator, an inductively coupled plasma generator, or a capacitively coupled plasma generator, such as an Astron® remote plasma source. In some implementations, the pretreatment can avoid in situ plasma to avoid damaging the porous dielectric layer. In an alternate embodiment, the pre-treatment operation involves exposing the substrate to a pre-treatment chemical in a non-plasma environment. Specific process conditions can vary depending on the implementation. In some of these embodiments, the substrate can be exposed to a pretreatment chemical in the presence of energy from another source of energy, including a source of thermal energy, a source of ultraviolet light, a source of microwaves, and the like. In certain embodiments, the substrate is pretreated with exposure to a catalyst, surfactant, or adhesion promoting chemical in addition to or in place of the pre-treatment operations described above. If so, the previous processing operation can occur in the deposition chamber or can occur in another chamber before the substrate is transferred to the deposition chamber.

回到圖4A,操作402a中,基板曝露於含矽前驅物及不含鹵素之有機酸催化劑。在各種實施例中,亦將基板曝露於氧化劑。有時,儘管非必然,但可存在有惰性載氣。在某些實施例中,使用液體注入系統來導入該等氣體。在某些實施例中,含矽前驅物及氧化劑可經由個別的入口而導入,或恰好在導入腔室之前於混合槽及/或噴淋頭中結合。如以下更進一步所討論,可將催化劑及/或可選之摻雜物併入至反應物之一者中、與反應物之一者預先混合、或個別導入。亦可將溶劑或其他界面活性劑加入製程氣體中。在某些實施例中,當基板曝露於紫外線輻射時,將該基板曝露於該前驅物及催化劑。Returning to Figure 4A, in operation 402a, the substrate is exposed to a hafnium-containing precursor and a halogen-free organic acid catalyst. In various embodiments, the substrate is also exposed to an oxidant. Sometimes, although not necessarily, there may be an inert carrier gas. In certain embodiments, a liquid injection system is used to introduce the gases. In certain embodiments, the ruthenium containing precursor and oxidant may be introduced via separate inlets or in the mixing tank and/or showerhead just prior to introduction into the chamber. As discussed further below, the catalyst and/or optional dopant can be incorporated into one of the reactants, premixed with one of the reactants, or introduced separately. Solvents or other surfactants can also be added to the process gas. In certain embodiments, the substrate is exposed to the precursor and catalyst when the substrate is exposed to ultraviolet radiation.

在某些實施例中,含矽前驅物為烷氧矽烷。可使用之烷氧矽烷包含但不限於下者: Hx -Si-(OR)y ,其中x = 0-3,x+y = 4,且R為經取代或未經取代的烷基; R’x -Si-(OR)y ,其中x = 0-3,x+y = 4,R為經取代或未經取代的烷基,且R’ 為經取代或未經取代的烷基、烷氧基或烷氧基烷基(alkoxyalkane group);及 Hx (RO)y -Si-Si-(OR)y Hx ,其中x = 0-2,x+y = 3,且R為經取代或未經取代的烷基。In certain embodiments, the ruthenium containing precursor is an alkoxy decane. Alkoxyoxanes which may be used include, but are not limited to, the following: H x -Si-(OR) y wherein x = 0-3, x + y = 4, and R is a substituted or unsubstituted alkyl group; ' x -Si-(OR) y , wherein x = 0-3, x+y = 4, R is a substituted or unsubstituted alkyl group, and R' is a substituted or unsubstituted alkyl or alkane An oxy or alkoxyalkane group; and H x (RO) y -Si-Si-(OR) y H x , wherein x = 0-2, x+y = 3, and R is substituted Or unsubstituted alkyl.

含矽前驅物的範例包含CH3 Si(OCH2 )3 ;1-(三乙氧基矽烷基)-2-(二乙氧基甲基矽烷基)乙烷(1-(triethoxysilyl)-2-(diethoxymethylsilyl)ethane)、1,2,3,4,5,6-六甲氧基-1,2,3,4,5,6 -六甲基環己矽烷(1,2,3,4,5,6-hexamethoxy 1,2,3,4,5,6-hexamethylcyclohexasilane);1,2-二甲氧基-1,1,2,2-四甲基二矽烷(1,2-dimethoxy-1,1,2,2-tetramethyldisilane);1,4-二氧雜-2,3,5,6-四矽環己烷(1,4-dioxa-2,3,5,6-tetrasilacyclohexane);雙-三乙氧基矽基乙烷(BTEOSE, bis- triethoxysilylethane);雙-三乙氧基矽基甲烷(BTEOSM, bis-triethoxysilylmethane);丁矽烷(butasilanes);環丁矽烷(cyclobutasilane);環庚矽烷(cycloheptasilane);環己矽烷(cyclohexasilane);環辛矽烷(cyclooctasilane);環戊矽烷(cyclopentasilane);十丁氧基環戊矽烷(decabutaoxycyclopentasilane);二乙氧基甲基矽烷(DEMS, diethoxymethylsilane);二乙氧基矽烷(DES, diethoxysilane);二甲氧基甲基矽烷(dimethoxymethylsilane);二甲氧基矽烷(DMOS, dimethoxysilane);二甲基二乙氧基矽烷(DMDEOS, dimethyldiethoxysilane);二甲基二甲氧基矽烷(DMDMOS, dimethyldimethoxysilane);二矽烷(disilane);十二甲氧基環己矽烷(dodecamethoxycyclohexasilane);乙基矽烷(ethylsilane);庚矽烷(heptasilane);六乙氧基二矽烷(HEODS, hexaethoxydisilane);六乙氧基二矽氮氧烷(HEDS-H, hexaethoxydisilazoxane);六甲氧基二矽烷(HMODS, hexamethoxydisilane);六甲氧基二矽氮氧烷(HMDS-H, hexamethoxydisilazoxane);六甲基二矽氧烷(hexamethoxydisiloxane);己矽烷(hexasilane);氫半矽氧烷(hydrogen silsesquioxane);甲基-六甲氧基二矽氮氧烷(HMDS-CH3 , methyl hexamethoxydisilazoxane);甲基-二乙氧基矽烷(MDES, methyl-diethoxysilane) ;甲基-二甲氧基矽烷(MDMS, methyl-dimethoxysilane) ;甲基矽烷(methylsilane);甲基三乙氧基正矽酸鹽(MTEOS, methyltriethoxyorthosilicate);甲基-三乙氧基矽烷(MTES, methyl-triethoxysilane);甲基三甲氧基矽烷(MTMOS, methyltrimethoxysilane);甲基-三甲氧基矽烷(MTMS, methyl-trimethoxysilane); 九甲氧基三矽氮氧烷(NMTS, nonamethoxytrisilazoxane);八乙氧基環丁矽烷(octaethoxycyclobutasilane);多面寡聚半矽氧烷(OctaHydro POSS™, Polyhedral Oligomeric Silsesquioxane); 八甲氧基環狀矽氮氧烷(OMCS, octamethoxycyclicsilazoxane); 八甲氧基十二矽氧烷(OMODDS, octamethoxydodecasiloxane);八甲氧基三矽氧烷(OMOTS, octamethoxytrisiloxane);八甲基-1,4二氧雜-2,3,5,6-四矽環己烷 (octamethyl-1,4,dioxa-2,3,5,6-tetrasilacyclohexane);八甲基環四矽氧烷(OMCTS, octamethylcyclotetrasiloxane);辛矽烷(octasilanes);戊矽烷(pentasilanes);矽烷(SiH4 , silane);T8-氫化球面矽氧烷(T8-hydridospherosiloxane);第三丁氧基二矽烷(tert-butoxydisilane);四乙醯氧基矽烷(Si(OAc)4 , tetraacetoxysilane);四乙氧基矽烷(TEOS, tetraethoxysilane);四異氰酸酯基矽烷(TICS, tetraisocyanatesilane);四甲氧基矽烷(tetramethoxysilane);四甲氧基矽氧烷 (TMOS, tetramethoxysiloxane);四甲基環四矽氧烷(TMCTS, tetramethylcyclotetrasiloxane);四甲基正矽酸鹽(TMOS, tetramethylorthosilicate); 四甲基矽烷(4MS, tetramethylsilane);四氧甲基環四矽氧烷(TOMCTS, tetraoxymethylcyclotetrasiloxane);四乙烯基四甲基環四矽氧烷(TVTMCTS, tetravinyltetramethylcyclotetrasiloxane);三乙醯氧基矽烷(SiH(OAc)3 , triacetoxysilane);三乙氧基矽烷(TES,triethoxysilane);三乙氧基矽氧烷(TRIES, triethoxysiloxane);三甲氧基甲基矽烷(TMOMS,trimethoxymethylsilane);三甲氧基矽烷(TMS or TriMOS, trimethoxysilane);三甲基甲氧基矽烷(TMMOS, trimethylmethoxysilane);三甲基矽烷(3MS, trimethylsilane);三苯基乙氧基矽烷(triphenylethoxysilane);三矽烷(trisilane);及三第三丁氧基矽醇(tri-t-butoxylsilanol)。含矽前驅物的近一步範例包含但不限於下者:矽烷(SiH4 , silane);二矽烷(disilane);三矽烷(trisilane);己矽烷(hexasilane);環己矽烷(cyclohexasilane);及烷基矽烷,如甲基矽烷(methylsilane)、及乙基矽烷(ethylsilane)。Examples of ruthenium-containing precursors include CH 3 Si(OCH 2 ) 3 ; 1-(triethoxydecyl)-2-(diethoxymethyl decyl)ethane (1-(triethoxysilyl)-2- (diethoxymethylsilyl)ethane), 1,2,3,4,5,6-hexamethoxy-1,2,3,4,5,6-hexamethylcyclohexane (1,2,3,4,5 ,6-hexamethoxy 1,2,3,4,5,6-hexamethylcyclohexasilane); 1,2-dimethoxy-1,1,2,2-tetramethyldioxane (1,2-dimethoxy-1, 1,2,2-tetramethyldisilane); 1,4-dioxa-2,3,5,6-tetraoxacyclohexane; 1,4-dioxa-2,3,5,6-tetrasilacyclohexane; BTEOSE, bis-triethoxysilylethane; BTEOSM, bis-triethoxysilylmethane; butasilanes; cyclobutasilane; cycloheptane Cycloheptasilane; cyclohexasilane; cyclooctasilane; cyclopentasilane; decabutaoxycyclopentasilane; diethoxymethylsilane; DES, diethoxysilane; dimethoxymethylsilane; dimethoxy hydrazine (DMOS, dimethoxysilane); dimethyldiethoxysilane; dimethyldimethoxysilane; disilane; dodecamethoxycyclohexasilane ); ethylsilane; heptasilane; HEODS, hexaethoxydisilane; hexaethoxydiazide alkane (HEDS-H, hexaethoxydisilazoxane); hexamethoxydioxane ( HMODS, hexamethoxydisilane); hexamethoxydisilazoxane; hexamethoxydisiloxane; hexasilane; hydrogen silsesquioxane; methyl - hexamethylene dioxetane (HMDS-CH 3 , methyl hexamethoxydisilazoxane); methyl-diethoxysilane; methyl-dimethoxysilane ; methylsilane; methyl triethoxy orthosilicate (MTEOS, methyltriethoxyorthosilicate); methyl-triethoxysilane (MTES, methyl-triethoxysilane); methyltrimethoxysilane MTMOS, methyltrimethoxysilane; methyl-trimethoxysilane; NMTS, nonamethoxytrisilazoxane; octaethoxycyclobutasilane; Octahydroo POSSTM, Polyhedral Oligomeric Silsesquioxane; octamethoxycyclic sulfoxane (OMCS, octamethoxycyclic silazoxane); octamethoxydodecasiloxane (OMODDS, octamethoxydodecasiloxane); octamethoxy Oxytomane (OMOTS, octamethoxytrisiloxane); octamethyl-1,4 dioxa-2,3,5,6-tetradecylcyclohexane (octamethyl-1,4,dioxa-2,3,5,6 -tetrasilacyclohexane); octamethylcyclotetrasiloxane; Octasilanes; Pentasilanes; SiH 4 , silane; T8-Hydridospherosiloxane ; tert-butoxydisilane; Si(OAc) 4 , tetraacetoxysilane; TEOS, tetraethoxysilane; tetraisocyanatesilane Four Tetramethoxysilane; TMOS, tetramethoxysiloxane; tetramethylcyclotetrasiloxane; tetramethylorthosilicate; tetramethylorthosilicate 4MS, tetramethylsilane; tetraoxymethylcyclotetrasiloxane; tetravinyltetramethylcyclotetrasiloxane; tetraethyltetramethylcyclotetrasiloxane; triethoxy decane (SiH(OAc) 3 , triacetoxysilane); triethoxysilane (TES, triethoxysilane); triethoxysiloxane (TRIES, triethoxysiloxane); trimethoxymethyl decane (TMOMS, trimethoxymethylsilane); trimethoxy decane (TMS or TriMOS, Trimethoxysilane); trimethylmethoxysilane; trimethylmethoxysilane; trimethylethoxysilane; triphenylethoxysilane; trisilane; and tri-tert-butoxy Tri-t-butoxylsilanol. A further example of a ruthenium-containing precursor includes, but is not limited to, the following: silane (SiH 4 , silane); disilane; trisilane; hexasilane; cyclohexasilane; Alkane, such as methylsilane, and ethylsilane.

在某些實施例中,除了另一前驅物(例如作為摻雜物),亦使用碳摻雜矽前驅物,或單獨使用碳摻雜矽前驅物。碳摻雜矽前驅物可包含至少一Si–C鍵。可使用的碳摻雜矽前驅物包含但不限於下者: R’x -Si-Ry ,其中x = 0-3,x+y = 4,R為經取代或未經取代的烷基,且R’為經取代或未經取代的烷基、烷氧基或烷氧基烷基;及 SiHx R’y -Rz ,其中x = 1-3,y = 0-2,x+y+z = 4,R為經取代或未經取代的烷基,且R’為經取代或未經取代的烷基、烷氧基或烷氧基烷基。In some embodiments, in addition to another precursor (eg, as a dopant), a carbon doped ruthenium precursor is used, or a carbon doped ruthenium precursor is used alone. The carbon doped yttrium precursor may comprise at least one Si—C bond. Carbon-doped cerium precursors that may be used include, but are not limited to, R' x -Si-R y , where x = 0-3, x + y = 4, and R is a substituted or unsubstituted alkyl group, And R' is a substituted or unsubstituted alkyl, alkoxy or alkoxyalkyl group; and SiH x R' y -R z wherein x = 1-3, y = 0-2, x+y +z = 4, R is a substituted or unsubstituted alkyl group, and R' is a substituted or unsubstituted alkyl, alkoxy or alkoxyalkyl group.

前文中已提出碳摻雜前驅物之範例,其進一步之範例包含但不限於下者:三甲基矽烷(3MS, trimethylsilane)、四甲基矽烷(4MS, tetramethylsilane)、二乙氧基甲基矽烷(DEMS, diethoxymethylsilane)、二甲基二甲氧基矽烷(DMDMOS, dimethyldimethoxysilane)、甲基-三乙氧基矽烷(MTES, methyl-triethoxysilane)、甲基-三甲氧基矽烷(methyl-trimethoxysilane)、甲基-二乙氧基矽烷(methyl-diethoxysilane)、甲基-二甲氧基矽烷(methyl-dimethoxysilane)、三甲氧基甲基矽烷(TMOMS,trimethoxymethylsilane)、二甲氧基甲基矽烷(dimethoxymethylsilane)、及雙(三甲基矽烷基)碳二醯亞胺(bis(trimethylsilyl)carbodiimide)。Examples of carbon doped precursors have been proposed in the foregoing, and further examples include, but are not limited to, 3MS, trimethylsilane, tetramethylsilane, diethoxymethyl decane (DEMS, diethoxymethylsilane), dimethyl dimethoxysilane (DMDMOS, dimethyldimethoxysilane), methyl-triethoxysilane (MTES, methyl-trimethoxysilane), methyl-trimethoxysilane, methyl Methyl-diethoxysilane, methyl-dimethoxysilane, trimethoxymethylsilane, dimethoxymethylsilane, dimethoxymethylsilane, And bis(trimethylsilyl)carbodiimide.

在某些實施例中,使用胺基矽烷前驅物。胺基矽烷前驅物包含但不限於以下者:Hx -Si-(NR)y ,其中x = 0-3,x+y = 4,且R為有機氫化物基團。In certain embodiments, an amino decane precursor is used. The aminodecane precursor includes, but is not limited to, H x -Si-(NR) y wherein x = 0-3, x + y = 4, and R is an organic hydride group.

前文中已提出胺基矽烷前驅物的範例,其進一步之範例包含但不限於雙-第三丁胺矽烷(BTBAS, bis-tert-butylamino silane)或三(二甲基胺基)矽烷(tris(dimethylamino)silane)。Examples of amine decane precursors have been proposed in the foregoing, and further examples include, but are not limited to, BTBAS, bis-tert-butylamino silane or tris(dimethylamino) decane (tris) Dimethylamino)silane).

不含鹵素的有機酸催化劑可為具有介於約1與約7之間pH值的質子予體,並具有介於約1與約6之間的pKa。良好的質子予體可為易於給出其質子/H+ 的化合物,其造成較高的反應率。由於有機酸之催化性質所致,pKa係與反應之速率相關聯。The halogen-free organic acid catalyst can be a proton donor having a pH between about 1 and about 7, and having a pKa between about 1 and about 6. A good proton donor can be a compound that readily gives its proton/H + , which results in a higher reaction rate. The pKa is related to the rate of the reaction due to the catalytic nature of the organic acid.

不含鹵素之有機酸催化劑包含1)酸,包含硝酸、磷酸、硫酸;及2)羧酸衍生物,包含R-COOH,其中R為經取代或未經取代的烷基、芳香基、乙醯基或酚基,且包含R-COOC-R羧酸酐。該等羧酸衍生物可具有如以下一般性有機物結構之結構: The halogen-free organic acid catalyst comprises 1) an acid comprising nitric acid, phosphoric acid, sulfuric acid; and 2) a carboxylic acid derivative comprising R-COOH, wherein R is a substituted or unsubstituted alkyl group, an aromatic group, an acetamidine group A phenolic group and a R-COOC-R carboxylic anhydride. The carboxylic acid derivatives may have the structure of a general organic structure as follows:

不含鹵素之有機酸催化劑之範例包含乙二胺四乙酸(C10 H16 N2 O8 , ethylenediaminetetraacetic acid)、苦味酸(C6 H3 N3 O7 )、及乙酸(CH3 COOH): Examples of the halogen-free organic acid catalyst comprising ethylenediaminetetraacetic acid (C 10 H 16 N 2 O 8, ethylenediaminetetraacetic acid), picric acid (C 6 H 3 N 3 O 7), and acetic acid (CH 3 COOH):

可作為催化劑使用之有機酸更進一步的範例包含但不限於酒石酸(C4 H6 O6 )、檸檬酸(C6 H8 O7 )、甲酸(HCOOH)、草酸(HO2 CCO2 H)、磺酸(RS(=O)2 –OH)、苯甲酸(C6 H5 CO2 H)、甲磺酸(CH3 SO3 H)、該等酸之任何其他經取代的衍生物、或其組合。在某些實施例中,可使用某些其他非有機酸,包含焦磷酸(H4 P2 O7 )、磷酸(H3 PO4 )、及硫酸(H2 SO4 )。在某些實施例中,含氟有機酸可適用,如三氟甲磺酸(CF3 SO3 H)、或三氟乙酸(CF3 CO2 H),但應注意,氟陰離子可能會引起基板中的污染及退化,而因此在其他實施中可能被避免。在各種實施例中,不含鹵素之有機酸為感光性的。感光性的催化劑可由在暗的情況下相對於在光照情況下膜的收縮(或其他硬化特徵)之對照而定義。舉例而言,塗布於未硬化之可流動氧化物膜的光罩圖案,可轉移至該氧化物的厚度輪廓。來自利用乙酸之沉積作用的初步數據顯示感光度,相較於被留在無塵室之螢光燈下的控制組晶圓,針對保持在黑暗中的晶圓,在熱硬化之後觀察到該感光度具有較少38% - 45%收縮率。以氯催化劑所沉積之膜來進行相同的試驗則未觀察到此類現象。在執行操作402a之實施例中,當基板曝露於UV輻射,並使用感光性之不含鹵素的有機酸,可增加反應率。Further examples of organic acids that can be used as catalysts include, but are not limited to, tartaric acid (C 4 H 6 O 6 ), citric acid (C 6 H 8 O 7 ), formic acid (HCOOH), oxalic acid (HO 2 CCO 2 H), Sulfonic acid (RS(=O) 2 -OH), benzoic acid (C 6 H 5 CO 2 H), methanesulfonic acid (CH 3 SO 3 H), any other substituted derivative of such acids, or combination. In certain embodiments, certain other non-organic acids may be used, including pyrophosphoric acid (H 4 P 2 O 7 ), phosphoric acid (H 3 PO 4 ), and sulfuric acid (H 2 SO 4 ). In certain embodiments, a fluorine-containing organic acid may be suitable, such as trifluoromethanesulfonic acid (CF 3 SO 3 H), or trifluoroacetic acid (CF 3 CO 2 H), but it should be noted that the fluoride anion may cause a substrate Pollution and degradation in the process, and thus may be avoided in other implementations. In various embodiments, the halogen-free organic acid is photosensitive. Photosensitive catalysts can be defined by comparisons in the dark with respect to shrinkage (or other hardening characteristics) of the film under illumination. For example, a reticle pattern applied to an uncured flowable oxide film can be transferred to the thickness profile of the oxide. Preliminary data from the deposition using acetic acid showed sensitivity, compared to the control wafers left under the fluorescent lamp in the clean room, for the wafers held in the dark, observed after thermal hardening Degrees have less 38% - 45% shrinkage. No such phenomenon was observed by the same test conducted on the film deposited by the chlorine catalyst. In the embodiment in which operation 402a is performed, the reaction rate can be increased when the substrate is exposed to UV radiation and a photosensitive halogen-free organic acid is used.

氧化劑的範例包含但不限於臭氧(O3 )、含過氧化氫(H2 O2 )之過氧化物、氧(O2 )、水(H2 O)、醇類(如甲醇、乙醇、及異丙醇)、一氧化氮(NO)、二氧化氮(NO2 )、一氧化二氮(N2 O)、一氧化碳(CO)、及二氧化碳(CO2 )。Examples of oxidizing agents include, but are not limited to, ozone (O 3 ), peroxides containing hydrogen peroxide (H 2 O 2 ), oxygen (O 2 ), water (H 2 O), alcohols (such as methanol, ethanol, and Isopropanol), nitric oxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), carbon monoxide (CO), and carbon dioxide (CO 2 ).

依據各種實施例,可同時或以特定順序導入催化劑及其他反應物。舉例而言,在某些實施例中,可在沉積製程開始時將酸性化合物導入反應器中以催化水解反應,接著可在接近水解步驟結束時導入鹼性化合物以抑制水解反應,並接著催化縮合反應。藉由一般輸送或快速輸送或「吹噴」(puffing)的方式來導入酸或鹼,以在沉積製程期間迅速催化或抑制水解或縮合反應。可在沉積製程期間的任何時間發生藉由吹噴方式之pH調整或改變,且不同的處理時序與順序可造成具有不同應用所需之特性的不同膜。前文已提出催化劑之若干範例。According to various embodiments, the catalyst and other reactants can be introduced simultaneously or in a particular order. For example, in certain embodiments, an acidic compound can be introduced into the reactor at the beginning of the deposition process to catalyze the hydrolysis reaction, followed by introduction of a basic compound near the end of the hydrolysis step to inhibit the hydrolysis reaction, followed by catalytic condensation. reaction. The acid or base is introduced by general transport or rapid transport or "puffing" to rapidly catalyze or inhibit the hydrolysis or condensation reaction during the deposition process. The pH adjustment or change by blowing can occur at any time during the deposition process, and different processing timings and sequences can result in different films having the properties required for different applications. Several examples of catalysts have been proposed above.

溶劑可為非極性或極性,及為質子性或非質子性。溶劑可與介電前驅物的選擇相配合,以改善在氧化劑中的互溶性。非極性溶劑包含烷類及烯類;極性的非質子性溶劑包含丙酮及乙酸酯;及極性的質子性溶劑包含醇類及羧基化合物。The solvent can be non-polar or polar and protonic or aprotic. The solvent can be combined with the choice of dielectric precursor to improve miscibility in the oxidant. The non-polar solvent comprises an alkane and an alkene; the polar aprotic solvent comprises acetone and an acetate; and the polar protic solvent comprises an alcohol and a carboxyl compound.

可採用之溶劑的範例包含醇類,例如異丙醇、乙醇、及甲醇;或可與反應物互溶的其他化合物,例如醚類、羰基類、腈類。溶劑係為可選擇的,且在某些實施例中,可被個別導入或者與氧化劑或另一製程氣體一起導入。溶劑的範例包含但不限於甲醇、乙醇、異丙醇、丙酮、乙腈、二甲基甲醯胺、及二甲亞碸、四氫呋喃(THF)、二氯甲烷、己烷、苯、甲苯、異庚烷、及乙醚。在某些實施例中,可在其他反應物之前藉由吹噴或一般輸送方式導入該溶劑。在某些實施例中,特別係在前驅物及氧化劑具有低互溶性的情況下,可藉由將該溶劑吹噴至反應器中而導入此溶劑,以促進水解。Examples of solvents that may be employed include alcohols such as isopropanol, ethanol, and methanol; or other compounds that are miscible with the reactants, such as ethers, carbonyls, nitriles. Solvents are optional and, in certain embodiments, may be introduced individually or with an oxidant or another process gas. Examples of solvents include, but are not limited to, methanol, ethanol, isopropanol, acetone, acetonitrile, dimethylformamide, and dimethyl hydrazine, tetrahydrofuran (THF), dichloromethane, hexane, benzene, toluene, iso-heptane Alkane, and diethyl ether. In certain embodiments, the solvent can be introduced by blowing or by conventional means prior to the other reactants. In certain embodiments, particularly where the precursor and oxidant have low miscibility, the solvent can be introduced by blowing the solvent into the reactor to promote hydrolysis.

有時,儘管非必然,但可存在有惰性載氣。舉例而言,可將氮、氦、及/或氬與上述化合物中之一一起導入至腔室中。Sometimes, although not necessarily, there may be an inert carrier gas. For example, nitrogen, helium, and/or argon can be introduced into the chamber along with one of the above compounds.

涉及雙-、三-、及四-酸催化劑之其他可能的反應包含配位基交換,該配位基交換造成螯合中間體化合物或過渡狀態之形成,而該螯合中間體化合物或過渡狀態最終水解及縮合以產生所期望之氧化物網狀結構。然而,該等化合物可能較單牙配位基(monodentate)中間體縮合慢。Other possible reactions involving bis-, tri-, and tetra-acid catalysts include ligand exchange which results in the formation of a chelating intermediate compound or transition state, and the chelating intermediate compound or transition state The hydrolysis and condensation are ultimately carried out to produce the desired oxide network. However, such compounds may condense more slowly than monodentate intermediates.

操作402a期間,腔室中的條件使含矽化合物與氧化劑反應而在基板上形成可流動膜。可藉由催化劑的存在而輔助該膜的形成。該方法並不限於特定的反應機制,例如該反應機制可包含縮合反應、產生發生凝結之蒸氣相產物的蒸氣相反應、一或更多的反應物在反應前的凝結、或其組合。During operation 402a, conditions in the chamber cause the ruthenium containing compound to react with the oxidant to form a flowable film on the substrate. The formation of the film can be assisted by the presence of a catalyst. The method is not limited to a particular reaction mechanism, for example, the reaction mechanism may comprise a condensation reaction, a vapor phase reaction to produce a vapor phase product that condenses, a condensation of one or more reactants prior to the reaction, or a combination thereof.

反應的條件可使含矽化合物及共同反應物經歷縮合反應,而在基板表面上凝結以形成可流動膜。在某些實施例中,該反應在黑暗中或非電漿環境中發生,意即,在該製程的沉積階段(操作402a及404)期間,該基板並不曝露於電漿。在其他實施例中,該反應於電漿存在的情況下發生,該電漿係遠端產生或在沉積腔室中產生。經由電漿輔助化學氣相沉積(PECVD, plasma-enhanced chemical vapor deposition)反應來沉積用於間隙填充之可流動膜的方法係敘述於美國專利申請案第12/334,726號中,該申請案併入本說明書中以供參照。The conditions of the reaction allow the ruthenium-containing compound and the co-reactant to undergo a condensation reaction to condense on the surface of the substrate to form a flowable film. In certain embodiments, the reaction occurs in a dark or non-plasma environment, meaning that the substrate is not exposed to the plasma during the deposition phase of the process (operations 402a and 404). In other embodiments, the reaction occurs in the presence of a plasma that is generated distally or in a deposition chamber. A method of depositing a flowable film for gap filling via a plasma-enhanced chemical vapor deposition (PECVD) process is described in U.S. Patent Application Serial No. 12/334,726, the disclosure of which is incorporated herein This specification is incorporated by reference.

腔室壓力可介於約1與200 Torr之間,在某些實施例中,其係介於10與75 Torr之間。在一特定實施例中,腔室壓力為約10 Torr。The chamber pressure can be between about 1 and 200 Torr, and in some embodiments, between 10 and 75 Torr. In a particular embodiment, the chamber pressure is about 10 Torr.

製程氣體成分的分壓可根據如下之成分蒸氣壓與範圍加以特徵化,其中Pp為反應物的分壓,而Pvp為反應物在反應溫度下的蒸氣壓。 前驅物分壓比(Pp/Pvp) = 0.01-1,例如:0.01-0.5 氧化劑分壓比(Pp/Pvp) = 0.25-2,例如:0.5-1 溶劑分壓比(Pp/Pvp) = 0-1,例如:0.1-1The partial pressure of the process gas component can be characterized by the vapor pressure and range of the constituents, where Pp is the partial pressure of the reactant and Pvp is the vapor pressure of the reactant at the reaction temperature. Precursor partial pressure ratio (Pp/Pvp) = 0.01-1, for example: 0.01-0.5 Oxidant partial pressure ratio (Pp/Pvp) = 0.25-2, for example: 0.5-1 Solvent partial pressure ratio (Pp/Pvp) = 0 -1, for example: 0.1-1

在某些實施例中,製程氣體的特徵為具有介於0.01與0.5之間的前驅物分壓比、介於0.5與1之間的氧化劑分壓比、及介於0.1與1之間的溶劑(若存在)分壓比。在相同或其他實施例中,製程氣體的特徵為下: 氧化劑:前驅物分壓比(Pp氧化劑/Pp前驅物) = 0.2-30,例如:5-15 溶劑:氧化劑分壓比(Pp溶劑/Pp氧化劑) = 0-30,例如:0.1-5In certain embodiments, the process gas is characterized by a precursor partial pressure ratio between 0.01 and 0.5, an oxidant partial pressure ratio between 0.5 and 1, and a solvent between 0.1 and 1. (if any) partial pressure ratio. In the same or other embodiments, the process gas is characterized by the following: Oxidant: Precursor partial pressure ratio (Pp oxidant / Pp precursor) = 0.2-30, for example: 5-15 Solvent: oxidant partial pressure ratio (Pp solvent / Pp oxidant) = 0-30, for example: 0.1-5

在某些實施例中,製程氣體的特徵為介於約5與15之間的氧化劑:前驅物分壓比、及介於約0.1與5之間的溶劑:氧化劑分壓比。In certain embodiments, the process gas is characterized by an oxidant between about 5 and 15: a precursor partial pressure ratio, and a solvent between about 0.1 and 5: an oxidant partial pressure ratio.

在某些實施例中,基板溫度係介於約-20℃與100℃之間。在某些實施例中,溫度係介於約-20℃與30℃之間,例如介於-10℃與10℃之間。可改變壓力與溫度,以調整沉積時間;高壓及低溫通常係有利於快速沉積。高溫及低壓將會造成較慢的沉積時間。因此,增加溫度可能包含增加壓力。在一實施例中,溫度為約5℃,而壓力為約10 Torr。曝露時間係取決於反應條件以及孔隙尺寸。依據各種實施例,沉積速率係從約100埃/分鐘至1微米/分鐘。在該等條件下,將基板曝露於反應物達一段足夠久以將可流動膜沉積於該等孔隙中的期間。在某些實施例中,沉積時間為0.1-5秒。In certain embodiments, the substrate temperature is between about -20 ° C and 100 ° C. In certain embodiments, the temperature is between about -20 ° C and 30 ° C, such as between -10 ° C and 10 ° C. Pressure and temperature can be varied to adjust deposition time; high pressure and low temperature are generally beneficial for rapid deposition. High temperatures and low pressures will result in slower deposition times. Therefore, increasing the temperature may involve increasing the pressure. In one embodiment, the temperature is about 5 ° C and the pressure is about 10 Torr. The exposure time depends on the reaction conditions and the pore size. According to various embodiments, the deposition rate is from about 100 angstroms/minute to 1 micrometer/minute. Under these conditions, the substrate is exposed to the reactants for a period of time sufficient to deposit a flowable film in the pores. In certain embodiments, the deposition time is from 0.1 to 5 seconds.

藉由相對於反應物之飽和蒸氣壓(其對於既定沉積溫度而言係固定的)的其分壓來控制凝結的量。可藉由改變該分壓來調整填充速率對於臨界尺寸之相依性。以此方式,可調整選擇性,而改善僅沉積於該等孔隙中或如其他情況所需之能力。舉例而言,在足夠低的介電前驅物之分壓下,任何尺寸的特徵部中皆無凝結或沉積發生。隨著該分壓增加,該介電前驅物在小的特徵部中凝結,而隨著該分壓增加,於增大的特徵部尺寸中發生沉積。以此方式,係可能,例如,阻礙ULK膜沉積於20 nm的蝕刻渠溝中,而允許該ULK膜沉積於孔隙中。The amount of coagulation is controlled by its partial pressure relative to the saturated vapor pressure of the reactants, which is fixed for a given deposition temperature. The dependency of the fill rate on the critical dimension can be adjusted by varying the partial pressure. In this way, the selectivity can be adjusted to improve the ability to be deposited only in the pores or as otherwise required. For example, at a partial pressure of a sufficiently low dielectric precursor, no condensation or deposition occurs in any size feature. As the partial pressure increases, the dielectric precursor condenses in the small features, and as the partial pressure increases, deposition occurs in the increased feature size. In this way, it is possible, for example, to block the deposition of the ULK film in the etched trench of 20 nm while allowing the ULK film to deposit in the pores.

在某些實施中,可允許連續膜沉積於多孔性介電膜的表面上。以此而言,控制分壓以允許在臨界尺寸之渠溝中形成該膜。依據各種實施,分壓可固定於適當的程度,或在沉積於孔隙中之後上升。在某些實施中,可將例如矽烷化劑之終止劑導入以將該表面封端,並停止該反應。範例包含「(二甲基胺基) 三甲基矽烷」,其將該表面甲基封端(methyl-terminate),並停止該反應。封閉孔隙之製程的進一步細節係於發明名稱為「FLOWABLE DIELECTRIC FOR SELECTIVE ULTRA LOW-K PORE SEALING」之美國專利申請案第14/464,071號(代理人案號:LAMRP102/3358-1US)中提出,該申請案與本案同時提出申請,且併入本說明書中以供參照。In certain implementations, a continuous film can be allowed to deposit on the surface of the porous dielectric film. In this regard, the partial pressure is controlled to allow the film to be formed in trenches of critical dimensions. Depending on the implementation, the partial pressure may be fixed to an appropriate extent or may be raised after deposition in the pores. In certain embodiments, a terminating agent such as a decylating agent can be introduced to cap the surface and stop the reaction. An example includes "(dimethylamino)trimethylnonane" which methylates the surface methyl group and stops the reaction. Further details of the process for the closure of the pores are set forth in U.S. Patent Application Serial No. 14/464,071, the entire disclosure of which is hereby incorporated by reference. The application is filed concurrently with the present application and is incorporated herein by reference.

如操作404中所顯示,可流動膜藉此沉積於基板表面上。在孔隙及間隙填充應用中,使該基板曝露於製程氣體達一段足以沉積可流動膜以填充至少該等孔隙及間隙之若干者的期間。該沉積製程通常形成具有良好流動特性之軟膠狀的膜,並提供一致的填充。該等方法並不限於間隙或孔隙填充,然而,且亦可用於沉積毯覆式膜。例如,如上所提及,可允許在多孔性介電質的表面上形成連續膜。As shown in operation 404, the flowable film is thereby deposited on the surface of the substrate. In void and gap fill applications, the substrate is exposed to process gases for a period of time sufficient to deposit a flowable film to fill at least some of the pores and gaps. This deposition process typically forms a soft gel-like film with good flow characteristics and provides consistent filling. These methods are not limited to gap or pore filling, however, and can also be used to deposit blanket films. For example, as mentioned above, a continuous film may be allowed to form on the surface of the porous dielectric.

在已將可流動膜沉積於基板上之後,在操作406中,可選擇地使初沉積時的可流動膜硬化,以形成所期望之介電層。在某些實施中,當該膜仍為可流動、具反應性之狀態時,首先將其曝露於電漿物種。如美國臨時專利申請案第61/895,883號中所討論,曝露於例如自具有氫(H2 )、氦(He)、氮(N2 )、或氬(Ar)之主要成分的製程氣體而產生的電漿。在此類情況下,電漿曝露一般將發生於實質上與沉積時相同的溫度,如此一來該膜不經歷熱活化固化作用。若孔隙中之該可流動膜仍為可流動狀態,則此類電漿曝露對於使該膜緻密化而言,係可為有效的。在某些實施例中,該電漿曝露對於驅使整體沉積反應接近完成以形成該可流動膜而言,係為有效的。After the flowable film has been deposited on the substrate, in operation 406, the flowable film at the initial deposition is optionally hardened to form the desired dielectric layer. In certain implementations, when the film is still in a flowable, reactive state, it is first exposed to the plasma species. Produced, for example, from a process gas having a main component of hydrogen (H 2 ), helium (He), nitrogen (N 2 ), or argon (Ar) as discussed in U.S. Provisional Patent Application Serial No. 61/895,883. Plasma. In such cases, plasma exposure will generally occur at substantially the same temperature as when deposited, such that the film does not undergo heat activated curing. Such plasma exposure can be effective to densify the film if the flowable film in the pores is still flowable. In certain embodiments, the plasma exposure is effective to drive the overall deposition reaction to near completion to form the flowable film.

當該膜處於具熱反應性狀態時,除了或替代曝露該膜,可執行圖4之方塊406。在某些實施例中,方塊406可涉及沉積後硬化,其可於較沉積溫度高許多的溫度下發生。此類硬化可更進一步交聯,並移除該膜中的終端基,如–OH及–H基,且更進一步提升該膜之密度及硬度。依據該膜之組成,該硬化亦可能使該膜收縮。When the film is in a thermally reactive state, block 406 of Figure 4 can be performed in addition to or instead of exposing the film. In some embodiments, block 406 can involve post-deposition hardening that can occur at temperatures that are much higher than the deposition temperature. Such hardening can be further crosslinked and the terminal groups in the film, such as -OH and -H groups, are removed, and the density and hardness of the film are further enhanced. Depending on the composition of the film, the hardening can also cause the film to shrink.

可藉由單純熱退火、曝露於下游或直接電漿、曝露於紫外線或微波輻射、或曝露於另一能量源而使該膜硬化。熱退火溫度可為300℃以上(取決於可允許的熱預算)。可在惰性的環境(Ar、He等)下、或在可能具有反應性的環境下執行該處理。可使用氧化環境(使用O2 、N2 O、O3 、H2 O、H2 O2 、NO、NO2 、CO、CO2 等),然而在某種情況下要避免含氮化合物,以防止氮併入該膜中。在其他實施例中,可使用氮化環境(使用N2 、N2 O、NH3 、NO、NO2 等等),並可使相當數量的氮併入該膜中。在某些實施例中,使用氧化及氮化環境之混合。可使用含碳化學物以使若干數量的碳併入該所沉積之膜中。依據各種實施例,緻密化膜的組成係取決於初沉積膜組成及處理化學物。例如,在某些實施例中,使用氧化電漿硬化,使Si(OH)x 初沉積凝膠轉化成SiO網狀結構。在其他實施例中,使Si(OH)x 初沉積凝膠轉化成SiON網狀結構。在其他實施例中,使Si(NH)x 初沉積凝膠轉化成SiON網狀結構。The film can be cured by simple thermal annealing, exposure to downstream or direct plasma, exposure to ultraviolet or microwave radiation, or exposure to another source of energy. The thermal annealing temperature can be above 300 ° C (depending on the allowable thermal budget). This treatment can be carried out in an inert environment (Ar, He, etc.) or in an environment that may be reactive. An oxidizing environment (using O 2 , N 2 O, O 3 , H 2 O, H 2 O 2 , NO, NO 2 , CO, CO 2 , etc.) may be used, however, in some cases, nitrogen-containing compounds are to be avoided. Nitrogen is prevented from being incorporated into the film. In other embodiments, the environment may be used nitride (using N 2, N 2 O, NH 3, NO, NO 2 , etc.), and allows a considerable amount of nitrogen incorporated into the film. In some embodiments, a mixture of oxidizing and nitriding environments is used. Carbonaceous chemicals can be used to incorporate a certain amount of carbon into the deposited film. According to various embodiments, the composition of the densified film depends on the initial deposited film composition and the processing chemistry. For example, in certain embodiments, the Si(OH) x pre-deposited gel is converted to a SiO network structure using oxidative plasma hardening. In other embodiments, the Si(OH) x pre-deposited gel is converted to a SiON network. In other embodiments, the Si(NH) x pre-deposited gel is converted to a SiON network.

在某些實施例中,藉由曝露於遠端或直接(感應或電容式)電漿而處理該膜。此可造成可流動膜由上而下地轉化為緻密化固態膜。該電漿可為惰性或反應性。氦及氬電漿為惰性電漿的範例;氧與水蒸氣電漿為氧化電漿(用以例如:如所需地去除碳)的範例。亦可使用含氫電漿。含氫電漿之範例為自氫氣(H2 )與稀釋劑(例如惰性氣體)之混合物所產生的電漿。電漿曝露期間的溫度一般為約25℃以上。在某些實施例中,使用氧或含氧電漿來去除碳。在某些實施例中,電漿曝露期間的溫度可為更低,例如-15℃至25℃。In some embodiments, the film is treated by exposure to a remote or direct (inductive or capacitive) plasma. This can cause the flowable film to be converted from top to bottom into a densified solid film. The plasma can be inert or reactive. Helium and argon plasma are examples of inert plasmas; oxygen and water vapor plasmas are examples of oxidizing plasmas (for example, such as removing carbon as desired). Hydrogen-containing plasma can also be used. An example of a hydrogen-containing plasma is a plasma produced from a mixture of hydrogen (H 2 ) and a diluent (e.g., an inert gas). The temperature during plasma exposure is generally above about 25 °C. In certain embodiments, oxygen or an oxygen-containing plasma is used to remove carbon. In certain embodiments, the temperature during plasma exposure can be lower, such as -15 °C to 25 °C.

硬化期間的溫度可從0分佈至600℃,且在特定處理階段,溫度範圍的上端點係由熱預算所決定。在某些實施例中,該溫度係從約200℃分佈至550℃。壓力可從0.1-10 Torr,且高氧化劑壓力係用於去除碳。The temperature during hardening can be distributed from 0 to 600 ° C, and at a particular processing stage, the upper end of the temperature range is determined by the thermal budget. In certain embodiments, the temperature is distributed from about 200 °C to 550 °C. The pressure can range from 0.1 to 10 Torr and the high oxidant pressure is used to remove carbon.

亦可使用包含快速熱處理(RTP, rapid thermal processing)之其他退火製程,以使該膜固化與收縮。若使用異位製程,則可使用較高的溫度及其他的能量源。異位處理包含在例如N2 、O2 、H2 O、Ar、及He之環境下的高溫退火(700-1000℃)。在某些實施例中,異位處理涉及例如在紫外線熱處理(UVTP, ultraviolet thermal processing)製程中將膜曝露於紫外線輻射。舉例而言,可使用與UV曝露結合之100℃或更高(例如100℃-400℃)的溫度,以使該膜硬化。亦可使用包含RTP或雷射退火的其他急驟硬化製程。Other annealing processes including rapid thermal processing (RTP) may also be used to cure and shrink the film. Higher temperatures and other energy sources can be used if an ectopic process is used. The ectopic treatment includes high temperature annealing (700-1000 ° C) in an environment such as N 2 , O 2 , H 2 O, Ar, and He. In certain embodiments, the ectopic treatment involves exposing the film to ultraviolet radiation, for example, in a UV thermal processing (UVTP) process. For example, a temperature of 100 ° C or higher (eg, 100 ° C - 400 ° C) combined with UV exposure can be used to harden the film. Other flash hardening processes including RTP or laser annealing can also be used.

在某些實施例中,並不執行操作406。在某些實施例中,所沉積之膜與過量的氧化劑一起經歷後處理,如此一來該氧化劑(例如水)持續流至腔室中以與所沉積之可流動膜反應。藉由移除任何殘餘的配位基,該後處理可允許該反應持續形成–Si–O–Si–鍵。In some embodiments, operation 406 is not performed. In certain embodiments, the deposited film undergoes a post-treatment with an excess of oxidant such that the oxidant (eg, water) continues to flow into the chamber to react with the deposited flowable film. This post treatment allows the reaction to continue to form a -Si-O-Si- bond by removing any residual ligand.

由於各種有機酸催化劑之感光度所致,光解效應可幫助催化該等化合物的水解及縮合以形成可流動膜,而減輕或排除操作406中隨後的處理。以此而言,使用感光性催化劑及光來沉積的可流動膜,可不需實質的後處理來純化–Si–O–Si–網狀結構。舉例而言,可使用熱硬化來替代UV硬化。在缺少氧的情況下,烷氧矽烷與有機酸的反應,藉由將碳雜質導入該矽網狀結構,可產生光致發光(photoluminescent)物質。藉由對光的曝露來調節厚度及收縮率,此情況顯示所沉積的膜為感光性,且可影響該水解反應之機制。在某些實施例中,可在含矽前驅物及感光性催化劑之間的反應中形成暫態的介穩相(metastable transient phase) ,其可為螯合錯合物。自催化矽烷 Due to the sensitivity of various organic acid catalysts, the photolysis effect can help catalyze the hydrolysis and condensation of such compounds to form a flowable film, while mitigating or eliminating subsequent processing in operation 406. In this way, a flowable film deposited using a photosensitive catalyst and light can be used to purify the –Si—O—Si–mesh structure without substantial post-treatment. For example, thermal hardening can be used instead of UV hardening. In the absence of oxygen, the reaction of an alkoxydecane with an organic acid produces a photoluminescent material by introducing carbon impurities into the ruthenium network. The thickness and shrinkage are adjusted by exposure to light, which indicates that the deposited film is photosensitive and can affect the mechanism of the hydrolysis reaction. In certain embodiments, a transient metastable transient phase may be formed in the reaction between the ruthenium containing precursor and the photosensitive catalyst, which may be a chelate complex. Autocatalytic decane

藉由經歷水解及縮合反應之自催化矽烷,可催化矽氧化物介電膜沉積至孔隙及間隙中。各種自催化矽烷可為胺基矽烷。在某些實施中,使用具有一或更多的二級胺之胺基矽烷。The deposition of the cerium oxide dielectric film into the pores and interstices can be catalyzed by autocatalytic decane undergoing hydrolysis and condensation reactions. The various autocatalytic decanes can be amine decanes. In certain embodiments, an amine decane having one or more secondary amines is used.

圖3顯示自催化矽烷胺之水解反應機制的範例。在第一步驟中,來自胺基之一者中之氮的電子與水分子上的氫原子鍵結,藉此造成–NHR’配位基的質子化及陰性–OH氫氧化物團的形成。該中間狀態具有鍵結至–NHR’配位基之氮的氫原子,藉此形成帶微正電的–NH2 R’胺基。在下一步驟中,由–OH氫氧化物團所造成之協同親核性SN 1攻擊於該化合物的矽中心發生,伴隨著NH2 R胺離去基的斷裂。最後的步驟顯示所釋出的R’NH2胺化合物及SiR(NHR’)2 OH。可針對矽烷上的各–NHR’基而重複該等步驟,以形成Si(OH)3 R。在某些實施例中,自催化矽烷上的一或更多的配位基可能不發生反應。自催化矽烷的意義在於:該化合物具有已附於矽烷上之催化的基團,而使胺基矽烷可與水或質子予體一起經歷水解,以在縮合之前形成所期望之中間產物。Figure 3 shows an example of the mechanism of the hydrolysis reaction of the self-catalyzed decylamine. In the first step, electrons from the nitrogen in one of the amine groups are bonded to hydrogen atoms on the water molecule, thereby causing protonation of the -NHR' ligand and formation of a negative -OH hydroxide group. This intermediate state has a hydrogen atom bonded to the nitrogen of the -NHR' ligand, thereby forming a -NH 2 R' amine group with a micro-positive charge. In the next step, the synergistic nucleophilic S N 1 caused by the -OH hydroxide group attacks the ruthenium center of the compound, accompanied by the cleavage of the NH 2 R amine leaving group. The final step of displaying the liberated amine compound R'NH2 and SiR (NHR ') 2 OH. Such steps may be repeated for each of -NHR 'alkoxy group on silicon to form Si (OH) 3 R. In certain embodiments, one or more of the ligands on the autocatalytic decane may not react. The meaning of autocatalytic decane is that the compound has a catalyzed group attached to decane such that the amino decane can undergo hydrolysis with water or a proton donor to form the desired intermediate product prior to condensation.

胺類係可水解於水中,在鹼性介質(如:pH > 7)中產生富有矽醇的產物,而導致快速催化之縮合作用,以產生所期望之氧化物網狀結構。所激增的Si–OH基開始縮聚且形成–Si–O–Si–網狀結構,其中隨著各縮合反應,H2 O分子作為共同產物而被釋出。由於在沉積製程期間氨或烷基胺將被放出且作為副產物而被移除,因此藉由選擇合適的自催化矽烷,將不會有氮殘留在所造成之溶膠-凝膠膜中。Amines can be hydrolyzed in water to produce a sterol-rich product in an alkaline medium (e.g., pH > 7) resulting in a rapidly catalyzed condensation to produce the desired oxide network. The excited Si-OH groups begin to polycondense and form a -Si-O-Si-mesh structure in which H 2 O molecules are released as a co-product with each condensation reaction. Since ammonia or alkylamine will be evolved during the deposition process and removed as a by-product, no nitrogen will remain in the resulting sol-gel film by selecting a suitable autocatalytic decane.

該所造成之富有矽醇的產物可經歷縮合機制以形成–Si–O–Si–網狀結構。該縮合機制可為上述關於圖2A之縮合機制、或上述關於圖2B之縮合機制。在某些實施例中,矽醇化合物上的某些有機配位基可在縮合過程中自始至終保持鍵結至矽烷,以產生碳摻雜矽氧化物化合物。縮合機制可包含脫醇縮合、脫水縮合、羥聯、或任何其組合。The resulting sterol-rich product can undergo a condensation mechanism to form a -Si-O-Si-mesh structure. The condensation mechanism can be the condensation mechanism described above with respect to Figure 2A, or the condensation mechanism described above with respect to Figure 2B. In certain embodiments, certain organic ligands on the sterol compound may remain bonded to the decane throughout the condensation process to produce a carbon-doped cerium oxide compound. The condensation mechanism can comprise dealcohol condensation, dehydration condensation, hydroxylation, or any combination thereof.

圖4B依據所揭露之實施例,係為沉積介電膜之方法的流程圖。將包含間隙或孔隙的基板設置至反應腔室或腔室。可使用上述關於圖4A的任何方法來將基板設置至腔室。4B is a flow diagram of a method of depositing a dielectric film, in accordance with an embodiment of the disclosure. A substrate containing gaps or pores is placed into the reaction chamber or chamber. Any of the methods described above with respect to Figure 4A can be used to place the substrate to the chamber.

在操作402b中,將基板曝露於自催化矽烷及氧化劑。有時,儘管非必然,但可存在有惰性載氣。在某些實施例中,使用液體注入系統來導入該等氣體。在某些實施例中,含矽化合物及氧化劑可經由個別的入口而導入,或恰好在導入腔室之前於混合槽及/或噴淋頭中結合。如以下更進一步所討論,可將催化劑及/或可選之摻雜物併入至反應物之一中、與反應物之一預先混合、或個別導入。亦可將醇加入製程氣體中。In operation 402b, the substrate is exposed to autocatalytic decane and an oxidant. Sometimes, although not necessarily, there may be an inert carrier gas. In certain embodiments, a liquid injection system is used to introduce the gases. In certain embodiments, the cerium-containing compound and the oxidizing agent can be introduced via separate inlets or in the mixing tank and/or showerhead just prior to introduction into the chamber. As discussed further below, the catalyst and/or optional dopant can be incorporated into one of the reactants, premixed with one of the reactants, or introduced separately. Alcohol can also be added to the process gas.

自催化矽烷之範例包含胺基矽烷。可使用之胺基矽烷包含但不限於以下一般性化學式:Hx -Si-(NR)y ,其中x = 0-3,x+y = 4,且R為有機氫化物基團。例如,自催化矽烷之結構係可如以下者: An example of an autocatalytic decane comprises an amino decane. The amino decane that can be used includes, but is not limited to, the following general chemical formula: H x -Si-(NR) y , where x = 0-3, x + y = 4, and R is an organic hydride group. For example, the structure of the autocatalytic decane can be as follows:

在以上結構中,R1、R2、R3、及R4可為相同的或不同的N-烷基胺。某些自催化矽烷可具有與矽連接或直接與矽配位之至少一N-烷基胺,其中其他有機官能基與矽形成連接。可選擇總共高達4種(包含烷基胺)之不同的配位基。可選擇之該等不同配位基的若干範例為:N-烷基胺;N,N二烷基胺;烷氧基;烷基;烯基;炔基;芳香基;及氫。In the above structure, R1, R2, R3, and R4 may be the same or different N-alkylamines. Certain autocatalytic decanes may have at least one N-alkylamine attached to the oxime or directly coordinated to the oxime, wherein the other organofunctional group is attached to the oxime. A total of up to 4 different ligands (including alkylamines) can be selected. Some examples of such different ligands that may be selected are: N-alkylamines; N,N dialkylamines; alkoxy groups; alkyl groups; alkenyl groups; alkynyl groups; aryl groups;

自催化矽烷之範例包含胺基矽烷,如二甲基胺基三甲基矽烷(dimethylaminotrimethylsilane)、二甲基胺基三乙基矽烷(dimethylaminotriethylsilane)、及雙二甲基胺基二乙基矽烷(bisdimethylaminodiethylsilane): Examples of autocatalytic decanes include amino decanes such as dimethylaminotrimethylsilane, dimethylaminotriethylsilane, and bisdimethylaminodiethylsilane. ):

自催化矽烷更進一步的範例包含但不限於1,1,1,3,3,3-六甲基二矽氮烷(HDMS, (CH3 )3 SiN(H)Si(CH3 )3 ) ;雙(二乙基胺基)矽烷(bis(diethylamino)silane);雙(三甲基矽烷基)碳二醯亞胺(bis(trimethylsilyl)carbodiimide);雙-第三丁胺矽烷(BTBAS, bis-tert-butylamino silane);環狀氮雜矽烷(cyclic azasilanes);六甲基二矽氮烷(HMDS, hexamethyldisilazane);甲基矽雜氮三環烷(methylsilatrane);矽雜氮三環烷(silatrane);四(二甲基胺基)矽烷(tetrakis(dimethylamino)silane);三(二甲基胺基)矽烷(tris(dimethylamino)silane); 三矽烷胺(TSA, trisilylamine);三二甲基胺基甲基矽烷(trisdimethylamino methylsilane);三二甲基胺基矽烷(trisdimethylamino silane);三甲基胺基甲基矽烷(trismethylamino methylsilane);三甲基胺基矽烷(trismethylamino silane);雙二甲基胺基二甲基矽烷(bisdimethylamino dimethylsilane);雙二甲基胺基乙氧基甲基矽烷(bisdimethylamino ethoxy methyl silane);甲基胺基二乙氧基甲基矽烷(methylamino diethoxy methyl silane);三甲基胺基乙烯基矽烷(trismethylamino vinyl silane);雙甲基胺基二乙烯基矽烷(bismethylamino divinyl silane);雙二甲基胺基乙氧基乙烯基矽烷(bisdimethylamino ethoxy vinyl silane);乙醯氧基矽烷(acetoxysilanes);及其組合。Further examples of autocatalytic decanes include, but are not limited to, 1,1,1,3,3,3-hexamethyldioxane (HDMS, (CH 3 ) 3 SiN(H)Si(CH 3 ) 3 ) ; Bis(diethylamino)silane; bis(trimethylsilyl)carbodiimide; bis-third butyl decane (BTBAS, bis- Tert-butylamino silane); cyclic azasilanes; hexamethyldisilazane; methylsilatrane; silatrane Tetrakis(dimethylamino)silane; tris(dimethylamino)silane; trisylamine (TSA, trisilylamine); trimethylamine Trisdimethylamino methylsilane; trisdimethylamino silane; trismethylamino methylsilane; trismethylamino silane; bisdimethylamino Bisdimethylamino dimethylsilane; bisdimethylamino ethoxy methyl Silane); methylamino diethoxy methyl silane; trismethylamino vinyl silane; bismethylamino divinyl silane; Bisdimethylamino ethoxy vinyl silane; acetoxysilanes; and combinations thereof.

在某些實施例中,可使用乙醯氧基矽烷作為含矽前驅物。在某些實施例中,反應可以以乙醯氧基為基礎之前驅物的沉積來開始,後續接著為以胺為基礎之催化劑或胺基矽烷,如上述之任一者。以乙醯氧基為基礎之前驅物的範例包含但不限於四氧基矽烷、及矽氧烷: In certain embodiments, ethoxylated decane can be used as the ruthenium containing precursor. In certain embodiments, the reaction can begin with the deposition of a precursor based on an ethenoxy group, followed by an amine based catalyst or an amine decane, such as any of the above. Examples of precursors based on ethoxylated groups include, but are not limited to, tetraoxydecane, and decane:

氧化劑的範例包含上述關於圖4A之該等氧化劑的任一者。在某些實施例中,遠端電漿產生器可供應活化的氧化劑物種。可導入一或更多的摻雜物前驅物、催化劑、抑制劑、緩衝劑、界面活性劑、溶劑、及其他化合物。Examples of oxidizing agents include any of the oxidizing agents described above with respect to Figure 4A. In certain embodiments, the distal plasma generator can supply an activated oxidant species. One or more dopant precursors, catalysts, inhibitors, buffers, surfactants, solvents, and other compounds can be introduced.

可同時或以特定順序導入自催化矽烷與氧化劑。在某些實施例中,可使用溶劑。溶劑可具有前述關於有機酸催化之可流動膜之特性的任一者,且可為前述關於有機酸催化之可流動膜之任一者。在某些實施例中,存在有惰性載氣。單獨或與一或更多的其他反應物結合之用於圖4A之操作402a或圖4B之操作402b中之反應物(自催化矽烷、含矽烷前驅物、氧化劑、溶劑、催化劑等)的任一者,可在剩餘反應物之前被導入。又,在某些實施例中,一或多的反應物可在關閉剩餘反應物流動之後,持續流入反應腔室中。The autocatalytic decane and oxidant can be introduced simultaneously or in a specific order. In certain embodiments, a solvent can be used. The solvent may have any of the foregoing properties relating to the organic acid catalyzed flowable film, and may be any of the foregoing organic acid catalyzed flowable films. In certain embodiments, an inert carrier gas is present. Any of the reactants (self-catalyzing decane, decane-containing precursor, oxidizing agent, solvent, catalyst, etc.) used in operation 402a of Figure 4A or operation 402b of Figure 4B, alone or in combination with one or more other reactants. It can be introduced before the remaining reactants. Again, in certain embodiments, one or more of the reactants may continue to flow into the reaction chamber after the remaining reactants are shut off.

在某些實施中,亦可將胺基矽烷作為介電常數回復劑來使用,以在操作404之前或與操作404同時處理ULK或其他低k膜。介電常數回復劑係於發明名稱為「FLOWABLE DIELECTRIC FOR SELECTIVE ULTRA LOW-K PORE SEALING」之美國專利申請案第14/464,071號(代理人案號:LAMRP102/3358-1US)中討論,該申請案與本案同時提出申請,且併入本說明書中以供參照。In certain implementations, the amine decane can also be used as a dielectric constant recovery agent to process ULK or other low k films prior to operation 404 or concurrently with operation 404. The dielectric constant repellent is discussed in U.S. Patent Application Serial No. 14/464,071, the entire disclosure of which is incorporated herein by reference in its entirety in The application is filed concurrently with the present disclosure and is incorporated herein by reference.

可以如上關於圖4A所述之分壓將自催化矽烷與氧化劑之製程氣體、及溶劑一起導入。曝露時間及腔室壓力及溫度亦可為該等上述關於圖4A者之任一者。The autocatalytic decane can be introduced together with the process gas of the oxidant and the solvent as described above with respect to the partial pressure described in FIG. 4A. The exposure time and chamber pressure and temperature can also be any of those described above with respect to Figure 4A.

如圖4B之操作404中所示,可流動膜係藉此沉積於基板表面。可流動膜可於與上述關於圖4A及4B的沉積速率相似的沉積速率下,沉積至如上述關於圖4A及4B的厚度。在許多實施例中,可流動膜仍可包含某些殘餘的胺基。在各種實施例中,可流動膜可為碳摻雜矽氧化物膜。As shown in operation 404 of Figure 4B, a flowable film is thereby deposited on the surface of the substrate. The flowable film can be deposited to a thickness as described above with respect to Figures 4A and 4B at a deposition rate similar to that described above with respect to Figures 4A and 4B. In many embodiments, the flowable film may still contain some residual amine groups. In various embodiments, the flowable film can be a carbon doped yttrium oxide film.

在操作406中,可使用上述關於圖4A之技術或方法的任一者來使所沉積的膜硬化。在某些實施例中,由於仍殘留在未水解或未硬化之前驅物上的胺基與空氣中的氧反應所致,傅立葉轉換紅外光譜中可能出現–OH峰值。然而,由於在硬化前所沉積的膜中的–OH基較少,可縮短或排除UV硬化操作。若實施UV硬化,該UV硬化將移除所有殘留的胺基。在某些實施例中,該所沉積的膜與過量的氧化劑一起經歷後處理,以藉由移除任何殘留的配位基(例如:胺基)而持續形成–Si–O–Si–鍵。在各種實施例中,依據用以沉積可流動膜的自催化矽烷,可能不執行操作406。在某些實施例中,操作406中僅執行熱硬化。In operation 406, any of the techniques or methods described above with respect to FIG. 4A can be used to harden the deposited film. In certain embodiments, the -OH peak may occur in the Fourier transform infrared spectrum due to the reaction of the amine groups remaining on the unhydrolyzed or unhardened precursor with oxygen in the air. However, since the -OH group in the film deposited before hardening is small, the UV hardening operation can be shortened or excluded. If UV hardening is carried out, this UV hardening will remove all residual amine groups. In certain embodiments, the deposited film is subjected to a post treatment with an excess of oxidant to continue to form a -Si-O-Si- bond by removing any residual ligand (eg, an amine group). In various embodiments, operation 406 may not be performed depending on the autocatalytic decane used to deposit the flowable film. In some embodiments, only thermal hardening is performed in operation 406.

在某些實施例中,不使用UV硬化。尤其是使用二級胺作為自催化矽烷時,溶劑與該二級胺反應而使大部分–OH被除去,而因此可使用熱退火以移除任何殘留的–OH基。熱退火溫度可為300℃以上(取決於可允許的熱預算)。可在惰性的環境(Ar、He等)下、或在可能具有反應性的環境下執行該處理。三級胺與本說明書中所述之溶劑的反應可形成具有配位基的膜,僅使用熱硬化或退火可能無法將該配位基移除。在三級胺與溶劑反應時,可接著使用UV硬化來移除–OH基。設備 In some embodiments, UV hardening is not used. In particular, when a secondary amine is used as the autocatalytic decane, the solvent reacts with the secondary amine to remove most of the -OH, and thus thermal annealing can be used to remove any residual -OH groups. The thermal annealing temperature can be above 300 ° C (depending on the allowable thermal budget). This treatment can be carried out in an inert environment (Ar, He, etc.) or in an environment that may be reactive. The reaction of the tertiary amine with the solvent described in this specification can form a film having a ligand which may not be removed using only thermal hardening or annealing. When the tertiary amine is reacted with the solvent, UV hardening can then be used to remove the -OH group. device

可在各式各樣的模組上執行本發明之方法。可在針對介電膜沉積所裝設的任何設備(包含HDP-CVD反應器、PECVD反應器、次大氣CVD反應器)、針對CVD反應所裝設的任何腔室、及用於脈衝沉積層(PDL, pulsed deposition layers)的腔室上實施該等方法。The method of the present invention can be performed on a wide variety of modules. Any device (including HDP-CVD reactor, PECVD reactor, sub-atmospheric CVD reactor) installed for dielectric film deposition, any chamber for CVD reactions, and for pulsed deposition ( These methods are carried out on the chambers of PDL, pulsed deposition layers.

此類設備可採取許多不同的形式。一般而言,該設備將包含一或更多的模組,其中各模組包含罩住一或更多的晶圓且適用於晶圓處理的腔室或反應器(有時包含多個站)。為進行處理,各腔室可罩住一或更多的晶圓。該一或更多的腔室將晶圓維持在所定義的單或複數位置上(在該位置內具有或不具有例如轉動、振動、或其他擾動的運動)。在進行處理時,各晶圓係藉由基座、晶圓卡盤及/或其他晶圓支撐設備而支撐於適當位置。對於在其中將加熱晶圓的某些操作而言,該設備可包含加熱器,例如加熱板。Such devices can take many different forms. In general, the device will contain one or more modules, each of which contains a chamber or reactor (sometimes containing multiple stations) that covers one or more wafers and is suitable for wafer processing. . For processing, each chamber can cover one or more wafers. The one or more chambers maintain the wafer in a defined single or multiple position (with or without motion such as rotation, vibration, or other disturbances). When processing, each wafer is supported in place by a susceptor, wafer chuck, and/or other wafer support equipment. For certain operations in which the wafer will be heated, the device may include a heater, such as a heating plate.

在執行中,可在與可流動介電質沉積相同或不同的模組中實施預處理。圖5顯示例示性工具組合560,其包含晶圓傳送系統595及負載鎖室590、可流動沉積模組570、及預沉積處理模組580。亦可包含額外的模組,例如沉積後處理模組、及/或一或更多的額外之沉積模組570或硬化模組580。In practice, the pretreatment can be performed in a module that is the same or different from the flowable dielectric deposit. FIG. 5 shows an illustrative tool assembly 560 that includes a wafer transfer system 595 and load lock chamber 590, a flowable deposition module 570, and a pre-deposition processing module 580. Additional modules may also be included, such as a post-deposition processing module, and/or one or more additional deposition modules 570 or hardening modules 580.

針對預處理或硬化可使用之模組包含SPEED或SPEED Max、INOVA反應預潔淨模組(RPM, Reactive Preclean Module)、Altus 極限填充(EFx, ExtremeFill)模組、Vector Extreme預處理模組(用於電漿、紫外線、或紅外線預處理或硬化)、SOLA(用於UV預處理或硬化)、及Vector 或Vector Extreme模組。該等模組可附於與可流動沉積模組相同的架構。並且,該等模組的任一者係可於不同的架構上。系統控制器可連接至工具之任一或所有元件;其配置及連接可基於特定的實施而變化。系統控制器的之範例係參照圖7而描述於下。Modules for pre-treatment or hardening include SPEED or SPEED Max, INOVA Reactive Preclean Module (RPM), Altus Ultimate Fill (EFx, ExtremeFill) module, Vector Extreme pre-processing module (for Plasma, UV, or IR pretreatment or hardening), SOLA (for UV pretreatment or hardening), and Vector or Vector Extreme modules. These modules can be attached to the same architecture as the flowable deposition module. Also, any of these modules can be on different architectures. The system controller can be connected to any or all of the components of the tool; its configuration and connections can vary based on the particular implementation. An example of a system controller is described below with reference to FIG.

圖6顯示用於可流動介電質沉積之沉積腔室的範例。沉積腔室600(亦稱為反應器、或反應器腔室)包含腔室外殼602、頂板604、擋板606、噴淋頭608、基座支柱624、及針對可流動介電質沉積而提供一封閉容積之密封件626。晶圓610係由卡盤612及絕緣環614所支撐。卡盤612包含RF電極616及電阻加熱元件618。卡盤612及絕緣環614係由基座620所支撐,其包含平台622及基座支柱624。基座支柱624穿過密封件626與基座傳動裝置(未顯示)介面接合。基座支柱624包含平台冷卻液管線628及基座沖淨管線630。噴淋頭608包含共同反應物氣室632及前驅物氣室634,其藉由共同反應物氣體管線636及前驅物氣體管線638來個別供給。共同反應物氣體管線636及前驅物氣體管線638可在到達區域640中的噴淋頭608之前加熱。雖然本說明書中係描述雙流氣室,但亦可使用單流氣室來引導氣體進入腔室。舉例而言,反應物可被供給至噴淋頭,且可在導入反應器中之前於單氣室內混合。620'及620皆指涉基座,但分別指涉其位於下降(620)及舉升(620')位置。Figure 6 shows an example of a deposition chamber for flowable dielectric deposition. The deposition chamber 600 (also referred to as a reactor, or reactor chamber) includes a chamber housing 602, a top plate 604, a baffle 606, a showerhead 608, a pedestal post 624, and is provided for flowable dielectric deposition A closed volume seal 626. Wafer 610 is supported by chuck 612 and insulating ring 614. Chuck 612 includes RF electrode 616 and resistive heating element 618. The chuck 612 and the insulating ring 614 are supported by the base 620 and include a platform 622 and a base post 624. The base post 624 is interfaced with a base transmission (not shown) through a seal 626. The base post 624 includes a platform coolant line 628 and a pedestal purge line 630. The showerhead 608 includes a common reactant gas chamber 632 and a precursor gas chamber 634, which are individually supplied by a common reactant gas line 636 and a precursor gas line 638. The common reactant gas line 636 and the precursor gas line 638 can be heated prior to reaching the showerhead 608 in the region 640. Although a dual flow chamber is described in this specification, a single flow chamber may also be used to direct gas into the chamber. For example, the reactants can be supplied to the showerhead and can be mixed in a single gas chamber prior to introduction into the reactor. Both 620' and 620 refer to the pedestal, but refer to their position at the descending (620) and lifting (620'), respectively.

該腔室裝設有(或連接至)用於將反應物輸送至反應器腔室600之氣體輸送系統。氣體輸送系統可將一或更多的共同反應物(例如:氧化劑,包含水、氧、臭氧、過氧化物、醇類等)供給給腔室610,該等共同反應物可被單獨供給、或與惰性載氣混合而供給。該氣體輸送系統亦可將一或更多的介電質前驅物(例如三乙氧基矽烷(TES,triethoxysilane))供給給腔室,該等介電質前驅物可被單獨供給、或與惰性載氣混合而供給。氣體輸送系統亦可配置成輸送一或更多的處理試劑,用於如本說明書中所敘述之電漿處理反應器潔淨。例如,針對電漿處理,可輸送氫、氬、氮、氧、或其他氣體。The chamber is provided with (or connected to) a gas delivery system for delivering reactants to the reactor chamber 600. The gas delivery system can supply one or more common reactants (eg, an oxidant, including water, oxygen, ozone, peroxides, alcohols, etc.) to the chamber 610, which can be supplied separately, or It is supplied by mixing with an inert carrier gas. The gas delivery system can also supply one or more dielectric precursors (e.g., triethoxysilane) to the chamber, the dielectric precursors can be supplied separately, or inert The carrier gas is mixed and supplied. The gas delivery system can also be configured to deliver one or more processing reagents for cleaning the plasma processing reactor as described in this specification. For example, for plasma processing, hydrogen, argon, nitrogen, oxygen, or other gases may be delivered.

沉積腔室600作為封閉之環境,可流動介電質之沉積可發生於其內。在許多實施例中,沉積腔室600以徑向對稱的內部為特色。降低或排除徑向對稱內部之偏移,會幫助確保反應物之流動在晶圓610上以徑向平衡的方式發生。由徑向不對稱所造成的對反應物流動之干擾,可引起在晶圓610的某些區域上較在其他區域上多或少的沉積,其可能在晶圓一致性方面產生不需要的變化。The deposition chamber 600 acts as a closed environment in which deposition of flowable dielectric can occur. In many embodiments, the deposition chamber 600 features a radially symmetric interior. Reducing or eliminating the offset of the radially symmetric interior will help ensure that the flow of reactants occurs radially on the wafer 610 in a balanced manner. Interference with reactant flow caused by radial asymmetry can cause more or less deposition on certain areas of wafer 610 than in other areas, which can create undesirable changes in wafer uniformity. .

沉積腔室600包含數個主要元件。結構上,沉積腔室600可包含腔室外殼602及頂板604。頂板604係配置成附於腔室外殼602,且提供介於腔室外殼602與氣體分配岐管/噴淋頭、電極、或其他模組設備之間的封閉介面。依據製程之特定的設備需求,不同的頂板604可與相同的腔室外殼602一起使用。The deposition chamber 600 contains several major components. Structurally, deposition chamber 600 can include a chamber housing 602 and a top plate 604. The top plate 604 is configured to be attached to the chamber housing 602 and provides a closed interface between the chamber housing 602 and the gas distribution manifold/spray head, electrodes, or other modular device. Different top plates 604 can be used with the same chamber housing 602 depending on the particular equipment requirements of the process.

腔室外殼602及頂板604可由鋁(例如:6061-T6)機械加工製成,然而亦可使用其他材料,包含其他級數的鋁、鋁氧化物、及其他非鋁材料。鋁的使用允許輕易的機械加工及處理,並可達成鋁之提高的熱傳導特性。The chamber housing 602 and top plate 604 can be machined from aluminum (e.g., 6061-T6), although other materials can be used, including other grades of aluminum, aluminum oxide, and other non-aluminum materials. The use of aluminum allows for easy machining and handling, and the improved heat transfer characteristics of aluminum can be achieved.

頂板604可裝設有電阻加熱包覆層以將頂板604維持在所需之溫度。例如,頂板604可裝設有電阻加熱包覆層以將頂板604維持在介於-20℃與100℃之間的溫度。除了電阻加熱包覆層或作為電阻加熱包覆層的替代,可使用替代的加熱源,例如:使加熱之液體經由頂板604而循環、或將電阻加熱器匣筒提供給頂板604。The top plate 604 can be provided with a resistive heating cladding to maintain the top plate 604 at the desired temperature. For example, the top plate 604 can be provided with a resistive heating cladding to maintain the top plate 604 at a temperature between -20 ° C and 100 ° C. Instead of a resistive heating cladding or as an alternative to a resistive heating cladding, an alternative heating source can be used, such as circulating the heated liquid via top plate 604 or providing a resistive heater cartridge to top plate 604.

腔室外殼602可裝設有電阻加熱器匣筒,其配置成將腔室外殼602維持在所需溫度。亦可使用其他的溫度控制系統,例如使加熱之流體經由腔室壁上的孔而循環。在可流動介電質沉積期間,可將該腔室內壁之溫度控制至介於-20℃與100℃之間的溫度。在某些實施中,頂板604可能不包含加熱元件,且可以依賴來自腔室之電阻加熱器匣筒的熱能之熱傳導作為替代,以維持所需溫度。各種實施例可配置成將腔室內壁及其他不欲使沉積發生在其上之表面(例如基座、擋板、及噴淋頭)的溫度控制至較目標沉積製程溫度高約10℃至40℃。在某些實施中,可將該等元件保持在該範圍以上的溫度。The chamber housing 602 can be provided with a resistive heater cartridge configured to maintain the chamber housing 602 at a desired temperature. Other temperature control systems can also be used, such as circulating heated fluid through holes in the walls of the chamber. During the flowable dielectric deposition, the temperature of the interior walls of the chamber can be controlled to a temperature between -20 ° C and 100 ° C. In some implementations, the top plate 604 may not include a heating element and may rely on thermal conduction of thermal energy from the resistance heater cartridge of the chamber as an alternative to maintaining the desired temperature. Various embodiments may be configured to control the temperature of the interior walls of the chamber and other surfaces on which deposition is not desired (eg, pedestals, baffles, and sprinklers) to a temperature that is about 10 ° C to 40 higher than the target deposition process temperature. °C. In some implementations, the elements can be maintained at temperatures above this range.

在處理期間,經由主動加熱及維持沉積腔室600的溫度,可將反應器內壁保持在相對於晶圓610被維持之溫度而升高的溫度。在可流動膜沉積期間,使反應器內壁的溫度相對於晶圓的溫度而升高,可將反應物在沉積腔室600的內壁上之凝結作用最小化。若該等反應物之凝結作用發生於沉積腔室600的內壁上,該凝結物可在該內壁上形成沉積層,其為不樂見的。During processing, the inner wall of the reactor can be maintained at a temperature that is elevated relative to the temperature at which wafer 610 is maintained, by actively heating and maintaining the temperature of deposition chamber 600. During the deposition of the flowable film, the temperature of the inner wall of the reactor is raised relative to the temperature of the wafer, and the condensation of the reactants on the inner wall of the deposition chamber 600 can be minimized. If the coagulation of the reactants occurs on the inner wall of the deposition chamber 600, the condensate may form a deposited layer on the inner wall, which is undesirable.

除了或替代加熱腔室外殼602及/或頂板604,可將疏水性塗覆物塗佈於沉積腔室600之部分或所有潤濕表面,及其他具有潤濕表面的元件(如基座620、絕緣環614、或平台622),以防止凝結。此類疏水性塗覆物可能對於製程化學作用及處理溫度範圍(例如:-20℃至100℃的處理溫度範圍)具有抗性。某些以矽為基礎及以氟碳化合物為基礎的疏水性塗覆物(例如聚乙烯)可能不與氧化(例如:電漿)環境相容,且可能不適用。可使用具有超疏水特性之以奈米科技為基礎的塗覆物;此類塗覆物可為超薄,且除了具有疏水特性,亦可具有疏油特性,該特性可允許此類塗覆物防止許多反應物凝結及沉積,而可用於可流動膜沉積。合適的超疏水塗覆物之一範例為二氧化鈦(TiO2 )。In addition to or in lieu of heating chamber housing 602 and/or top plate 604, a hydrophobic coating can be applied to some or all of the wetted surface of deposition chamber 600, as well as other components having a wetted surface (eg, pedestal 620, Insulation ring 614, or platform 622) to prevent condensation. Such hydrophobic coatings may be resistant to process chemistry and processing temperature ranges (eg, processing temperatures ranging from -20 ° C to 100 ° C). Certain hydrazine-based and fluorocarbon based hydrophobic coatings (eg, polyethylene) may not be compatible with an oxidizing (eg, plasma) environment and may not be suitable. Nanotechnology-based coatings having superhydrophobic properties can be used; such coatings can be ultra-thin and, in addition to having hydrophobic properties, can also have oleophobic properties that allow such coatings It prevents the condensation and deposition of many reactants and can be used for flowable film deposition. An example of a suitable superhydrophobic coating is titanium dioxide (TiO 2 ).

沉積腔室600亦可包含遠端電漿源埠,其可用以將電漿製程氣體導入沉積腔室600中。舉例而言,可提供遠端電漿源埠作為將處理氣體導入反應區域而不需經由噴淋頭608而傳輸處理氣體之手段。在某些實施例中,可經由噴淋頭608而傳輸遠端電漿物種。The deposition chamber 600 can also include a remote plasma source port that can be used to introduce the plasma process gas into the deposition chamber 600. For example, a remote plasma source can be provided as a means of introducing process gases into the reaction zone without the need to transport process gases via showerhead 608. In certain embodiments, the distal plasma species can be delivered via the showerhead 608.

在電漿處理中,可使用直接電漿或遠端電漿。在先前的實例中,可經由噴淋頭而傳輸處理氣體。噴淋頭608可包含加熱元件或熱傳導途徑,其可在處理期間將噴淋頭之溫度維持在可接受的製程參數內。若使用直接電漿,則噴淋頭608亦可包含RF電極,其用以在反應區域內產生電漿環境。基座620亦可包含RF電極,其用以在反應區域內產生電漿環境。藉由使用供電電極與接地電極之間的電容耦合,可產生此類電漿環境;該供電電極(其可與電漿產生器連接)可對應噴淋頭608中之RF電極。該接地電極可對應基座之RF電極。亦可允許替代的配置。該等電極可配置成產生13.56 MHz 範圍、27 MHz範圍、或者,更廣泛而言,介於50 KHz 與60 MHz之間的 RF能量。在某些實施例中,可提供有多個電極,各配置成產生特定頻率範圍的RF能量。在其中噴淋頭608包含供電之RF電極的實施例中,卡盤612可包含或作為接地之RF電極。舉例而言,卡盤612可為接地之鋁電極,由於鋁相對於其他材料(例如:陶瓷)的高熱傳導性所致,該鋁電極可導致遍及基座-卡盤-晶圓介面之增強的冷卻作用。In plasma processing, direct plasma or remote plasma can be used. In the previous example, the process gas could be delivered via a showerhead. The showerhead 608 can include a heating element or a thermal conduction path that maintains the temperature of the showerhead within acceptable process parameters during processing. If direct plasma is used, the showerhead 608 can also include an RF electrode for creating a plasma environment within the reaction zone. The pedestal 620 can also include an RF electrode for creating a plasma environment within the reaction zone. Such a plasma environment can be created by using capacitive coupling between the supply electrode and the ground electrode; the supply electrode (which can be coupled to the plasma generator) can correspond to the RF electrode in the showerhead 608. The ground electrode can correspond to the RF electrode of the pedestal. Alternative configurations are also allowed. The electrodes can be configured to produce a 13.56 MHz range, a 27 MHz range, or, more broadly, an RF energy between 50 KHz and 60 MHz. In some embodiments, a plurality of electrodes can be provided, each configured to generate RF energy in a particular frequency range. In embodiments where the showerhead 608 includes a powered RF electrode, the chuck 612 can include or be a grounded RF electrode. For example, chuck 612 can be a grounded aluminum electrode that can result in enhanced susceptor throughout the susceptor-chuck-to-wafer interface due to the high thermal conductivity of aluminum relative to other materials (eg, ceramic) Cooling effect.

圖7係為適於實施所請發明之方法的設備700之另一實例的示意圖。在此實例中,設備700可用於可流動介電質沉積、及原位或遠端電漿之前處理或後處理。設備700包含處理腔室718及遠端電漿產生器706。處理腔室718包含基座720、噴淋頭714、系統控制器722、及敘述於下的其他元件。在圖7的實例中,設備700亦包含RF產生器716,然而在某些實施例中,可能不會出現該RF產生器。FIG. 7 is a schematic illustration of another example of an apparatus 700 suitable for implementing the method of the claimed invention. In this example, device 700 can be used for flowable dielectric deposition, and for in situ or remote plasma pre-treatment or post-treatment. Apparatus 700 includes a processing chamber 718 and a remote plasma generator 706. Processing chamber 718 includes a base 720, a showerhead 714, a system controller 722, and other components described below. In the example of FIG. 7, device 700 also includes RF generator 716, although in some embodiments, the RF generator may not be present.

可將處理試劑(例如H2、He、Ar、N2)自各種處理試劑來源(例如:來源702)供給至遠端電漿產生器706。處理試劑來源可為容納一種試劑或多種試劑之混合物的儲存槽。再者,可使用設備級之試劑來源。處理試劑混合物可接著經由連接管線708而流入處理腔室718,該混合物經由噴淋頭714而在此處散佈,以處理基座720上之晶圓或其他基板。Processing reagents (e.g., H2, He, Ar, N2) can be supplied to the remote plasma generator 706 from various sources of processing reagents (e.g., source 702). The source of the treatment reagent can be a storage tank containing one reagent or a mixture of reagents. Furthermore, equipment level reagent sources can be used. The processing reagent mixture can then flow into processing chamber 718 via connection line 708 where it is dispensed via showerhead 714 to process wafers or other substrates on susceptor 720.

腔室718可包含感測器724,用於感測各種材料及其個別濃度、壓力、溫度、及其他製程參數,並在製程期間將反應器條件的資訊提供至系統控制器722。在製程期間可監測之腔室感測器的範例包含質量流量控制器、壓力感測器(例如:壓力計)、及位於基座中的熱電偶。感測器724亦可包含紅外線檢測器或光學檢測器,用以監測腔室中之氣體的存在。易揮發的副產物及其他過量氣體係自腔室718經由包含真空泵浦及閥之出口726而移除。The chamber 718 can include a sensor 724 for sensing various materials and their individual concentrations, pressures, temperatures, and other process parameters, and providing information of the reactor conditions to the system controller 722 during the process. Examples of chamber sensors that can be monitored during processing include a mass flow controller, a pressure sensor (eg, a pressure gauge), and a thermocouple located in the susceptor. The sensor 724 can also include an infrared detector or optical detector to monitor the presence of gas in the chamber. The volatile by-products and other excess gas systems are removed from chamber 718 via an outlet 726 that includes a vacuum pump and valve.

在某些實施例中,使用系統控制器722來控制沉積、及/或前處理或後處理之製程條件。系統控制器722一般將包含一或更多的記憶裝置及一或更多的處理器。該處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進馬達控制器板等。一般而言,可存在有與系統控制器722相連接的使用者介面。該使用者介面可包含顯示螢幕、該設備及/或製程條件的圖形軟體顯示、及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。In some embodiments, system controller 722 is used to control process conditions for deposition, and/or pre- or post-treatment. System controller 722 will typically include one or more memory devices and one or more processors. The processor can include a CPU or computer, an analog and/or digital input/output connection, a stepper motor controller board, and the like. In general, there may be a user interface coupled to system controller 722. The user interface can include a graphical software display that displays a screen, the device and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

在某些實施例中,系統控制器722亦可控制製程期間的所有活動,包含氣體流率、腔室溫度、產生器處理參數。系統控制器722執行系統控制軟體,該軟體包含用以控制特定製程之時序、氣體之混合物、腔室壓力、基座(及基板)之溫度、及其他參數的指令組。系統控制器722亦可藉由調節輸送系統中的閥、液體輸送控制器、及MFCs、與流量限制閥及排放管線,而控制該腔室中之各種製程氣體的濃度。系統控制器722執行系統控制軟體,該軟體包含用以控制特定製程之時序、氣體與液體之流率、腔室壓力、基板溫度、及其他參數的指令組。在某些實施例中,可使用儲存於與該控制器相連接之記憶裝置上的其他電腦程式。在某些實施例中,系統控制器722控制基板進入與離開該設備之各種元件的傳送。In some embodiments, system controller 722 can also control all activities during the process, including gas flow rate, chamber temperature, and generator processing parameters. System controller 722 executes system control software that includes sets of instructions for controlling the timing of a particular process, the mixture of gases, the chamber pressure, the temperature of the susceptor (and substrate), and other parameters. The system controller 722 can also control the concentration of various process gases in the chamber by adjusting valves, liquid delivery controllers, and MFCs in the delivery system, and flow restriction valves and discharge lines. System controller 722 executes system control software that includes a set of instructions to control the timing of a particular process, the flow rate of gases and liquids, chamber pressure, substrate temperature, and other parameters. In some embodiments, other computer programs stored on a memory device coupled to the controller can be used. In some embodiments, system controller 722 controls the transfer of substrates into and out of various components of the device.

可以任何習知的電腦可讀取程式化語言來編寫用以按照製程順序來控制製程的電腦程式碼:例如,組合語言、C、C++、Pascal、Fortran或其他語言。藉由處理器來執行經編譯的目標碼或腳本,以執行在該程式中所識別的作業。可以許多不同的方式來設計或配置該系統軟體。例如,可編寫各種腔室元件副程式或控制物件,以控制執行所述製程所需的腔室元件之操作。為此目的之程式或程式區段的範例包含製程氣體控制碼及壓力控制碼。Computer code for controlling the process in the order of the process can be written in any conventional computer readable stylized language: for example, a combination language, C, C++, Pascal, Fortran or other languages. The compiled object code or script is executed by the processor to execute the job identified in the program. The system software can be designed or configured in many different ways. For example, various chamber component subprograms or control items can be programmed to control the operation of the chamber components required to perform the process. Examples of programs or program sections for this purpose include process gas control codes and pressure control codes.

在某些實施例中,系統控制器722係為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣體氣流系統等)。該等系統可與電子設備整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將系統控制器722程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。In some embodiments, system controller 722 is part of a system that can be part of the above examples. Such systems may include semiconductor processing equipment including one or more processing tools, one or multiple chambers, one or more stages for processing, and/or specific processing elements (wafer pedestals, gas flow systems, etc.). The systems can be integrated with the electronic device to control the operation of the semiconductor wafer or substrate before, during, and after processing. These electronic devices may be referred to as "controllers" which may control various components or sub-components of one or more systems. Depending on the needs of the process and/or the type of system, system controller 722 can be programmed to control any of the processes disclosed in this specification, including processing gas delivery, temperature settings (eg, heating and/or cooling). , pressure setting, vacuum setting, power setting, RF (radio frequency) generator setting, RF matching circuit setting, frequency setting, flow rate setting, fluid delivery setting, position and operation setting, access tool and connection to a specific system or Wafer transfer of other transfer tools and/or load lock chambers that interface with a particular system interface.

廣泛而言,可將控制器722定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器722的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。Broadly speaking, controller 722 can be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receive commands, send commands, control operations, allow cleaning operations, allow end point measurements, and the like. The integrated circuit may include a firmware in the form of firmware for storing program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or execution. One or more microprocessors or microcontrollers of program instructions (eg, software). The program instructions can be instructions that are transmitted to controller 722 in various individual settings (or program files) that define operational parameters for performing a particular process on a semiconductor wafer, or for a semiconductor wafer, or for a system. In some implementations, the operational parameter can be part of a formulation defined by a process engineer for one or more layers, materials, metals, oxides, ruthenium, ruthenium dioxide, surfaces, circuits And/or one or more processing steps are completed during manufacture of the die of the wafer.

在某些實施中,控制器722可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器722係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器722接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器722係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器722可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。In some implementations, controller 722 can be part of a computer or connected to a computer that is integrated with the system, connected to the system, or connected to the system via a network, or a combination thereof. For example, controller 722 can be located in the "cloud" or all or part of a fab host computer system that can allow remote access to wafer processing. The computer can achieve remote access to the system to monitor the current manufacturing process, view past manufacturing operations history, view trends or performance metrics from multiple manufacturing operations, and change current processing parameters to set processing Steps to continue the current process or start a new process. In some instances, a remote computer (eg, a server) can provide process recipes to the system over a network, which can include a local area network or the Internet. The remote computer can include a user interface that can be parameterized and/or configured for input or programming, and the parameters or settings are then transmitted from the remote computer to the system. In some examples, controller 722 receives an instruction in the form of a material that specifies parameters for each of the processing steps to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process to be performed, and the type of tool (the controller 722 is configured to interface with or control the tool interface). Thus, as noted above, the controller 722 can be dispersed, for example by including one or more separate controllers that are connected together through a network and operate toward a common target, such as the processes described in this specification and control. An example of a separate controller for such purposes may be one or more integrated circuits on the chamber that are located at one of the remote end (eg, at the platform level, or part of the remote computer) or A plurality of integrated circuit connections are combined to control the process on the chamber.

例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。An exemplary system can include a plasma etch chamber or module, a deposition chamber or module, a rotary rinsing chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel etch chamber, or Module, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or Modules, ALE, atomic layer etch chambers or modules, ion implantation chambers or modules, track chambers or modules, and may be associated with the manufacture and/or production of semiconductor wafers or Any other semiconductor processing system used therein, but is not limited thereto.

如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器722可與下列一或多者通訊:一或更多的其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。As described above, depending on the process steps (or complex process steps) to be performed by the tool, the controller 722 can communicate with one or more of the following: one or more other tool circuits or modules, other tool components, cluster tools, Other tool interfaces, traction tools, proximity tools, tools throughout the factory, main computer, another controller, or the location of tools that carry or carry wafer containers away from the semiconductor manufacturing plant and/or Tool for material transfer.

該控制器參數與製程條件相關,例如各操作之時序、腔室內部之壓力、基板溫度、及製程氣體流率。該等參數係以配方之形式提供給使用者,並可利用使用者介面來輸入。可藉由系統控制器722之類比及/或數位輸入連接部,而提供用以監視製程的信號。可於設備之類比及數位輸出連接部上,輸出用以控制製程之信號。The controller parameters are related to process conditions, such as the timing of each operation, the pressure inside the chamber, the substrate temperature, and the process gas flow rate. These parameters are provided to the user in the form of a recipe and can be entered using the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 722. The signal for controlling the process can be output on the analogy of the device and the digital output connection.

亦可在包含用於半導體製造之微影及/或圖案化硬體的系統中實施所揭露的方法及設備。再者,可在具有在所揭露的方法之前或之後的微影及/或圖案化製程中,實施所揭露的方法。本說明書中所敘述之該設備/製程可與例如用以製造或生產半導體裝置、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用,一般而言(儘管非必然),此類工具/製程將一起使用或包含於共同的製造設施中。膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如基板)上;(2)使用加熱板、或加熱爐、或UV硬化工具將光阻硬化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。實驗 實驗 1 The disclosed methods and apparatus can also be implemented in systems including lithography and/or patterned hardware for semiconductor fabrication. Furthermore, the disclosed method can be practiced in a lithography and/or patterning process prior to or after the disclosed method. The apparatus/process described in this specification can be used in conjunction with, for example, a lithographic patterning tool or process for fabricating or manufacturing semiconductor devices, displays, LEDs, photovoltaic panels, etc., generally (although not necessarily), such Tools/processes will be used together or included in a common manufacturing facility. The lithographic patterning of the film typically involves some or all of the following operations (each operating with a number of suitable tools): (1) applying a photoresist to a workpiece (eg, a substrate) using a spin coating or spray coating tool. (2) using a heating plate, or a heating furnace, or a UV hardening tool to harden the photoresist; (3) exposing the photoresist to visible light, or UV light, or x-ray light using a tool such as a wafer stepper; (4) developing the photoresist using a tool such as a wet cleaning station to selectively remove the photoresist, thereby patterning it; (5) transferring the photoresist pattern to the lower layer by using a dry or plasma-assisted etching tool In the film or workpiece; and (6) the photoresist is removed using a tool such as an RF or microwave plasma photoresist stripper. Experimental experiment 1

實施一實驗以比較收縮率並評估有機酸催化劑之感光度。使用乙酸及含矽前驅物(AP LTO 400)來將膜沉積於晶圓上。將晶圓保持於黑暗中,其後於375℃將該晶圓熱硬化。使用乙酸及含矽前驅物(AP LTO 400)來將第二膜沉積於晶圓上。將晶圓留在具有螢光燈之無塵室達一段與先前的膜保持於黑暗中相同長度的時間,其後於375℃將該晶圓熱硬化。An experiment was conducted to compare the shrinkage and evaluate the sensitivity of the organic acid catalyst. Acetate and a ruthenium containing precursor (AP LTO 400) were used to deposit the film on the wafer. The wafer was held in the dark and then the wafer was thermally cured at 375 °C. The second film is deposited on the wafer using acetic acid and a ruthenium containing precursor (AP LTO 400). The wafer was left in a clean room with a fluorescent lamp for a period of time that was the same length as the previous film was kept in the dark, after which the wafer was thermally hardened at 375 °C.

該結果顯示,該膜顯現感光性特性,而使得在熱硬化之後 ,相較於被留在無塵室之螢光燈下的晶圓,針對保持在黑暗中的晶圓,該膜具有較少38%-45%的收縮率。該所沉積之膜亦於該晶圓被晶圓傳送盒(該晶圓儲存於其中)之可見光遮頂所覆蓋的部分上展現厚度之改變。該晶圓係保持在該晶圓傳送盒的最上槽。The results show that the film exhibits photosensitivity characteristics such that after thermal hardening, the film has less film for the wafer held in the dark than the wafer that is left under the fluorescent lamp of the clean room. 38%-45% shrinkage. The deposited film also exhibits a change in thickness over the portion of the wafer covered by the visible light cap of the wafer transfer cassette in which the wafer is stored. The wafer is held in the uppermost slot of the wafer transfer cassette.

針對留在黑暗中的膜相對於留在螢光燈下的膜,氯所催化而沉積之膜並不顯示收縮率上的變化。該結果顯示,乙酸作為催化劑可展現感光性之催化作用,其可促進介於催化劑、氧化劑、及含矽前驅物之間的反應,以沉積具有經改善之特性的可流動膜。實驗 2 The film deposited by the catalysis of chlorine does not exhibit a change in shrinkage rate with respect to the film left in the dark relative to the film remaining under the fluorescent lamp. The results show that acetic acid as a catalyst exhibits a catalytic effect of sensitization which promotes a reaction between a catalyst, an oxidant, and a ruthenium-containing precursor to deposit a flowable film having improved properties. Experiment 2

實施一實驗以比較由不含鹵素之有機酸催化劑所沉積之未硬化膜及由鹵化物酸催化劑所沉積之未硬化膜的傅立葉轉換紅外光譜儀(FTIR, Fourier transform infrared spectroscopy)之光譜。An experiment was conducted to compare the spectra of an uncured film deposited by a halogen-free organic acid catalyst and an uncured infrared spectroscopy (FTIR) deposited from a halide acid catalyst.

使用氯基三乙氧基矽烷作為鹵化物酸催化劑、及甲基三乙氧基矽烷作為含矽前驅物(AP LTO 430®, 來自Air Products and Chemicals, Inc.)、及水作為氧化劑,來將可流動膜沉積於晶圓上。將該等反應物導入反應腔室達一段約220秒的持續時間。在-0.5℃的溫度及45 Torr的腔室壓力下,該膜沉積至約3500埃的厚度。該所沉積之膜係使用其FTIR光譜來進行分析。鹵化物酸所催化之膜的光譜係顯示於圖8中,如曲線801。Using chlorotriethoxydecane as the halide acid catalyst, and methyltriethoxydecane as the ruthenium containing precursor (AP LTO 430® from Air Products and Chemicals, Inc.), and water as the oxidant, A flowable film is deposited on the wafer. The reactants are introduced into the reaction chamber for a duration of about 220 seconds. The film was deposited to a thickness of about 3500 angstroms at a temperature of -0.5 ° C and a chamber pressure of 45 Torr. The deposited film system was analyzed using its FTIR spectrum. The spectrum of the membrane catalyzed by the halide acid is shown in Figure 8, as shown by curve 801.

使用乙酸做為不含鹵素之有機酸催化劑、AP LTO 400作為含矽前驅物、及水作為氧化劑,來將可流動膜沉積於晶圓上。將該等反應物導入反應腔室達一段約375秒的持續時間。在-0.5℃的溫度及45 Torr的腔室壓力下,該膜沉積至約3500埃的厚度。該所沉積之膜係使用其FTIR光譜來進行分析,該光譜顯示於圖8中,如曲線803。A flowable film is deposited on the wafer using acetic acid as the halogen-free organic acid catalyst, AP LTO 400 as the ruthenium-containing precursor, and water as the oxidant. The reactants are introduced into the reaction chamber for a duration of about 375 seconds. The film was deposited to a thickness of about 3500 angstroms at a temperature of -0.5 ° C and a chamber pressure of 45 Torr. The deposited film system was analyzed using its FTIR spectrum, which is shown in Figure 8, as curve 803.

如所顯示,與有機酸所催化之膜相關聯的曲線顯示在約3300 cm-1 處的–OH鍵之峰值,其可歸因於該所沉積膜的未硬化之性質。該鹵化物酸所催化之膜的曲線具有較低的–OH鍵之峰值。應注意,在約1127 cm-1 處,該有機酸所催化之膜的曲線具有一峰值,其高於該鹵化物酸所催化之膜的曲線之峰值,該峰值係與–Si–O–Si–鍵之籠狀鍵網狀結構相關聯。在約965 cm-1 處,該有機酸所催化之膜的曲線亦具有一較高的峰值,其與Si–OH鍵中的Si–O鍵相關聯。將峰值積分對厚度進行標準化以匹配該峰值高度的趨勢。As shown, the curve associated with the membrane catalyzed by the organic acid shows a peak of the -OH bond at about 3300 cm -1 , which can be attributed to the uncured nature of the deposited film. The curve of the membrane catalyzed by the halide acid has a lower peak of the -OH bond. It should be noted that at about 1127 cm -1 , the curve of the film catalyzed by the organic acid has a peak which is higher than the peak of the curve of the film catalyzed by the halide acid, which is related to -Si-O-Si – The cage key structure of the key is associated. At about 965 cm -1 , the curve of the film catalyzed by the organic acid also has a higher peak associated with the Si–O bond in the Si–OH bond. The peak integral is normalized to the thickness to match the trend of the peak height.

圖9提供有機酸所催化之膜(903)及鹵化物酸所催化之膜(901)在UV硬化執行於該兩膜上後的FTIR光譜。在UV硬化期間,該反應以例如–CH3 來置換所有–OH基。如圖9所示,有機酸所催化之膜的曲線顯示,幾乎無–OH基出現。由於該所沉積之膜相似於該鹵化物酸所催化之膜,但該膜係不使用可能產生任何會與晶圓中之下層金屬化層反應之鹵化物的催化劑來沉積,因此該結果顯示,使用不含鹵素之有機酸催化劑係為可行的、且有效的方法。再者,不受特定理論所限制,由於各種酸催化劑之感光度所致,可增加速率常數,而使當晶圓曝露於光時,任何有機基團可離開矽中心,且協助形成–Si–O–Si–網狀結構。實驗 3EXPERIMENT 3 Figure 9 provides an FTIR spectrum of a film (903) catalyzed by an organic acid and a film (901) catalyzed by a halide acid after UV hardening is performed on the two films. During UV curing, for example, the reaction to replace all -CH 3 -OH group. As shown in Fig. 9, the curve of the film catalyzed by the organic acid showed that almost no -OH group appeared. Since the deposited film is similar to the film catalyzed by the halide acid, the film is deposited without using a catalyst which may generate any halide which will react with the underlying metallization layer in the wafer, so the result shows that The use of halogen-free organic acid catalysts is a viable and effective method. Furthermore, without being bound by a particular theory, the rate constant can be increased due to the sensitivity of the various acid catalysts such that when the wafer is exposed to light, any organic groups can leave the center of the crucible and assist in the formation of -Si– O–Si–mesh structure. Experiment 3EXPERIMENT 3

圖10提供未硬化之鹵化物酸所催化的膜1001及未硬化之氨基矽烷所衍生的膜1005的FTIR光譜。該未硬化之氨基矽烷所衍生的膜1005在3400 cm-1 處顯示N–H組成物,且在~2900 cm-1 及~1400 cm-1 處顯示與CH2 相關聯之強勢的頻率,該頻率並未出現在未硬化之鹵化物酸所催化的膜1001。於對應–Si–O–Si–頻率的區域中,在~1050 cm-1 處觀察到較高部分的峰值強度,其指示相較於鹵化物所催化的膜,烷基胺所催化的膜可具有縮合程度更高的氧化物網狀結構。Figure 10 provides an FTIR spectrum of film 1001 catalyzed by uncured halide acid and film 1005 derived from uncured aminooxane. The film 1005 derived from the uncured amino decane exhibits an N-H composition at 3400 cm -1 and exhibits a strong frequency associated with CH 2 at ~2900 cm -1 and -1400 cm -1 . The frequency does not appear in the film 1001 catalyzed by the uncured halide acid. In the region corresponding to the frequency of –Si–O–Si–, a higher peak intensity is observed at ~1050 cm -1 , indicating that the membrane catalyzed by the alkylamine can be compared to the membrane catalyzed by the halide It has an oxide network structure with a higher degree of condensation.

圖11提供鹵化物酸所催化的膜1101及氨基矽烷所衍生的膜1103在藉由UV使該等膜硬化後的FTIR光譜。如所顯示,該等膜具有幾乎相同的FTIR光譜,意指該等膜在UV硬化後係為相同。此顯示,使用胺基矽烷前驅物係為一有效的選擇方案,其排除基板上的層與鹵素之間的任何可能之反應。實驗 4 Figure 11 provides the FTIR spectrum of film 1101 and aminodecane-derived film 1103 catalyzed by a halide acid after hardening the films by UV. As shown, the films have nearly identical FTIR spectra, meaning that the films are identical after UV hardening. This shows that the use of an amino decane precursor is an effective alternative that excludes any possible reaction between the layer on the substrate and the halogen. Experiment 4

實施一實驗以評估使用胺基矽烷前驅物所沉積的未硬化膜。含未水解前驅物痕跡的未硬化之胺基矽烷所衍生的膜,在周圍環境的條件下,最終使配位的胺配位基水解為–OH基。圖12中的FTIR光譜顯示未硬化的膜,其具有來自前驅物中殘留的矽烷胺之Si–N及N–H鍵的頻率(個別為890 cm-1 及3407 cm-1 ),當該膜被留在周圍環境的條件下,該Si–N及N–H鍵最終水解為Si–OH(在~3500 cm-1 的寬帶)。實驗 5 An experiment was conducted to evaluate the uncured film deposited using the amino decane precursor. The membrane derived from the unhardened amino decane containing unhydrolyzed precursor traces, under ambient conditions, eventually hydrolyzes the coordinating amine ligand to the -OH group. The FTIR spectrum in Figure 12 shows an uncured film having the frequency of Si–N and N–H bonds from the decylamine remaining in the precursor (individually 890 cm -1 and 3407 cm -1 ) when the film The Si–N and N–H bonds are eventually hydrolyzed to Si–OH (wideband at ~3500 cm -1 ) while remaining in the surrounding environment. Experiment 5

下表1顯示折射率、介電常數(k)、碳濃度、及使用有機酸催化劑、含鹵化物催化劑、及胺基矽烷前驅物所沉積之可流動膜的沉積速率。表1 膜之特性 結論 Table 1 below shows the refractive index, dielectric constant (k), carbon concentration, and deposition rate of a flowable film deposited using an organic acid catalyst, a halide-containing catalyst, and an amino decane precursor. Table 1 characteristics of the film in conclusion

儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及改質。應注意,實施本實施例之製程、系統、及設備有許多替代方式。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節。Although the foregoing embodiments have been described in detail for the purposes of clarity of the invention, it is apparent that certain changes and modifications may be implemented within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and devices of the present embodiments. Therefore, the present embodiments are to be considered as illustrative and not restrictive

402a‧‧‧操作
402b‧‧‧操作
404‧‧‧操作
406‧‧‧操作
560‧‧‧工具組合
570‧‧‧可流動沉積模組/沉積模組
580‧‧‧預沉積處理模組/硬化模組
590‧‧‧負載鎖室
595‧‧‧晶圓傳送系統
600‧‧‧沉積腔室/反應器/反應器腔室
602‧‧‧腔室外殼
604‧‧‧頂板
606‧‧‧擋板
608‧‧‧噴淋頭
610‧‧‧晶圓
612‧‧‧卡盤
614‧‧‧絕緣環
616‧‧‧射頻電極
618‧‧‧電阻加熱元件
620‧‧‧基座(下降位置)
620’‧‧‧基座(舉升位置)
622‧‧‧平台
624‧‧‧基座支柱
626‧‧‧密封件
628‧‧‧平台冷卻液管線
630‧‧‧基座沖淨管線
632‧‧‧共同反應物氣室
634‧‧‧前驅物氣室
636‧‧‧共同反應物氣體管線
638‧‧‧前驅物氣體管線
640‧‧‧區域
700‧‧‧設備
702‧‧‧處理試劑來源/來源
706‧‧‧遠端電漿產生器
708‧‧‧連接管線
714‧‧‧噴淋頭
716‧‧‧射頻產生器/原位電漿產生器(圖)
718‧‧‧處理腔室/腔室
720‧‧‧基座
722‧‧‧系統控制器/控制器
724‧‧‧感測器
726‧‧‧出口
801‧‧‧未硬化之鹵化物酸所催化之膜的光譜曲線
803‧‧‧未硬化之有機酸所催化之膜的光譜曲線
901‧‧‧經UV硬化之鹵化物酸所催化之膜的光譜曲線
903‧‧‧經UV硬化之有機酸所催化之膜的光譜曲線
1001‧‧‧未硬化之鹵化物酸所催化之膜的光譜曲線
1005‧‧‧未硬化之胺基矽烷所衍生之膜的光譜曲線
1101‧‧‧經UV硬化之鹵化物酸所催化之膜的光譜曲線
1103‧‧‧經UV硬化之氨基矽烷所衍生之膜的光譜曲線
1207‧‧‧在空氣中3天後的未硬化之胺基矽烷所衍生之膜的光譜曲線
1209‧‧‧初沉積時的未硬化之胺基矽烷所衍生之膜的光譜曲線
402a‧‧‧ operation
402b‧‧‧ operation
404‧‧‧ operation
406‧‧‧ operation
560‧‧‧Tool combination
570‧‧‧Flowable deposition module/deposition module
580‧‧‧Predeposition processing module/hardening module
590‧‧‧Load lock room
595‧‧‧ wafer transfer system
600‧‧‧Sedimentation chamber/reactor/reactor chamber
602‧‧‧Case shell
604‧‧‧ top board
606‧‧ ‧ baffle
608‧‧‧Sprinkler
610‧‧‧ wafer
612‧‧‧ chuck
614‧‧‧Insulation ring
616‧‧‧RF electrode
618‧‧‧Resistive heating element
620‧‧‧Base (down position)
620'‧‧‧Base (lifting position)
622‧‧‧ platform
624‧‧‧Base pillar
626‧‧‧Seal
628‧‧‧ platform coolant line
630‧‧‧Pedestrian flushing pipeline
632‧‧‧Common reactant gas chamber
634‧‧‧Precursor air chamber
636‧‧‧Common reactant gas pipeline
638‧‧‧Precursor gas pipeline
640‧‧‧Area
700‧‧‧ Equipment
702‧‧‧Reagent source/source
706‧‧‧Remote plasma generator
708‧‧‧Connected pipeline
714‧‧‧Sprinkler
716‧‧‧RF generator / in-situ plasma generator (figure)
718‧‧‧Processing chamber/chamber
720‧‧‧Base
722‧‧‧System Controller/Controller
724‧‧‧ sensor
726‧‧‧Export
801‧‧‧Spectral spectral curve of membrane catalyzed by unhardened halide acid
803‧‧‧Spectral curve of membrane catalyzed by unhardened organic acid
901‧‧‧Spectral curve of membrane catalyzed by UV-hardened halide acid
903‧‧‧Spectral curve of membrane catalyzed by UV-hardened organic acid
1001‧‧‧Spectrum curve of membrane catalyzed by unhardened halide acid
1005‧‧‧ Spectral curve of a film derived from unhardened amino decane
1101‧‧‧Spectral curve of membrane catalyzed by UV-hardened halide acid
1103‧‧‧Spectral curve of membranes derived from UV-hardened amino decane
1207‧‧‧ Spectral curves of membranes derived from uncured amino decane after 3 days in air
Spectral curve of a film derived from uncured amino decane at the initial deposition of 1209‧‧

圖1、2A、2B、及3依據各種實施例,係為化學機制的示意圖。1, 2A, 2B, and 3 are schematic illustrations of chemical mechanisms in accordance with various embodiments.

圖4A及4B依據各種實施例,係為沉積膜之方法的流程圖。4A and 4B are flow diagrams of a method of depositing a film, in accordance with various embodiments.

圖5依據各種實施例,係為用於執行操作之設備的示意圖。Figure 5 is a schematic illustration of an apparatus for performing an operation, in accordance with various embodiments.

圖6及7依據各種實施例,係為用於執行各種方法之腔室的示意圖。6 and 7 are schematic illustrations of chambers for performing various methods, in accordance with various embodiments.

圖8-12係為所沉積的膜之傅立葉轉換紅外光譜儀(FTIR, Fourier transform infrared spectroscopy)之光譜,其係與依據各種實施例所沉積的膜相比較的情形。8-12 are spectra of a Fourier transform infrared spectroscopy (FTIR) of a deposited film, which is compared with a film deposited according to various embodiments.

1001‧‧‧未硬化之鹵化物酸所催化之膜的光譜曲線 1001‧‧‧Spectrum curve of membrane catalyzed by unhardened halide acid

1005‧‧‧未硬化之胺基矽烷所衍生之膜的光譜曲線 1005‧‧‧ Spectral curve of a film derived from unhardened amino decane

Claims (20)

一種於半導體基板上沉積膜之方法,該方法包含: 將包含含矽前驅物、氧化劑、及不含鹵素之酸催化劑化合物之製程氣體導入至反應腔室;及 在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體, 其中形成該可流動膜之化學反應包含SN 1水解機制及縮合。A method of depositing a film on a semiconductor substrate, the method comprising: introducing a process gas comprising a ruthenium-containing precursor, an oxidant, and a halogen-free acid catalyst compound into a reaction chamber; and forming a condensable flowable film on the condensed The substrate is exposed to the process gas under conditions on the substrate, wherein the chemical reaction forming the flowable film comprises a S N 1 hydrolysis mechanism and condensation. 如申請專利範圍第1項之於半導體基板上沉積膜之方法,其中該不含鹵素之催化劑化合物係選自由乙酸、及感光性有機酸催化劑所組成之群組。A method of depositing a film on a semiconductor substrate according to the first aspect of the invention, wherein the halogen-free catalyst compound is selected from the group consisting of acetic acid and a photosensitive organic acid catalyst. 如申請專利範圍第1項之於半導體基板上沉積膜之方法,其中該氧化劑係選自由水、臭氧、及過氧化物所組成之群組。A method of depositing a film on a semiconductor substrate according to the first aspect of the patent application, wherein the oxidizing agent is selected from the group consisting of water, ozone, and peroxide. 如申請專利範圍第1項之於半導體基板上沉積膜之方法,其中該含矽前驅物及該氧化劑係經由分開的入口而導入至該反應腔室。A method of depositing a film on a semiconductor substrate as in the first aspect of the patent application, wherein the ruthenium-containing precursor and the oxidant are introduced into the reaction chamber via separate inlets. 如申請專利範圍第1項之於半導體基板上沉積膜之方法,其中該不含鹵素之催化劑化合物係獨立於該含矽前驅物及該氧化劑而導入至該反應腔室。A method of depositing a film on a semiconductor substrate according to the first aspect of the patent application, wherein the halogen-free catalyst compound is introduced into the reaction chamber independently of the ruthenium-containing precursor and the oxidant. 如申請專利範圍第2項之於半導體基板上沉積膜之方法,其中該感光性有機酸催化劑係選自由磺酸、苦味酸、酒石酸、檸檬酸、乙二胺四乙酸、焦磷酸、該等酸之經取代衍生物、及其組合所組成之群組。A method of depositing a film on a semiconductor substrate according to the second aspect of the patent application, wherein the photosensitive organic acid catalyst is selected from the group consisting of sulfonic acid, picric acid, tartaric acid, citric acid, ethylenediaminetetraacetic acid, pyrophosphoric acid, and the like. a group of substituted derivatives, and combinations thereof. 如申請專利範圍第2項之於半導體基板上沉積膜之方法,其中當將該基板曝露於UV輻射時,將該基板曝露於該製程氣體。A method of depositing a film on a semiconductor substrate as in claim 2, wherein the substrate is exposed to the process gas when the substrate is exposed to UV radiation. 如申請專利範圍第1-7項中任一項之於半導體基板上沉積膜之方法,更包含處理該可流動膜。The method of depositing a film on a semiconductor substrate according to any one of claims 1 to 7, further comprising treating the flowable film. 如申請專利範圍第8項之於半導體基板上沉積膜之方法,其中處理該可流動膜係包含將該可流動膜曝露於該氧化劑及將該膜曝露於熱或電漿環境。A method of depositing a film on a semiconductor substrate as in claim 8 wherein treating the flowable film comprises exposing the flowable film to the oxidant and exposing the film to a thermal or plasma environment. 如申請專利範圍第1-7項中任一項之於半導體基板上沉積膜之方法,其中該可流動膜將具有介於約1 Å 及約1 nm之間之平均臨界尺寸的孔隙封閉。A method of depositing a film on a semiconductor substrate according to any one of claims 1 to 7, wherein the flowable film encloses a pore having an average critical dimension of between about 1 Å and about 1 nm. 一種於半導體基板上沉積膜之方法,該方法包含: 將包含含矽前驅物、氧化劑、及不含鹵素之催化劑化合物之製程氣體導入至反應腔室;及 在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體, 其中該催化劑化合物係選自由磺酸、苦味酸、酒石酸、檸檬酸、乙二胺四乙酸、焦磷酸、及其組合所組成之群組。A method of depositing a film on a semiconductor substrate, the method comprising: introducing a process gas comprising a ruthenium-containing precursor, an oxidant, and a halogen-free catalyst compound into a reaction chamber; and forming a condensable flowable film on the substrate The substrate is exposed to the process gas under conditions, wherein the catalyst compound is selected from the group consisting of sulfonic acid, picric acid, tartaric acid, citric acid, ethylenediaminetetraacetic acid, pyrophosphoric acid, and combinations thereof. 如申請專利範圍第11項之於半導體基板上沉積膜之方法,其中該可流動膜包含碳摻雜矽氧化物膜。A method of depositing a film on a semiconductor substrate, as in claim 11, wherein the flowable film comprises a carbon-doped cerium oxide film. 一種於半導體基板上沉積膜之方法,該方法包含: 將包含含矽前驅物、及氧化劑之製程氣體導入至反應腔室;及 在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體, 其中該含矽前驅物係為不含鹵素之自催化胺基矽烷化合物,及 其中形成該可流動膜之化學反應包含該胺基矽烷化合物上的胺基與該氧化劑之間的水解機制、及縮合。A method of depositing a film on a semiconductor substrate, the method comprising: introducing a process gas comprising a ruthenium-containing precursor and an oxidant into a reaction chamber; and, under the condition that the condensed flowable film is formed on the substrate, The substrate is exposed to the process gas, wherein the ruthenium-containing precursor is a halogen-free autocatalytic amino decane compound, and a chemical reaction in which the flowable film is formed comprises an amine group on the amino decane compound and the oxidant The mechanism of hydrolysis, and condensation. 如申請專利範圍第13項之於半導體基板上沉積膜之方法,其中該含矽前驅物係不含鹵素。A method of depositing a film on a semiconductor substrate as claimed in claim 13 wherein the germanium-containing precursor is halogen-free. 如申請專利範圍第13或14項之於半導體基板上沉積膜之方法,更包含藉由將該可流動膜曝露於該氧化劑來處理該可流動膜。The method of depositing a film on a semiconductor substrate as claimed in claim 13 or 14 further comprises treating the flowable film by exposing the flowable film to the oxidizing agent. 如申請專利範圍第13或14項之於半導體基板上沉積膜之方法,其中該含矽前驅物之化學結構包含至少一N-烷基胺基團。A method of depositing a film on a semiconductor substrate as claimed in claim 13 or 14, wherein the chemical structure of the ruthenium-containing precursor comprises at least one N-alkylamine group. 如申請專利範圍第16項之於半導體基板上沉積膜之方法,其中該含矽前驅物之化學結構更包含至少一配位基,該配位基係選自由N-烷基胺;N,N二烷基胺;烷氧基;烷基;烯基;炔基;芳香基;及氫所組成之群組。The method of depositing a film on a semiconductor substrate according to claim 16 , wherein the chemical structure of the germanium-containing precursor further comprises at least one ligand selected from the group consisting of N-alkylamines; N, N a group consisting of a dialkylamine; an alkoxy group; an alkyl group; an alkenyl group; an alkynyl group; an aryl group; 如申請專利範圍第13或14項之於半導體基板上沉積膜之方法,其中該可流動膜將具有介於約1 Å 及約1 nm之間之平均臨界尺寸的孔隙封閉。A method of depositing a film on a semiconductor substrate as claimed in claim 13 or 14, wherein the flowable film encloses an aperture having an average critical dimension of between about 1 Å and about 1 nm. 一種於半導體基板上沉積膜之方法,該方法包含: 將包含不含鹵素之含矽前驅物、及氧化劑之製程氣體導入至反應腔室;及 在使凝結的可流動膜形成於基板上的條件下,將該基板曝露於該製程氣體, 其中該不含鹵素之含矽前驅物係選自由二甲基胺基三甲基矽烷、二甲基胺基三乙基矽烷、雙二甲基胺基二乙基矽烷、三二甲基胺基甲基矽烷、三甲基胺基甲基矽烷、三甲基胺基矽烷、雙二甲基胺基二甲基矽烷、雙二甲基胺基乙氧基甲基矽烷、甲基胺基二乙氧基甲基矽烷、三甲基胺基乙烯基矽烷、雙甲基胺基二乙烯基矽烷、雙二甲基胺基乙氧基二乙烯基矽烷乙醯氧基矽烷、及其組合所組成之群組。A method of depositing a film on a semiconductor substrate, the method comprising: introducing a process gas containing a halogen-free germanium-containing precursor and an oxidant into a reaction chamber; and forming a condensable flowable film on the substrate And exposing the substrate to the process gas, wherein the halogen-free ruthenium-containing precursor is selected from the group consisting of dimethylaminotrimethyl decane, dimethylaminotriethyl decane, and bisdimethylamino Diethyldecane, trimethylaminomethyl decane, trimethylaminomethyl decane, trimethylamino decane, bisdimethylamino dimethyl decane, bisdimethylamino ethoxy Methyl decane, methylaminodiethoxymethyl decane, trimethylamino vinyl decane, bismethylaminodivinyl decane, bisdimethylamino ethoxy divinyl decane a group consisting of decyloxydecane, and combinations thereof. 如申請專利範圍第19項之於半導體基板上沉積膜之方法,更包含藉由將該可流動膜曝露於該氧化劑來處理該可流動膜。The method of depositing a film on a semiconductor substrate according to claim 19, further comprising treating the flowable film by exposing the flowable film to the oxidant.
TW104126948A 2014-08-20 2015-08-19 Low-k oxide deposition by hydrolysis and condensation TW201619428A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/464,196 US9245739B2 (en) 2006-11-01 2014-08-20 Low-K oxide deposition by hydrolysis and condensation

Publications (1)

Publication Number Publication Date
TW201619428A true TW201619428A (en) 2016-06-01

Family

ID=55582986

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126948A TW201619428A (en) 2014-08-20 2015-08-19 Low-k oxide deposition by hydrolysis and condensation

Country Status (2)

Country Link
KR (1) KR20160028359A (en)
TW (1) TW201619428A (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Also Published As

Publication number Publication date
KR20160028359A (en) 2016-03-11

Similar Documents

Publication Publication Date Title
US9245739B2 (en) Low-K oxide deposition by hydrolysis and condensation
KR102572641B1 (en) Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US11270896B2 (en) Apparatus for UV flowable dielectric
CN102569165B (en) Reverse filling in high aspect ratio trench
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US8685867B1 (en) Premetal dielectric integration process
US8728958B2 (en) Gap fill integration
US9916977B2 (en) Low k dielectric deposition via UV driven photopolymerization
KR20120089792A (en) Bottom up fill in high aspect ratio trenches
TW201619428A (en) Low-k oxide deposition by hydrolysis and condensation