TW201615879A - High temperature silicon oxide atomic layer deposition technology - Google Patents

High temperature silicon oxide atomic layer deposition technology Download PDF

Info

Publication number
TW201615879A
TW201615879A TW104131298A TW104131298A TW201615879A TW 201615879 A TW201615879 A TW 201615879A TW 104131298 A TW104131298 A TW 104131298A TW 104131298 A TW104131298 A TW 104131298A TW 201615879 A TW201615879 A TW 201615879A
Authority
TW
Taiwan
Prior art keywords
wafer
precursor
group
substrate
gas
Prior art date
Application number
TW104131298A
Other languages
Chinese (zh)
Inventor
閆文波
鄭共
李寧
蓋葉維多
巴賽諾米海拉
夏立群
薩利馬克
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201615879A publication Critical patent/TW201615879A/en

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

Processes for depositing SiO2 films on a wafer surface utilizing an aminosilane compound as a silicon precursor are described.

Description

高溫二氧化矽原子層沉積技術 High temperature cerium oxide atomic layer deposition technology 【相關申請案的交互參照】 [Reciprocal Reference of Related Applications]

此申請案主張於2014年10月3日申請之美國臨時申請案第62/059,615號的優先權,該臨時申請案之所有揭露內容於此以引用的方式藉此而併入。 This application claims priority to U.S. Provisional Application Serial No. 62/059,615, filed on Jan.

本揭露書的實施例大體關於藉由原子層沉積(ALD)而沉積SiO2膜。更特別地,本揭露書關於用於使用氨基矽烷化合物作為矽前驅物而形成高穩定的SiO2膜於矽晶圓上之製程。 Embodiments of the present disclosure generally relate to depositing a SiO 2 film by atomic layer deposition (ALD). More particularly, the present disclosure relates to a process for forming a highly stable SiO 2 film on a germanium wafer using an aminodecane compound as a hafnium precursor.

隨著半導體元件的尺寸的縮減,半導體產業對於製程變化的容限持續地降低。為了滿足這些更嚴格的製程需求,此產業已經發展出滿足該嚴格製程窗需求之許多新的製程,但這些製程常常需要較長的時間去完成。例如,對於具有65奈米或較小尺寸的高深寬比特徵的表面上,形成外型相符的成形層而言,可能需要使用ALD製程。ALD為CVD的變體,相較於CVD,展現了優越的階梯覆蓋性。ALD係基於原子層磊晶成長(ALE),此方法係最初應用於製造電致發光顯示器。ALD應用化學吸附,以沉積反應前驅物分子之飽和的單層於基板表面上。 As the size of semiconductor components shrinks, the semiconductor industry's tolerance for process variations continues to decrease. To meet these more stringent process requirements, the industry has developed many new processes that meet the demand for this rigorous process window, but these processes often take longer to complete. For example, for a surface having a high aspect ratio feature of 65 nanometers or less, an ALD process may be required to form a shaped layer that conforms to the shape. ALD is a variant of CVD that exhibits superior step coverage compared to CVD. ALD is based on atomic layer epitaxial growth (ALE), which was originally applied to the manufacture of electroluminescent displays. ALD applies chemical adsorption to deposit a saturated monolayer of reactive precursor molecules on the surface of the substrate.

多於一個單層的膜層可藉由循環交替地脈衝合適的反應前驅物至沉積腔室而達成。沉積表面每次曝露至反應前驅物可藉由惰性氣體清潔及/或真空而空間地及/或暫時地分隔。將表面接著曝露至ALD前驅物和反應物可增加新的原子層至先前以沉積的層,以在基板之表面上形成均勻的材料層。(多個)反應前驅物與(多個)惰性清潔氣體的循環係重複,以形成具有所欲厚度的材料層。 More than one monolayer of film can be achieved by cyclically pulsing a suitable reaction precursor to the deposition chamber. Each exposure of the deposition surface to the reaction precursor can be spatially and/or temporarily separated by inert gas cleaning and/or vacuum. Subsequent exposure of the surface to the ALD precursor and reactants can add a new atomic layer to the previously deposited layer to form a uniform layer of material on the surface of the substrate. The cycle of the reaction precursor(s) with the inert cleaning gas(s) is repeated to form a layer of material having the desired thickness.

形成高品質、穩定的SiO2介電層已涉及直接使用基板的矽之熱反應及使用各種前驅物(諸如,矽烷或二氯矽烷)及氧來源(例如N2O或O2)的CVD沉積,或四乙氧基矽烷(TEOS)的反應。這些熱及CVD沉積傾向需要較高的溫度,此較高的溫度不必然適合已受到先前處理,或已進行後段製程(back-end-of-line(BEOL)processes)之基板上的沉積。具有較小厚度的膜亦難以藉由這些方法而製造。 The formation of a high quality, stable SiO 2 dielectric layer has involved the thermal reaction of the ruthenium directly using the substrate and the CVD deposition using various precursors such as decane or dichloromethane and oxygen sources such as N 2 O or O 2 . , or the reaction of tetraethoxy decane (TEOS). These thermal and CVD deposition tendencies require higher temperatures, which are not necessarily suitable for deposition on substrates that have been previously processed or have undergone back-end-of-line (BEOL) processes. Films having a small thickness are also difficult to manufacture by these methods.

此外,很少與ALD前驅物有關的矽在用於高溫(>650C)沉積製程之溫度時係穩定的。前驅物傾向在高溫時分解,而非形成自限制單層,導致不良的膜品質。因此,在用於製程及前驅物之技術中存在有用以形成在低於那些通常用於熱或CVD膜生長之溫度時,仍具有優異特性之薄的、高品質的、穩定的SiO2介電層之需求,此將因此係有利的。 In addition, very few germanium associated with ALD precursors are stable at temperatures used in high temperature (>650C) deposition processes. Precursors tend to decompose at high temperatures rather than forming self-limiting monolayers, resulting in poor film quality. Thus, there is a thin, high quality, stable SiO 2 dielectric that is useful in processes and precursors to form better than those typically used for thermal or CVD film growth. This will be advantageous as a result of the layer.

本揭露書的一或多個實施例係關於沉積膜之方法。具有表面的晶圓係置於反應腔室內。晶圓在反應腔室內被加熱至預定的溫度。晶圓的至少一部分被曝露至矽前驅物一段預定的時間週期,以形成矽層於晶圓上。矽前驅物包括具有通式R3Si:NY3的化合物,其中每一R係單獨地選自氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷氧基及C6-C10芳基,且每一Y係單獨地氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷矽基及/或C6-C10芳基。晶圓表面的至少一部分被曝露至氧電漿及/或氧來源氣體,以與在晶圓上的矽層反應,以形成氧化矽膜。 One or more embodiments of the present disclosure are directed to methods of depositing a film. A wafer with a surface is placed in the reaction chamber. The wafer is heated to a predetermined temperature within the reaction chamber. At least a portion of the wafer is exposed to the tantalum precursor for a predetermined period of time to form a tantalum layer on the wafer. The ruthenium precursor comprises a compound having the general formula R 3 Si:NY 3 wherein each R series is independently selected from the group consisting of hydrogen, a halogen selected from the group consisting of Cl, Br and I, a linear or branched C1 a C10 alkyl group, a linear or branched C1-C10 alkoxy group and a C6-C10 aryl group, and each Y group is independently hydrogen, a halogen selected from the group consisting of Cl, Br and I, straight A chain or branched C1-C10 alkyl group, a linear or branched C1-C10 alkyl fluorenyl group and/or a C6-C10 aryl group. At least a portion of the surface of the wafer is exposed to an oxygen plasma and/or oxygen source gas to react with the ruthenium layer on the wafer to form a ruthenium oxide film.

本揭露書的額外實施例係關於沉積SiO2膜於晶圓上之方法。晶圓表面被曝露至矽前驅物,其中矽前驅物包括R3Si:NY3,其中每一R係單獨地選自氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷氧基及/或C6-C10芳基,且每一Y係單獨地選自氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷矽基及/或C6-C10芳基,且其中矽前驅物的至少一部分吸收至晶圓表面上。晶圓表面被加熱至約450℃至約650℃之範圍中的溫度,使得所吸收的矽前驅物分解於晶圓表面上,以形成單層或次單層矽膜。單層次或次單層矽膜及晶圓表面被曝露至氧之 來源。氧之來源與單層或次單層矽膜反應,以形成單層或次單層SiO2膜。 Additional embodiments of the present disclosure are directed to methods of depositing a SiO 2 film onto a wafer. The wafer surface is exposed to a hafnium precursor, wherein the hafnium precursor comprises R 3 Si:NY 3 , wherein each R is individually selected from the group consisting of hydrogen, a halogen selected from the group consisting of Cl, Br, and I, and a linear chain Or branched C1-C10 alkyl, linear or branched C1-C10 alkoxy and/or C6-C10 aryl, and each Y is independently selected from hydrogen, selected from Cl, Br, and a halogen, linear or branched C1-C10 alkyl group, a linear or branched C1-C10 alkyl fluorenyl group and/or a C6-C10 aryl group of the group consisting of I, and wherein the ruthenium precursor At least a portion is absorbed onto the surface of the wafer. The wafer surface is heated to a temperature in the range of from about 450 ° C to about 650 ° C such that the absorbed ruthenium precursor is decomposed on the surface of the wafer to form a single or sub-monolayer ruthenium film. Single or sub-monolayer films and wafer surfaces are exposed to sources of oxygen. The source of oxygen reacts with a single or sub-monolayer ruthenium film to form a single or sub-monolayer SiO 2 film.

本揭露書的進一步實施例係關於藉由ALD形成高穩定的SiO2膜於矽晶圓上之方法。至少一個矽晶圓被放置至在反應腔室內之基座中。至少一個矽晶圓被加熱至約450℃至約650℃之範圍中的溫度。矽前驅物的連續流通過噴淋頭被引入反應腔室中。矽前驅物包括R3Si:NY3,其中每一R係單獨地選自氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷氧基及/或C6-C10芳基,且每一Y係單獨地選自氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷矽基及/或C6-C10芳基。氧電漿及/或氧來源氣體被提供於反應腔室的至少一個處理區域中。基座被旋轉,使得至少一個矽晶圓通過噴淋頭之下。矽前驅物的至少一部分吸收入矽晶圓的表面上。氧電漿及/或氧來源氣體與所吸收的矽前驅物反應,以形成SiO2膜。 A further embodiment of the present disclosure is directed to a method of forming a highly stable SiO 2 film on a germanium wafer by ALD. At least one germanium wafer is placed into the susceptor within the reaction chamber. At least one of the tantalum wafers is heated to a temperature in the range of from about 450 °C to about 650 °C. A continuous stream of the ruthenium precursor is introduced into the reaction chamber through a showerhead. The ruthenium precursor comprises R 3 Si:NY 3 , wherein each R is independently selected from the group consisting of hydrogen, a halogen, a linear or branched C1-C10 alkyl group selected from the group consisting of Cl, Br and I, a linear or branched C1-C10 alkoxy group and/or a C6-C10 aryl group, and each Y group is independently selected from the group consisting of hydrogen, a halogen selected from the group consisting of Cl, Br, and I, and a linear chain. Or branched C1-C10 alkyl, linear or branched C1-C10 alkyl fluorenyl and/or C6-C10 aryl. An oxygen plasma and/or an oxygen source gas is provided in at least one processing zone of the reaction chamber. The susceptor is rotated such that at least one of the ruthenium wafer passes under the showerhead. At least a portion of the ruthenium precursor is absorbed into the surface of the ruthenium wafer. The oxygen plasma and/or oxygen source gas reacts with the absorbed ruthenium precursor to form a SiO 2 film.

3 3

17 17

30‧‧‧注射器組件/氣體分布組件 30‧‧‧Syringe assembly/gas distribution assembly

40‧‧‧氣幕 40‧‧‧Air curtain

60‧‧‧基板/晶圓 60‧‧‧Substrate/Wafer

66‧‧‧基座 66‧‧‧Base

80‧‧‧第一處理站 80‧‧‧First Processing Station

82‧‧‧負載鎖定 82‧‧‧Load lock

84‧‧‧區域 84‧‧‧ area

85‧‧‧第二處理站 85‧‧‧Second processing station

100‧‧‧處理腔室/系統 100‧‧‧Processing chamber/system

110‧‧‧基板表面 110‧‧‧ substrate surface

115‧‧‧表面 115‧‧‧ surface

118‧‧‧表面特徵 118‧‧‧Surface features

120‧‧‧單層 120‧‧‧ single layer

125‧‧‧沉積層/SiO2層/SiO2單層 125‧‧‧Sedimentary layer/SiO 2 layer/SiO 2 single layer

130‧‧‧Si前驅物 130‧‧‧Si precursor

135‧‧‧SiO2 135‧‧‧SiO 2

140‧‧‧氧氣源 140‧‧‧Oxygen source

145‧‧‧次產物 145‧‧‧ products

210 210

220 220

230 230

240 240

250 250

260 260

270 270

280 280

425‧‧‧氣體埠 425‧‧‧ gas 埠

435‧‧‧氣體埠 435‧‧‧ gas 埠

445‧‧‧氣體埠 445‧‧‧ gas 埠

455‧‧‧真空埠 455‧‧‧vacuum

為使本揭露書之上述所載之特徵可被詳細理解之方式,可藉由參照實施例(一些實施例係描繪於附隨的圖式中)而獲得本揭露書之較特定的說明(如前面所簡單地摘要者)。然而,應注意附隨的圖式僅描繪此揭露書之通常實施例,且不因此被視 為限制本揭露書之範圍,因為本揭露書可採用其他等效的實施例。 In order to enable the above-described features of the present disclosure to be understood in detail, a more specific description of the present disclosure can be obtained by referring to the embodiments (some embodiments are depicted in the accompanying drawings). The simple summary above). However, it should be noted that the accompanying drawings depict only the general embodiments of this disclosure and are not considered The scope of the disclosure is to be construed as being limited by the appended claims.

第1A-1H圖描繪用於沉積SiO2之示例ALD沉積循環;第2圖描繪共形SiO2層ALD沉積製程之示例實施例的流程圖;第3圖描繪藉由ALD沉積共形SiO2層之示例實施例;第4圖為依據本揭露書之一或多個實施例之基板處理系統的概要平面圖,該基板處理系統係配置有四個氣體分布組件單元及一裝載站;第5圖係配置有三個氣體分布組件單元的基板處理系統之概要平面圖;及第6圖描繪圓形氣體分布組件之示例實施例。 1A-1H depicts an exemplary ALD deposition cycle for depositing SiO 2 ; FIG. 2 depicts a flow diagram of an exemplary embodiment of a conformal SiO 2 layer ALD deposition process; and FIG. 3 depicts a conformal SiO 2 layer deposited by ALD Example embodiment; FIG. 4 is a schematic plan view of a substrate processing system according to one or more embodiments of the present disclosure, the substrate processing system is configured with four gas distribution component units and a loading station; An outline plan view of a substrate processing system configured with three gas distribution component units; and FIG. 6 depicts an exemplary embodiment of a circular gas distribution assembly.

在說明本揭露書的幾個示例實施例之前,應理解本揭露書不限於在以下實施方式中所提及的結構或製程步驟之細節。本揭露書可具有其他實施例且可以各種方式實施或實行。 Before the several exemplary embodiments of the present disclosure are described, it is to be understood that the disclosure is not limited to the details of the structure or process steps mentioned in the following embodiments. The disclosure may have other embodiments and may be embodied or carried out in various ways.

提到遍及此說明書之「一個實施例」、「特定實施例」、「各種實施例」、「一或多個實施例」或「一實施例」,意指與實施例結合說明之特定的特徵、結構、材料或性質可被包含於本揭露書之至少一個實施例中。更有甚者,在遍及此說明書各處中之諸如「在一或多個實施 例中」、「在特定實施例中」、「在一個實施例中」或「在一實施例中」之用詞的出現不必然與本揭露書的相同實施例有關。此外,所述的特定的特徵、結構、材料或性質可以任何合適的方式被結合於一或多個實施例中。 References to "an embodiment", "an embodiment", "a" or "an embodiment" or "an embodiment" or "an embodiment" The structure, material or properties may be included in at least one embodiment of the present disclosure. What's more, throughout the specification, such as "one or more implementations The appearances of the words "in a particular embodiment", "in an embodiment" or "in an embodiment" are not necessarily in the In addition, the particular features, structures, materials, or properties described may be combined in any suitable manner in one or more embodiments.

當於此使用時,用詞「共形的」關於以具有相對於膜之平均厚度具有低於1%之變化的厚度黏著至並均勻地覆蓋已曝露表面之層。舉例來說,1000Å厚之膜將具有低於10Å的厚度變化。此厚度及變化包含凹陷之邊緣、角落、側邊及底部。舉例來說,在本揭露書之各種實施例中藉由ALD沉積之共形層將在已沉積區域之上方提供實質均勻厚度覆蓋於複雜表面上。 As used herein, the term "conformal" relates to a layer that adheres to and uniformly covers an exposed surface with a thickness having a change of less than 1% relative to the average thickness of the film. For example, a 1000 Å thick film will have a thickness variation of less than 10 Å. This thickness and variation includes the edges, corners, sides, and bottom of the depression. For example, a conformal layer deposited by ALD in various embodiments of the present disclosure will provide a substantially uniform thickness over the deposited area over a complex surface.

當於此使用時,用詞「連續的」關於覆蓋全部的已曝露表面而無露出在已沉積層之下方的材料之間隙或露出點之層。連續的層可具有低於膜之總表面積約1%的表面積之間隙或露出點, 當在此說明書與附隨的申請專利範圍中使用時,用詞「基板」與「晶圓」係可互換地使用,都關於具有製程作用於表面或表面的部分上之一薄片材料。熟習該技術者亦將瞭解,除非於上下文中清楚地、相反地指出,提及基板時亦可以僅指基板之一部分。舉例來說,與第4圖相關而說明,在空間分離的ALD中,每一前驅物係輸送至基板,但在任何給定時間,任何個別的前驅物流被僅輸送至基板的一部分。此外,提到在基板上沉積時可意指 裸基板和於具有一或多個膜或特徵沉積於或形成於基板上之基板兩者。 As used herein, the term "continuous" is used to cover all exposed surfaces without the layer of gaps or spots of material exposed beneath the deposited layer. The continuous layer may have a gap or point of exposure that is less than about 1% of the total surface area of the film. The terms "substrate" and "wafer" are used interchangeably when used in the context of the specification and the accompanying claims, all of which are directed to a sheet material having a portion of a process that acts on a surface or surface. It will also be apparent to those skilled in the art that, unless explicitly and conversely stated in the context, reference to a substrate may refer to only a portion of the substrate. For example, as explained in relation to Figure 4, in spatially separated ALD, each precursor is delivered to the substrate, but at any given time, any individual precursor stream is delivered to only a portion of the substrate. In addition, reference to deposition on a substrate may mean A bare substrate and a substrate having one or more films or features deposited on or formed on the substrate.

在一或多個實施例中,Si前驅物可被傳送至處理腔室內的兩或更多個沉積區域或處理區域。在一或多個實施例中,氧電漿及/或氧來源氣體可被傳送至與Si前驅物沉積區域不同之兩或更多個處理區域。在各種實施例中,沉積區域可與處理區域空間地交替,如此晶圓可依序地通過沉積區域且接著是處理區域。 In one or more embodiments, the Si precursor can be delivered to two or more deposition or processing regions within the processing chamber. In one or more embodiments, the oxygen plasma and/or oxygen source gas can be delivered to two or more processing regions that are different from the Si precursor deposition region. In various embodiments, the deposition regions may alternate spatially with the processing regions such that the wafers may sequentially pass through the deposition regions and then the processing regions.

於此所使用之「基板表面」關於任何基板之曝露表面或形成於基板上之材料表面,於製造製程期間,膜處理係實施於基板表面上。舉例來說,取決於應用,可執行處理於上之基板表面包含諸如矽、氧化矽、應變矽、絕緣體上之矽(SOI)、摻碳氧化矽、氮化矽、碳化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石之材料和諸如金屬、金屬氮化物、金屬碳化物、金屬合金和其他導電材料之任何其它材料。基板包含(但不限於)可或不可被進一步處理以產生電子及/或光電元件之半導體和絕緣晶圓。基板可被曝露至預處理製程,以清潔、拋光、蝕刻、還原、氧化、羥化、退火及/或烘烤基板表面。除了在基板本身之表面上直接膜處理之外,在本揭露書的實施例中,任何所揭示的膜處理步驟亦可實施於在基板上所形成之底層上,如以下更詳細地揭示者,且當前後文指出(舉例來說)有通孔通過在SOI晶圓上之薄半導體及/或絕緣層時,用詞「基板表面」被預定成包含此(多個)底層。 As used herein, "substrate surface" with respect to the exposed surface of any substrate or the surface of the material formed on the substrate, the film processing is performed on the surface of the substrate during the manufacturing process. For example, depending on the application, the surface of the substrate that can be processed thereon includes, for example, tantalum, niobium oxide, strain tantalum, silicon germanium (SOI), carbon doped germanium oxide, tantalum nitride, tantalum carbide, doped germanium, Materials such as germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal carbides, metal alloys, and other conductive materials. The substrate includes, but is not limited to, semiconductor and insulating wafers that may or may not be further processed to produce electronic and/or optoelectronic components. The substrate can be exposed to a pretreatment process to clean, polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface. In addition to direct film processing on the surface of the substrate itself, in the embodiments of the present disclosure, any of the disclosed film processing steps can also be practiced on a substrate formed on a substrate, as disclosed in more detail below. And, hereinafter, it is pointed out, for example, that when a via is passed through a thin semiconductor and/or insulating layer on an SOI wafer, the term "substrate surface" is intended to encompass the underlayer(s).

用於與本揭露書之實施例一起使用的基板可為任何合適的基板。在一些實施例中,基板係堅固的、分離的、大體平面的基板。當在此說明書和附隨的申請專利範圍中使用時,當提到基板時,用詞「分離的」意指基板具有固定的尺寸。一或多個實施例之基板係半導體基板,諸如200mm或300mm直徑的矽基板。在一些實施例中,基板係矽、矽鍺、砷化鎵、氮化鎵、鍺、磷化鎵、磷化銦、藍寶石和碳化矽之一或多者。 The substrate for use with embodiments of the present disclosure can be any suitable substrate. In some embodiments, the substrate is a strong, separate, substantially planar substrate. When used in the context of this specification and the accompanying claims, the word "separated" when referring to a substrate means that the substrate has a fixed size. A substrate-based semiconductor substrate of one or more embodiments, such as a germanium substrate of 200 mm or 300 mm diameter. In some embodiments, the substrate is one or more of tantalum, niobium, gallium arsenide, gallium nitride, tantalum, gallium phosphide, indium phosphide, sapphire, and tantalum carbide.

本揭露書的原則和實施例關於使用與氧反應的氨基矽烷前驅物而沉積SiO2膜在基板表面上。 The principles and embodiments of the present disclosure relate to the deposition of a SiO 2 film on the surface of a substrate using an aminodecane precursor that reacts with oxygen.

在各種實施例中,SiO2沉積係實施於包括ALD注射器組件之基板處理系統內,ALD注射器組件位於基座組件及/或晶圓表面之上方並與基座組件及/或晶圓表面對準,用以連續地沉積以最大化產出並改善處理效率和均勻度。基板處理系統亦可經配置並用於預沉積和後沉積基板處理。 In various embodiments, the SiO 2 deposition is performed in a substrate processing system including an ALD injector assembly that is positioned over the surface of the pedestal assembly and/or wafer and aligned with the pedestal assembly and/or wafer surface For continuous deposition to maximize output and improve processing efficiency and uniformity. The substrate processing system can also be configured and used for pre-deposition and post-deposition substrate processing.

本揭露書的實施例亦關於用以改善在ALD前驅物中之SiO2膜之品質和均勻度的方法。 Embodiments of the present disclosure are also directed to methods for improving the quality and uniformity of SiO 2 films in ALD precursors.

本揭露書的實施例亦包括在垂直方向中與基座組件/晶圓間隔的噴淋頭。 Embodiments of the present disclosure also include a showerhead that is spaced from the susceptor assembly/wafer in a vertical orientation.

當在此說明書和附隨的申請專利範圍中使用時,用詞「反應氣體」、「前驅物」、「反應物」及類似用詞係可互換地,意指包含物種的氣體,該物種係在原子層沉積製程中反應。舉例來說,第一「反應氣體」可簡單 地吸收於基板之表面上並可因與第二反應氣體的進一步化學反應而獲得。 The terms "reactive gas", "precursor", "reactant" and the like are used interchangeably to mean a gas containing a species, which is used in the context of the specification and the accompanying claims. Reacts in the atomic layer deposition process. For example, the first "reaction gas" can be simple It is absorbed on the surface of the substrate and can be obtained by further chemical reaction with the second reaction gas.

SiO2膜的沉積可在依據本揭露書之一或多個實施例之處理腔室中實施。處理腔室大體為可密封的外殼,可密封的外殼係在真空下,或在至少低壓條件下操作。系統包含可遍布基板之頂表面分布一或多個氣體之氣體分布組件。氣體分布組件的輸出面面對基板之頂表面。 The deposition of the SiO 2 film can be carried out in a processing chamber in accordance with one or more embodiments of the present disclosure. The processing chamber is generally a sealable outer casing that is sealed under vacuum or at least under low pressure conditions. The system includes a gas distribution assembly that distributes one or more gases throughout the top surface of the substrate. The output face of the gas distribution assembly faces the top surface of the substrate.

氣體分布組件可包括複數個氣體埠及複數個真空埠,複數個氣體埠用以在基板處導引一或多個氣體流,複數個真空埠係設置於每一氣體埠之間,以將氣體傳送出處理腔室。在實施例中,氣體分布組件包括第一前驅物注射器、第二前驅物注射器及在第一前驅物注射器和第二前驅物注射器之間的清潔氣體注射器。前驅物注射器通過複數個氣體埠注入化合物A的反應前驅物之連續(或脈衝)流至處理腔室中。前驅物注射器通過複數個氣體埠注入化合物B的反應前驅物之連續(或脈衝)流至處理腔室中。清潔氣體注射器通過複數個氣體埠非反應性的或清潔氣體之連續(或脈衝)流至處理腔室中。清潔氣體從處理腔室移除反應材料和反應次產物。清潔氣體係通常為惰性氣體(諸如,氮氣、氬氣及氦氣)。氣體埠係設置於氣體埠和氣體埠之間,以分離化合物A的前驅物和化合物B的前驅物,藉此避免前驅物之間的交互汙染。 The gas distribution assembly may include a plurality of gas crucibles and a plurality of vacuum crucibles for guiding one or more gas streams at the substrate, and a plurality of vacuum crucibles disposed between each gas crucible to pass the gas Transfer out of the processing chamber. In an embodiment, the gas distribution assembly includes a first precursor injector, a second precursor injector, and a cleaning gas injector between the first precursor injector and the second precursor injector. The precursor injector is continuously (or pulsed) flowing into the processing chamber through a plurality of gas helium injections of the reaction precursor of Compound A. The precursor injector is continuously (or pulsed) of the reaction precursor of compound B injected into the processing chamber through a plurality of gas helium. The cleaning gas injector flows into the processing chamber through a continuous (or pulse) of a plurality of gases, non-reactive or cleaning gases. The cleaning gas removes the reactive material and reaction sub-products from the processing chamber. The purge gas system is typically an inert gas such as nitrogen, argon and helium. A gas lanthanum is disposed between the gas enthalpy and the gas enthalpy to separate the precursor of the compound A and the precursor of the compound B, thereby avoiding cross-contamination between the precursors.

在各種實施例中,氣體埠可為散布氣體於區域之上方的噴淋頭。 In various embodiments, the gas helium may be a showerhead that spreads gas over the area.

本揭露書之態樣關於沉積膜於基板表面上之方法,其中基板被加熱至預定溫度。加熱晶圓或基板表面至預定溫度可在反應腔室內實施,其中晶圓可藉由合適的手段(舉例來說,加熱燈,或基座的電阻式加熱)而加熱。 Aspects of the disclosure relate to a method of depositing a film on a surface of a substrate, wherein the substrate is heated to a predetermined temperature. Heating the wafer or substrate surface to a predetermined temperature can be performed within the reaction chamber, wherein the wafer can be heated by suitable means (for example, a heat lamp, or resistive heating of the susceptor).

一或多個前驅物氣體可使用電阻式加熱元件而加熱。 One or more precursor gases may be heated using a resistive heating element.

氣體分布組件可提供矽前驅物的連續流至反應腔室,其中矽前驅物流進入至少一個沉積區域中並接觸經加熱之晶圓的表面之至少一部分(或撞擊於經加熱之晶圓的表面之至少一部分上)一段預定的時間週期。 The gas distribution assembly can provide a continuous flow of the ruthenium precursor to the reaction chamber, wherein the ruthenium precursor stream enters at least one deposition zone and contacts at least a portion of the surface of the heated wafer (or impinges on the surface of the heated wafer) At least a portion of the above) for a predetermined period of time.

基板表面可在反應腔室之至少一個處理區域中被曝露至氧電漿及/或氧來源氣體。將基板表面之表面的至少一部分曝露至氧電漿及/或包括臭氧之氧來源氣體,其中矽前驅物被撞擊於基板表面,其中氧電漿及/或臭氧與在經加熱之晶圓之表面上的矽前驅物的至少一部分反應。在各種實施例中,臭氧可包括10%至20%之範圍中的氧來源氣體,或15%至18%之範圍中的氧來源氣體,或15%的氧來源氣體,或18%的氧來源氣體。 The surface of the substrate can be exposed to the oxygen plasma and/or oxygen source gas in at least one of the processing chambers. Exposing at least a portion of the surface of the substrate surface to an oxygen plasma and/or an oxygen source gas comprising ozone, wherein the tantalum precursor is impinged on the surface of the substrate, wherein the oxygen plasma and/or ozone and the surface of the heated wafer At least a portion of the upper ruthenium precursor reacts. In various embodiments, the ozone may comprise an oxygen source gas in the range of 10% to 20%, or an oxygen source gas in the range of 15% to 18%, or a 15% oxygen source gas, or an 18% oxygen source. gas.

在另一態樣,遠端電漿源(圖未示)可在注入前驅物至處理腔室中之前,被連接至前驅物注射器及前驅物注射器。反應氧物種的電漿可藉由施加電場至遠端電漿源內的化合物而產生。可使用可活化預定化合物之任何功率源。舉例來說,使用基於DC、射頻(RF)及微波(MW)的放電技術之功率源可被使用。若使用RF功率源,可為 電容耦合的或感應耦合的任一者。活化亦可藉由基於熱能的技術、氣體分解技術、高能量光源(如,UV能量)或曝露至x光來源而產生。示例的遠端電漿源係可從諸如萬機科技(MKS Instruments)公司及艾儀(Advanced Energy)工業公司的賣家所取得。 In another aspect, a remote plasma source (not shown) can be coupled to the precursor injector and the precursor injector prior to injecting the precursor into the processing chamber. The plasma of the reactive oxygen species can be produced by applying an electric field to the compound in the remote plasma source. Any power source that activates the predetermined compound can be used. For example, power sources using DC, radio frequency (RF), and microwave (MW) based discharge techniques can be used. If an RF power source is used, it can be Any of capacitive coupling or inductive coupling. Activation can also be produced by thermal energy based techniques, gas decomposition techniques, high energy light sources (eg, UV energy), or exposure to x-ray sources. Exemplary remote plasma sources are available from vendors such as MKS Instruments and Advanced Energy Industries.

第1A-1H圖描繪用以沉積SiO2之示例ALD沉積循環。 1A-1H depicts an exemplary ALD deposition cycle for depositing SiO 2 .

第1A圖描繪具有至少一個表面115之晶圓或基板110,表面115可被曝露至前驅物分子的流體。在各種實施例中,矽前驅物分子可為氣體的並藉由氣體分布組件而被導引朝向基板110之曝露的表面115。 FIG. 1A depicts a wafer or substrate 110 having at least one surface 115 to which a surface 115 can be exposed to precursor molecules. In various embodiments, the hafnium precursor molecules can be gaseous and directed toward the exposed surface 115 of the substrate 110 by a gas distribution component.

在各種實施例中,晶圓或基板可被放置於基座中,基座支撐基板,並將基板傳送於處理腔室內的處理位置之間。基座可具有用以接收基板並當基座移動時將基板恰當地保持定位之凹陷。基座可被加熱,使得基板可被加熱至用於處理的預定溫度。 In various embodiments, a wafer or substrate can be placed in a susceptor that supports the substrate and transports the substrate between processing locations within the processing chamber. The pedestal can have a recess to receive the substrate and properly position the substrate as it moves. The susceptor can be heated such that the substrate can be heated to a predetermined temperature for processing.

在一或多個實施例中,基板可為半導體材料基板,其中半導體材料可為矽、應變矽、絕緣體上之矽(SOI)、碳化矽、碳摻雜矽、氮化矽、鍺或砷化鎵。在各種實施例中,基板係矽晶圓。 In one or more embodiments, the substrate may be a substrate of a semiconductor material, wherein the semiconductor material may be germanium, strained germanium, germanium on insulator (SOI), tantalum carbide, carbon doped germanium, tantalum nitride, tantalum or arsenic. gallium. In various embodiments, the substrate is a wafer.

第1B圖描繪被導引朝向基板110之曝露的表面115之氣體的前驅物。在各種實施例中,曝露的表面115可被稱為基板之頂表面,特別地當氣體分布組件係位於基座和基板之上方,且前驅物被導引向下朝向表面時。 反之,若氣體分布組件係位於基座之下方且氣流被向上導引,曝露的表面將是底表面。 FIG. 1B depicts a precursor of gas directed toward the exposed surface 115 of the substrate 110. In various embodiments, the exposed surface 115 can be referred to as the top surface of the substrate, particularly when the gas distribution component is positioned over the base and the substrate, and the precursor is directed downward toward the surface. Conversely, if the gas distribution component is below the susceptor and the gas flow is directed upwards, the exposed surface will be the bottom surface.

在一或多個實施例中,晶圓可為藉由旋轉之基座所支撐的矽晶圓,使得晶圓表面藉由通過噴淋頭之下而被曝露至矽前驅物一段預定的時間量。在各種實施例中,晶圓表面藉由將矽前驅物通過噴淋頭,並將晶圓通過噴淋頭之下而被曝露至矽前驅物。 In one or more embodiments, the wafer may be a germanium wafer supported by a rotating pedestal such that the surface of the wafer is exposed to the ruthenium precursor for a predetermined amount of time by passing under the showerhead. . In various embodiments, the wafer surface is exposed to the ruthenium precursor by passing the ruthenium precursor through a showerhead and passing the wafer under the showerhead.

在一或多個實施例中,氣體的前驅物130可藉由氣體分布組件之個別的氣體埠而被導引朝向基板110之表面115。在各種實施例中,氣體的前驅物130可為以連續流的方式或以脈衝的方式被傳送至反應腔室之氣體的矽(Si)前驅物。Si前驅物的連續流可進入至少一個沉積區域中,其中沉積區域係反應腔室的一部分,在反應腔室的一部分中,氣體的前驅物130可藉由清潔氣體的一或多個簾幕及/或將引進至反應氣體之(多個氣體)抽空的一或多個真空埠而限制。在各種實施例中,Si前驅物接觸經加熱的晶圓之表面的至少一部分一段預定的時間週期。換句話說,基板表面可被曝露至Si前驅物。 In one or more embodiments, the gas precursor 130 can be directed toward the surface 115 of the substrate 110 by individual gas enthalpies of the gas distribution assembly. In various embodiments, the gas precursor 130 can be a cerium (Si) precursor of a gas that is delivered to the reaction chamber in a continuous stream or in a pulsed manner. The continuous stream of Si precursor can enter at least one deposition zone, wherein the deposition zone is a portion of the reaction chamber, and in a portion of the reaction chamber, the gas precursor 130 can be passed through one or more curtains of the cleaning gas and / or limited by one or more vacuum enthalpy that is introduced into the reaction gas (multiple gases). In various embodiments, the Si precursor contacts at least a portion of the surface of the heated wafer for a predetermined period of time. In other words, the substrate surface can be exposed to the Si precursor.

在一或多個實施例中,被吸收至基板表面中之Si前驅物的量可藉由調整Si前驅物的部分壓力及/或基板表面被曝露至氣體的Si前驅物的時間量而被控制。可使用較低的部分壓力及/或較短的曝露時間,以產生次單層的覆蓋,或可使用較高的部分壓力及/或較長的曝露時間,以產生飽和的(亦即,單層)覆蓋。熟習該技術者將理 解不可能達成100%的表面覆蓋,且單層覆蓋被預定成包含因為可干擾前驅物結合至表面地點之空間的阻礙、步驟或其他物理的及/或化學的表面態樣而可能留下一些開放的結合地點之飽和的表面覆蓋。 In one or more embodiments, the amount of Si precursor absorbed into the surface of the substrate can be controlled by adjusting the partial pressure of the Si precursor and/or the amount of time the substrate surface is exposed to the Si precursor of the gas. . Lower partial pressures and/or shorter exposure times may be used to create sub-monolayer coverage, or higher partial pressures and/or longer exposure times may be used to produce saturation (ie, single Layer) coverage. Those who are familiar with the technology will The solution is unlikely to achieve 100% surface coverage, and the single layer coverage is intended to contain some obstructions, steps or other physical and/or chemical surface features that may interfere with the space in which the precursor can interfere with the surface site, possibly leaving some Saturated surface coverage of open joint locations.

在各種實施例中,前驅物130共形地吸收入基板表面115上。 In various embodiments, the precursor 130 is conformally absorbed into the substrate surface 115.

在一或多個實施例中,Si前驅物可為可吸收入基板之曝露的表面上,並沉積一層矽(Si)及/或SiO2至表面115上之氨基矽烷化合物。 In one or more embodiments, the Si precursor can be an absorbable surface that can be absorbed into the substrate and deposit a layer of cerium (Si) and/or SiO 2 to the amino decane compound on surface 115.

在一或多個實施例中,氨基矽烷化合物具有通式R3Si:NY3,其中每一R係單獨地為氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷氧基及C6-C10芳基,且每一Y可單獨地為氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷矽基及/或C6-C10芳基。 In one or more embodiments, the amino decane compound has the formula R 3 Si:NY 3 , wherein each R system is independently hydrogen, a halogen selected from the group consisting of Cl, Br, and I, linear Or a branched C 1 -C 10 alkyl group, a linear or branched C 1 -C 10 alkoxy group and a C 6 -C 10 aryl group, and each Y may be independently hydrogen, selected from the group consisting of Cl, Br, and I of the group consisting of halogen, linear or branched C 1 -C 10 alkyl chain, linear or branched C 1 -C 10 alkoxy silicon based and / or C 6 -C 10 aryl base.

在一或多個實施例中,每一R可為甲基(-CH3),且Ys的一或兩個可為直鏈的或支鏈的C1-C10烷基,使得氨基矽烷化合物具有通式R3Si:L,其中每一L係具有與矽鍵結之氮的一級胺或二級胺。 In one or more embodiments, each R can be a methyl group (-CH 3 ), and one or two of Ys can be a linear or branched C 1 -C 10 alkyl group such that the amino decane compound There is a primary or secondary amine having the formula R 3 Si:L, wherein each L has a nitrogen bonded to a hydrazine.

在一或多個實施例中,氨基矽烷化合物可為選自由N,N-二甲基三甲基矽胺(N,N-dimethyltrimethylsilylamine)(亦稱作(二甲胺)三甲基矽烷 ((dimethylamine)trimethylsilane))、N,N-二乙基三甲基矽胺(N,N-diethyltrimethylsilylamine)(亦稱作(二乙胺)三甲基矽烷((diethylamine)trimethylsilane))、N-甲基-1-(三甲基矽烷)甲胺(N-methyl-1-(trimethylsilyl)methanamine)(亦稱作(甲基胺)三甲基矽烷((methylamine)trimethylsilane))及N-乙基-1-(三甲基矽烷)甲胺(N-ethyl-1-(trimethylsilyl)methanamine)(亦稱作(甲基胺)三甲基矽烷((methylamine)trimethylsilane))。在各種實施例中,氨基矽烷亦可為(舉例來說)N-N-二乙基-1,1-二甲基矽胺(N,N-diethyl-1,1-dimethylsilylamine)或烯丙基(二乙基胺)二甲基矽烷(allyl(diethylamino)dimethylsilane)。 In one or more embodiments, the amino decane compound may be selected from the group consisting of N,N-dimethyltrimethylsilylamine (also known as (dimethylamine) trimethyl decane). ((dimethylamine)trimethylsilane)), N,N-diethyltrimethylsilylamine (also known as (diethylamine) trimethylsilane), N- N-methyl-1-(trimethylsilyl)methanamine (also known as (methylamine) trimethylsilane) and N-ethyl N-ethyl-1-(trimethylsilyl)methanamine (also known as (methylamine) trimethylsilane). In various embodiments, the amino decane can also be, for example, NN-diethyl-1,1-dimethylsilylamine or allyl (II) Ethylamine) allyl (diethylamino) dimethylsilane.

在一或多個實施例中,矽前驅物可為具有通式Me3Si:L之化合物,其中Me為甲基(-CH3)及L為第一級胺或第二級胺,且晶圓的預定溫度係在約400℃至約700℃之範圍中。在各種實施例中,矽前驅物可為具有通式Me3Si:L之化合物,其中Me為甲基(-CH3)及L為第一級胺或第二級胺,且晶圓的預定溫度係在約450℃至約650℃之範圍中。 In one or more embodiments, the ruthenium precursor can be a compound having the general formula Me 3 Si:L, wherein Me is a methyl group (-CH 3 ) and L is a first or second amine, and the crystal The predetermined temperature of the circle is in the range of from about 400 °C to about 700 °C. In various embodiments, the ruthenium precursor can be a compound having the formula Me 3 Si:L, wherein Me is methyl (-CH 3 ) and L is a first or second amine, and the wafer is predetermined The temperature is in the range of from about 450 °C to about 650 °C.

在一或多個實施例中,每一R可為選自由Cl、Br及I所組成的群組之鹵素,且Ys的一或兩個可為直鏈的或支鏈的C1-C10烷基,使得氨基矽烷化合物具有通式R3Si:L,其中每一L係具有與矽鍵結之氮的一級胺或二級胺。 In one or more embodiments, each R may be a halogen selected from the group consisting of Cl, Br, and I, and one or two of Ys may be linear or branched C 1 -C 10 The alkyl group is such that the aminodecane compound has the formula R 3 Si:L, wherein each L group has a primary or secondary amine with a nitrogen bonded to the hydrazine.

在一或多個實施例中,氨基矽烷化合物可選自由N-甲基-N-三氯矽甲胺(N-methyl-N-trichlorosilylmethanamine)(亦稱為N-(三氯矽)二甲基胺(N-(trichlorosilyl)dimethylamine))所組成之群組。 In one or more embodiments, the amino decane compound may be selected from N-methyl-N-trichlorosilylmethanamine (also known as N-(trichloropurine) dimethyl A group consisting of N-(trichlorosilyl)dimethylamine).

在一或多個實施例中,矽前驅物可為具有通式X3Si:L之化合物,其中X為選自由Cl、Br、I及Cl、Br、I之結合所組成之群組的鹵素、L為第一級胺或第二級胺,且晶圓的預定溫度係在約50℃至約700℃之範圍中。在各種實施例中,矽前驅物可為具有通式X3Si:L之化合物,其中X為選自由Cl、Br、I及Cl、Br、I之結合所組成之群組的鹵素、L為第一級胺或第二級胺,且晶圓的預定溫度係在約80℃至約450℃之範圍中。 In one or more embodiments, the ruthenium precursor can be a compound having the general formula X 3 Si:L, wherein X is a halogen selected from the group consisting of Cl, Br, I, and a combination of Cl, Br, and I. L is a first stage amine or a second stage amine, and the predetermined temperature of the wafer is in the range of from about 50 °C to about 700 °C. In various embodiments, the ruthenium precursor may be a compound having the general formula X 3 Si:L, wherein X is a halogen selected from the group consisting of Cl, Br, I, and a combination of Cl, Br, and I, and L is The first primary amine or the second amine, and the predetermined temperature of the wafer is in the range of from about 80 °C to about 450 °C.

在各種實施例中,矽前驅物可被加熱至約20℃至約200℃之範圍中的溫度,以將作為蒸氣之矽前驅物的連續流提供至反應腔室。 In various embodiments, the ruthenium precursor can be heated to a temperature in the range of from about 20 °C to about 200 °C to provide a continuous stream of ruthenium precursor as a vapor to the reaction chamber.

第1C圖描繪被吸收入基板110之曝露的表面115上之前驅物的單層或次單層120。在各種實施例中, 前驅物130可藉由化學吸收或物理吸收而吸入至表面上。在ALD中,前驅物130可以自限制的方式而鍵結至表面地點,其中一旦表面變得充滿前驅物分子時,額外的前驅物分子不黏著至表面,使得表面地點的全部或至少主要部分被前驅物所佔據。 FIG. 1C depicts a single or sub-monolayer 120 of the precursor that is absorbed into the exposed surface 115 of the substrate 110. In various embodiments, The precursor 130 can be drawn into the surface by chemical absorption or physical absorption. In ALD, the precursor 130 can be bonded to the surface site in a self-limiting manner, wherein once the surface becomes full of precursor molecules, the additional precursor molecules do not adhere to the surface, such that all or at least a major portion of the surface location is Precursor occupied.

在一或多個實施例中,基板可被加熱至用於前驅物反應的預定溫度。在各種實施例中,基板可被加熱至約50℃至約1000℃,或約80℃至約900℃,或約200℃至約800℃,或約300℃至約750℃,或約400℃至約700℃,或約450℃至約650℃之範圍中的溫度。在一實施例中,基板可被加熱至約550℃的預定溫度。 In one or more embodiments, the substrate can be heated to a predetermined temperature for the precursor reaction. In various embodiments, the substrate can be heated to from about 50 ° C to about 1000 ° C, or from about 80 ° C to about 900 ° C, or from about 200 ° C to about 800 ° C, or from about 300 ° C to about 750 ° C, or about 400 ° C. To a temperature in the range of about 700 ° C, or from about 450 ° C to about 650 ° C. In an embodiment, the substrate can be heated to a predetermined temperature of about 550 °C.

不吸收於基板表面115上之過量的氣體前驅物可藉由真空及/或藉由以非反應的氣體清潔而移除。 Excess gas precursor that is not absorbed on the substrate surface 115 can be removed by vacuum and/or by cleaning with a non-reactive gas.

第1D圖描繪曝露至反應物以形成一層SiO2之前驅物的已吸收層。在一或多個實施例中,基板表面或在基板表面上所吸收的Si前驅物130被曝露至可與Si反應的反應物,以產生一層SiO2。在一些實施例中,反應物可為氧來源140。在一或多個實施例中,氧來源140可為氧電漿及/或包括臭氧的氣體。在各種實施例中,包括臭氧之氧來源氣體亦可包括分子的氧(O2)及分子的氮(N2)。在各種實施例中,氧電漿可從O2氣體,或O2與選自He、Ar、Ne、Kr及He、Ar、Ne、Kr的結合物之氣體的結合而產生。 Figure 1D depicts the absorbed layer exposed to the reactants to form a layer of SiO 2 precursor. In one or more embodiments, the surface of the substrate or the Si precursor 130 absorbed on the surface of the substrate is exposed to a reactant reactive with Si to produce a layer of SiO 2 . In some embodiments, the reactants can be an oxygen source 140. In one or more embodiments, the oxygen source 140 can be an oxygen plasma and/or a gas including ozone. In various embodiments, the oxygen source gas including ozone may also include oxygen (O 2 ) of the molecule and nitrogen (N 2 ) of the molecule. In various embodiments, the oxygen plasma can be produced from a combination of O 2 gas, or O 2 , with a gas selected from the group consisting of He, Ar, Ne, Kr, and He, Ar, Ne, Kr.

在一或多個實施例中,氧電漿可作為遠端電漿而產生,且電漿物種被傳送至處理腔室,以接觸基板表面。 In one or more embodiments, the oxygen plasma can be produced as a remote plasma and the plasma species are delivered to the processing chamber to contact the substrate surface.

在一或多個實施例中,氧來源包括氧電漿及/或臭氧。在各種實施例中,臭氧比已沉積之Si的比例可為1比1,或2比1或>2比1。1:1之比例意指矽前驅物比臭氧具有相同的曝露時間。2:1之比例意指臭氧曝露時間比矽前驅物長兩倍。在空間ALD製程中,2:1比例意指沉積的膜被更氧化,因為膜的臭氧處理係較長的。 In one or more embodiments, the source of oxygen includes oxygen plasma and/or ozone. In various embodiments, the ratio of ozone to deposited Si may be 1 to 1, or 2 to 1 or > 2 to 1. The ratio of 1:1 means that the ruthenium precursor has the same exposure time as ozone. A 2:1 ratio means that the ozone exposure time is twice as long as the strontium precursor. In a spatial ALD process, a 2:1 ratio means that the deposited film is more oxidized because the ozone treatment of the film is longer.

在各種實施例中,氧來源140接觸先前被覆蓋有Si前驅物之次單層或單層120的基板的表面115,其中基板110和所吸收的Si前驅物130可處於預定的溫度。在各種實施例中,預定的溫度可為約400℃至約700℃之範圍中,或約450℃至約650℃之範圍中,或約80℃至約450℃之範圍中。 In various embodiments, the oxygen source 140 contacts the surface 115 of the substrate that was previously covered with a sub-monolayer or monolayer 120 of Si precursor, wherein the substrate 110 and the absorbed Si precursor 130 may be at a predetermined temperature. In various embodiments, the predetermined temperature may range from about 400 °C to about 700 °C, or from about 450 °C to about 650 °C, or from about 80 °C to about 450 °C.

在各種實施例中,氧來源140包括實質無H2O,其中實質無H2O意指無H2O被蓄意地添加至氧來源,儘管少量的H2O可能因為吸收或作為包括氧來源之材料的輕微汙染而存在。 In various embodiments, the oxygen source 140 comprises substantially no H 2 O, wherein substantially no H 2 O means that no H 2 O is deliberately added to the oxygen source, although a small amount of H 2 O may be due to absorption or as a source of oxygen. The material is slightly contaminated and exists.

第1E圖描繪在基板110之表面115上與氧來源140交互作用之Si前驅物之次單層或單層120。在各種實施例中,當在電漿或氧離子及粒子的例子中時,氧來源140可直接地與所吸收的Si前驅物反應,或氧來源氣體可吸收至所吸收的Si前驅物之次單層或單層120上並反應,以產生SiO2膜層。 FIG. 1E depicts a sub-monolayer or monolayer 120 of Si precursor that interacts with oxygen source 140 on surface 115 of substrate 110. In various embodiments, when in the case of plasma or oxygen ions and particles, the oxygen source 140 can be directly reacted with the absorbed Si precursor, or the oxygen source gas can be absorbed to the absorbed Si precursor. 120 monolayers or monolayer and reacted, to produce a SiO 2 film layer.

第1F圖描繪從基板之表面釋出的反應次產物。次產物可藉由真空而從反應腔室抽空。在一或多個實施例中,氨基矽烷之有機部分及/或鹵素部分可從矽分離,以形成易揮發的反應次產物145,反應次產物145從基板的表面115釋出,留下SiO2 135的沉積層125。 Figure 1F depicts the reaction secondary product released from the surface of the substrate. The secondary product can be evacuated from the reaction chamber by vacuum. In one or more embodiments, the organic portion and/or the halogen portion of the aminodecane can be separated from the oxime to form a volatile reaction product 145, and the reaction secondary product 145 is released from the surface 115 of the substrate, leaving SiO 2 Deposited layer 125 of 135.

第1G圖描繪示例的重複曝露,將在基板110之表面115上現沉積的SiO2層125重複曝露至Si前驅物130的另一循環。將SiO2層125之已曝露表面曝露至另一劑的氣體Si前驅物130可在先前沉積的SiO2 135上形成Si前驅物130的單層或次單層膜120。 FIG. 1G depicts an example of repeated exposure, it is now deposited on the surface 115 of the substrate 110 of the SiO 2 layer 125 is exposed to a Si precursor repeat another cycle 130. The gas Si precursor 130 exposing the exposed surface of the SiO 2 layer 125 to another agent can form a single or sub-monolayer film 120 of the Si precursor 130 on the previously deposited SiO 2 135.

第1H圖描繪示例的吸收,將氣體Si前驅物130的單層膜120吸收於沉積的SiO2單層125上。以類似的方式,所吸收的Si前驅物單層120可被接著曝露至氧來源140的另一循環。此順序可被重複直到預定厚度的SiO2膜被沉積於基板110上。 The 1H plot depicts an example absorption that absorbs the monolayer film 120 of the gas Si precursor 130 onto the deposited SiO 2 monolayer 125. In a similar manner, the absorbed Si precursor monolayer 120 can be subsequently exposed to another cycle of the oxygen source 140. This order can be repeated until a predetermined thickness of SiO 2 film is deposited on the substrate 110.

在一或多個實施例中,SiO2膜共形地形成於元件特徵上。在一些實施例中,特徵包含實質無碳或氮汙染物。當使用於此方面時,實質無汙染意指在特徵中存在有低於約2原子百分比的碳或氮。樣本SiO2膜係藉由熱氧化及ALD而成長。如以下的表1中所示,包含於SiO2膜中之碳(C)和氮(N)的量(以原子百分比為單位)係低於X光光電光譜學所能偵測者。測試的結果指出ALD SiO2膜係實質純粹的,無可測量的C、N含量。藉由熱氧化Si 所生成的SiO2膜顯示如藉由ALD所沉積的SiO2類似的純度。 In one or more embodiments, the SiO 2 film is conformally formed on the feature of the component. In some embodiments, the features comprise substantially no carbon or nitrogen contaminants. When used in this respect, substantially non-contaminating means that less than about 2 atomic percent of carbon or nitrogen is present in the feature. The sample SiO 2 film was grown by thermal oxidation and ALD. As shown in Table 1 below, the amounts of carbon (C) and nitrogen (N) contained in the SiO 2 film (in atomic percent) are lower than those detectable by X-ray photoelectron spectroscopy. The results of the test indicate that the ALD SiO 2 film is purely pure and has no measurable C and N contents. The SiO 2 film formed by thermal oxidation of Si exhibits a similar purity as SiO 2 deposited by ALD.

本揭露書之態樣大體關於在基板上沉積連續的、共形的SiO2層之方法,包括將基板表面連續地曝露至第一Si前驅物,以產生鍵結至基板表面之第一Si前驅物分子的單一層。鍵結至基板表面之第一Si前驅物分子被曝露至第一氧來源,其中來自氧來源之氧與鍵結至基板表面之第一Si前驅物分子反應。可重複地將基板表面繼續曝露至第一Si前驅物分子和第一氧來源,直到在基板表面上產生具有預定厚度之連續的、共形的SiO2層。 The book-like aspect of the present disclosure generally continuous, SiO 2 layer of conformal method, comprising continuously exposed on the surface of the substrate deposited on the substrate to a first precursor Si to produce Si precursor bonded to a first surface of the substrate a single layer of molecules. The first Si precursor molecules bonded to the surface of the substrate are exposed to a first source of oxygen wherein oxygen from the source of oxygen reacts with the first Si precursor molecules bonded to the surface of the substrate. The substrate surface can be repeatedly exposed to the first Si precursor molecules and the first oxygen source until a continuous, conformal SiO 2 layer having a predetermined thickness is produced on the surface of the substrate.

第2圖描繪用於製程之示例實施例的流程圖,製程係用以藉由ALD沉積連續的及共形的SiO2Flowchart of an example process of FIG 2 depicts an embodiment, the process by ALD deposition system for continuous and conformal SiO 2.

在210處,基板可被置於適合用於ALD沉積製程的反應腔室內。腔室可包括內部容積、基座及氣體分布組件,內部容積可被密封且藉由真空泵而抽空,基座係用以保持一或多個晶圓,氣體分布組件係用以傳送氣體的Si前驅物和氧來源至反應腔室及/或晶圓表面。在各種實施例中,基板可為矽晶圓。 At 210, the substrate can be placed in a reaction chamber suitable for use in an ALD deposition process. The chamber may include an internal volume, a susceptor, and a gas distribution assembly, the internal volume may be sealed and evacuated by a vacuum pump, the pedestal is used to hold one or more wafers, and the gas distribution assembly is used to transport the Si precursor of the gas. The source and oxygen are sourced to the reaction chamber and/or wafer surface. In various embodiments, the substrate can be a germanium wafer.

在220處,基板可被加熱至預定溫度,於預定溫度矽前驅物將吸收入基板表面上並與氧來源反應,以在 基板表面上沉積SiO2單層或次單層。在各種實施例中,基板可藉由任何合適的加熱源而加熱,包含(但不限於)加熱燈及/或藉由來自保持基板之基座的傳導加熱。加熱可藉由合適定位的熱耦及/或高溫計而監控,熱耦及/或高溫計可被設置於外部、位於腔室內及/或與腔室組件可操作地相關聯。 At 220, the substrate may be heated to a predetermined temperature in a predetermined temperature silicon precursor on the substrate surface will be absorbed in and reacted with a source of oxygen, to deposit a monolayer on the substrate surface or sub-SiO 2 single layer. In various embodiments, the substrate can be heated by any suitable heating source, including but not limited to a heat lamp and/or by conductive heating from a susceptor that holds the substrate. Heating can be monitored by suitably positioned thermocouples and/or pyrometers that can be placed externally, within the chamber, and/or operatively associated with the chamber assembly.

在230處,Si前驅物可被引入反應腔室中,使得基板表面可被曝露至氣體的Si前驅物。氣體的Si前驅物可接觸基板表面且一部分吸收至表面上。 At 230, a Si precursor can be introduced into the reaction chamber such that the surface of the substrate can be exposed to the Si precursor of the gas. The Si precursor of the gas can contact the surface of the substrate and a portion is absorbed onto the surface.

在一或多個實施例中,Si前驅物在標準環境的室溫及壓力時可為液態的。在各種實施例中,液態Si前驅物可被容納於容器(舉例來說,安瓿)中,使得Si前驅物可被加熱以增加Si前驅物的揮發性和蒸氣壓力,並產生可被引入至反應腔室中的氣體的Si前驅物。載氣可被流動通過安瓿,以攜帶前驅物至處理腔室。 In one or more embodiments, the Si precursor can be liquid at room temperature and pressure in a standard environment. In various embodiments, the liquid Si precursor can be contained in a container (for example, an ampoule) such that the Si precursor can be heated to increase the volatility and vapor pressure of the Si precursor and produce a reaction that can be introduced into the reaction. The Si precursor of the gas in the chamber. The carrier gas can be passed through the ampoule to carry the precursor to the processing chamber.

在一些實施例中,Si前驅物在標準環境的室溫及壓力時係為固態的。固態前驅物可被容納於容器(舉例來說,安瓿)中,安瓿可被加熱以昇華前驅物。載氣可被流動通過安瓿,以攜帶前驅物至處理腔室。 In some embodiments, the Si precursor is solid at room temperature and pressure in a standard environment. The solid precursor can be contained in a container (for example, an ampoule) that can be heated to sublimate the precursor. The carrier gas can be passed through the ampoule to carry the precursor to the processing chamber.

在一些實施例中,氣體的Si前驅物之流動可(舉例來說)藉由ALD注射器或噴淋頭而被導引朝向基板表面,毋需將反應腔室充滿Si前驅物。在各種實施例中,氣體的Si前驅物而流入處理區域,處理區域可在相同處理腔室內藉由氣幕而與鄰近處理區域分離。 In some embodiments, the flow of the Si precursor of the gas can be directed toward the surface of the substrate, for example by an ALD injector or showerhead, without the need to fill the reaction chamber with the Si precursor. In various embodiments, the Si precursor of the gas flows into the processing region, and the processing region can be separated from the adjacent processing region by the air curtain in the same processing chamber.

在一或多個實施例中,矽前驅物包括R3Si:NY3的化合物,其中R係氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷氧基及/或C6-C10芳基,且Y係氫、選自由Cl、Br及I所組成的群組之鹵素、直鏈的或支鏈的C1-C10烷基、直鏈的或支鏈的C1-C10烷矽基及/或C6-C10芳基。 In one or more embodiments, the hafnium precursor comprises a compound of R 3 Si:NY 3 wherein R is hydrogen, a halogen selected from the group consisting of Cl, Br, and I, a linear or branched C a 1- C 10 alkyl group, a linear or branched C 1 -C 10 alkoxy group and/or a C 6 -C 10 aryl group, and a Y-based hydrogen group selected from the group consisting of Cl, Br and I Halogen, linear or branched C 1 -C 10 alkyl, linear or branched C 1 -C 10 alkylfluorenyl and/or C 6 -C 10 aryl.

在一或多個實施例中,Si前驅物可與氧電漿及/或包括臭氧之氣體反應。 In one or more embodiments, the Si precursor can be reacted with oxygen plasma and/or a gas including ozone.

在240處,Si前驅物可被吸收至基板表面上。在一些實施例中,吸收製程可為物理吸收互動。在一或多個實施例中,吸收製程可為化學吸收互動。在各種實施例中,Si前驅物可與基板表面在一或多個結合地點處及/或通過(舉例來說)偶極-偶極互動而互動。在各種實施例中,吸收可為藉由化學吸收,其中Si前驅物結合在基板表面上的地點。 At 240, the Si precursor can be absorbed onto the surface of the substrate. In some embodiments, the absorption process can be a physical absorption interaction. In one or more embodiments, the absorption process can be a chemical absorption interaction. In various embodiments, the Si precursor can interact with the substrate surface at one or more bonding sites and/or by, for example, dipole-dipole interaction. In various embodiments, the absorption can be by chemical absorption where the Si precursor is bonded to the surface of the substrate.

在一或多個實施例中,吸收係自限制的,使得Si前驅物的單層或次單層形成於基板表面上。在各種實施例中,額外曝露至氣體的Si前驅物不會在預定的反應溫度範圍內產生更厚的已吸收Si前驅物之層。 In one or more embodiments, the absorber is self-limiting such that a single or sub-monolayer of Si precursor is formed on the surface of the substrate. In various embodiments, the Si precursor additionally exposed to the gas does not produce a thicker layer of absorbed Si precursor over a predetermined reaction temperature range.

在250處,氧來源可被引入反應腔室,使得基板表面及/或已吸收Si前驅物的膜可被曝露至氧來源。在各種實施例中,氧來源可(舉例來說)藉由ALD注射器而被導引朝向基板表面,而無需將反應腔室充滿氧來源。在 各種實施例中,氧來源可在充填反應腔室及/或將未在(多個)注射器傳送管道之下方的基板之部分曝露之前,通過(多個)真空管道抽空。 At 250, an oxygen source can be introduced into the reaction chamber such that the substrate surface and/or the membrane that has absorbed the Si precursor can be exposed to the oxygen source. In various embodiments, the source of oxygen can be directed, for example, by an ALD injector toward the surface of the substrate without enriching the reaction chamber with an oxygen source. in In various embodiments, the source of oxygen may be evacuated through the vacuum conduit(s) prior to filling the reaction chamber and/or exposing portions of the substrate that are not under the syringe delivery conduit.

在一或多個實施例中,Si前驅物可在約400℃至約700℃之範圍中的溫度,與氧電漿及/或包括臭氧的氣體反應,以形成沉積的、連續的及共形的SiO2層在基板上。 In one or more embodiments, the Si precursor can be reacted with oxygen plasma and/or a gas including ozone at a temperature in the range of about 400 ° C to about 700 ° C to form a deposited, continuous, and conformal The SiO 2 layer is on the substrate.

在260處,Si前驅物可與氧來源反應,以沉積連續的及共形的SiO2在基板表面上。沉積的金屬層可為單層或次單層厚度,且無可偵測的碳或氮包含至SiO2層中。Si前驅物與氧來源之反應以沉積SiO2層於基板表面上完成了ALD曝露及反應的循環。 At 260, the Si precursor can be reacted with an oxygen source to deposit continuous and conformal SiO 2 on the surface of the substrate. The deposited metal layer can be a single layer or a sub-monolayer thickness, and no detectable carbon or nitrogen is included in the SiO 2 layer. The reaction of the Si precursor with the oxygen source to deposit a layer of SiO 2 on the surface of the substrate completes the cycle of ALD exposure and reaction.

在各種實施例中,胺化合物及/或一或多個有機化合物可在基板的反應溫度時,從基板表面及/或沉積的SiO2層釋放。所釋放的化合物可藉由真空而從反應腔室抽空。 In various embodiments, the amine compound and/or one or more organic compounds can be released from the surface of the substrate and/or the deposited SiO 2 layer at the reaction temperature of the substrate. The released compound can be evacuated from the reaction chamber by vacuum.

在各種實施例中,形成在基板表面上之SiO2層可與各種表面特徵共形,包含形成在基板表面中之一或多個溝槽的側壁及底壁,使得實質均勻的SiO2之單層或次單層在每一循環時被沉積於所有的曝露表面上。一些特徵從基板表面突出,使得特徵具有頂部及兩側邊。 In various embodiments, the SiO 2 layer formed on the surface of the substrate can be conformal to various surface features, including sidewalls and bottom walls of one or more trenches formed in the surface of the substrate such that a substantially uniform SiO 2 single The layer or sub-monolayer is deposited on all exposed surfaces at each cycle. Some features protrude from the surface of the substrate such that the features have top and sides.

在一或多個實施例中,基板表面可包括具有在約10:1至約100:1、約20:1至約100:1、約10:1至約50:1、約20:1至約50:1之範圍中的深寬比的一或多個特 徵,且矽前驅物形成共形層於一或多個特徵上。在各種實施例中,基板表面可為部分的電子元件。 In one or more embodiments, the substrate surface can include from about 10:1 to about 100:1, from about 20:1 to about 100:1, from about 10:1 to about 50:1, from about 20:1 to One or more special aspect ratios in the range of about 50:1 And the ruthenium precursor forms a conformal layer on one or more features. In various embodiments, the substrate surface can be a portion of an electronic component.

在一或多個實施例中,表面特徵可具有在約100nm至約3.5μm、100nm至約700nm、1μm至約3.5μm之範圍中的尺寸。在各種實施例中,溝槽深度可為約2μm至約3.5μm,且深寬比可為50:1。 In one or more embodiments, the surface features can have a size in the range of from about 100 nm to about 3.5 [mu]m, from 100 nm to about 700 nm, from 1 [mu]m to about 3.5 [mu]m. In various embodiments, the trench depth can be from about 2 [mu]m to about 3.5 [mu]m and the aspect ratio can be 50:1.

在一或多個實施例中,溝槽寬度可為約10nm至約30nm且溝槽深度可為100nm至約1000nm。在各種實施例中,溝槽深度可為約50nm至約100nm,且溝槽深度可為1μm至約3.5μm。在一或多個實施例中,一或多個元件特徵可為具有在約1um至約3.5um之範圍中的溝槽深度之溝槽。在一或多個實施例中,一或多個元件特徵可為具有在約100nm至約700nm之範圍中的溝槽深度之溝槽。 In one or more embodiments, the trench width can be from about 10 nm to about 30 nm and the trench depth can be from 100 nm to about 1000 nm. In various embodiments, the trench depth can be from about 50 nm to about 100 nm, and the trench depth can be from 1 μm to about 3.5 μm. In one or more embodiments, the one or more component features can be trenches having a trench depth in the range of from about 1 um to about 3.5 um. In one or more embodiments, the one or more component features can be trenches having a trench depth in the range of about 100 nm to about 700 nm.

在270處,引入Si前驅物以曝露基板表面及以反應溫度引入氧來源以形成額外SiO2層於基板表面上之循環可被重複一或多次,以形成具有預定厚度之沉積的SiO2層。在各種實施例中,曝露和沉積循環可重複足夠的次數,以形成具有在約5Å至約300Å之範圍中之厚度的SiO2層。在各種實施例中,SiO2可以約0.8至約1.5Å/sec的速率沉積。 At 270, a cycle of introducing a Si precursor to expose the surface of the substrate and introducing an oxygen source at the reaction temperature to form an additional SiO 2 layer on the surface of the substrate may be repeated one or more times to form a deposited SiO 2 layer having a predetermined thickness. . In various embodiments, the exposure and deposition cycles can be repeated a sufficient number of times to form a SiO 2 layer having a thickness in the range of from about 5 Å to about 300 Å. In various embodiments, SiO 2 can be deposited at a rate of from about 0.8 to about 1.5 Å/sec.

在280處,可實施金屬層及/或基板的後沉積處理。在一或多個實施例中,後沉積處理可包括UV固化、熱退火、後蒸氣退火及/或電漿處理的一或多者。在各種 實施例中,UV固化可使用寬頻(200nm-4000nm)光源而實施在約1分鐘(min)至約6min之範圍中的時間,其中UV固化緻密化沉積的SiO2膜層,且相對於熱成長氧化矽而言,減少在1%HF中之濕式蝕刻速率比例(WERR)從約12.0至約6.4,如表2中所示。在各種實施例中,UV固化可實施於約350℃至約450℃之溫度範圍中,或在約400℃時。 At 280, a post deposition process of the metal layer and/or substrate can be performed. In one or more embodiments, the post deposition process can include one or more of UV curing, thermal annealing, post vapor annealing, and/or plasma processing. In various embodiments, UV curing can be performed using a broadband (200 nm-4000 nm) light source for a time in the range of from about 1 minute (min) to about 6 min, wherein the UV cure densifies the deposited SiO 2 film layer, and relative to For thermally grown yttrium oxide, the wet etch rate ratio (WERR) in 1% HF is reduced from about 12.0 to about 6.4, as shown in Table 2. In various embodiments, UV curing can be carried out in a temperature range of from about 350 °C to about 450 °C, or at about 400 °C.

在各種實施例中,熱退火(快速熱處理-TRP)可以在約30秒(sec)至約150sec之範圍中,或約120sec的時間而實施於高於基板之溫度的溫度。在各種實施例中,RTP可實施於約1050℃時。在各種實施例中,RTP可實施於N2及/或O2之大氣中,其中RTP改善膜品質並減少濕式蝕刻速率比例(舉例來說)對N2 RTP而言,從9.9至5.1,或對5%的O2 RTP而言,9.8至6.5。 In various embodiments, thermal annealing (rapid heat treatment - TRP) can be carried out at a temperature above the temperature of the substrate in the range of from about 30 seconds (sec) to about 150 sec, or about 120 sec. In various embodiments, the RTP can be implemented at about 1050 °C. In various embodiments, RTP can be implemented in the atmosphere of N 2 and/or O 2 , where RTP improves film quality and reduces the ratio of wet etch rates (for example, from 9.9 to 5.1 for N 2 RTP, Or 5% to 6.5 for O 2 RTP.

在各種實施例中,後蒸氣退火可以約10%至約50%之水濃度,在約550℃之溫度,實施約30min。後蒸氣退火改善膜品質並減少濕式蝕刻速率比例(舉例來說)對約550℃之溫度,實施約30min的處理而言,從8.8至6.5。 In various embodiments, the post-vapor annealing may be carried out at a water concentration of from about 10% to about 50% at a temperature of about 550 ° C for about 30 minutes. Post-vapor annealing improves film quality and reduces the rate of wet etch rate (for example) from 8.8 to 6.5 for a temperature of about 550 ° C for a treatment of about 30 min.

第3圖描繪藉由ALD反應,在Si前驅物和在表面特徵118之上方的氧來源之間所沉積的共形SiO2層 125,表面特徵118可為溝槽、穿孔或製成的電子結構(舉例來說,FINFET)。 Figure 3 depicts a conformal SiO 2 layer 125 deposited between the Si precursor and the source of oxygen above the surface features 118 by an ALD reaction, the surface features 118 being trenches, perforations or fabricated electronic structures (For example, FINFET).

第4圖顯示包括多個氣體注射器的處理腔室之一部分,處理腔室係用以同時地處理多個晶圓,使得晶圓經歷相同的製程流程。舉例來說,如第4圖中所示,處理腔室100具有四個氣體注射器組件30及四個晶圓60。在處理的最初,晶圓60可位於注射組件30之間。以45°旋轉旋轉木馬式的基座66將導致每一晶圓60被移動至注射器組件30,以進行膜沉積。此為第4圖中所示的位置。額外的45°旋轉將移動晶圓60遠離注射器組件30。使用ALD注射器,膜係在相對於注射器組件移動晶圓的期間沉積於晶圓上。在一些實施例中,基座66經旋轉,使得晶圓60並不在注射器組件30之下停止。晶圓60與氣體分布組件30的數量可為相同或不同。 Figure 4 shows a portion of a processing chamber that includes a plurality of gas injectors for simultaneously processing a plurality of wafers such that the wafers undergo the same process flow. For example, as shown in FIG. 4, the processing chamber 100 has four gas injector assemblies 30 and four wafers 60. At the beginning of the process, the wafer 60 can be located between the injection assemblies 30. Rotating the Trojan-style pedestal 66 at 45° will cause each wafer 60 to be moved to the syringe assembly 30 for film deposition. This is the position shown in Figure 4. An additional 45° rotation will move the wafer 60 away from the syringe assembly 30. Using an ALD injector, the film is deposited on the wafer during movement of the wafer relative to the syringe assembly. In some embodiments, the pedestal 66 is rotated such that the wafer 60 does not stop below the syringe assembly 30. The number of wafers 60 and gas distribution components 30 can be the same or different.

在一或多個實施例中,系統100進一步包含連接至處理腔室之泵系統。泵系統大體經配置以通過一或多個真空埠而抽空處理腔室之氣體流。真空埠係設置於每一氣體埠之間,以在氣體流與基板表面反應後抽空處理腔室的氣體流,並進一步限制在前驅物之間的交互汙染。 In one or more embodiments, system 100 further includes a pump system coupled to the processing chamber. The pump system is generally configured to evacuate the gas flow of the processing chamber by one or more vacuum ports. A vacuum system is disposed between each gas crucible to evacuate the gas flow of the processing chamber after the gas stream reacts with the surface of the substrate and further restricts cross-contamination between the precursors.

此種類的原子層沉積系統(亦即,其中多個氣體係分別地同時朝基板流動)被稱為空間ALD。在操作中,基板60被傳送(如,藉由機械手臂)至處理腔室,且在進入處理腔室之前或之後,可被放置於基座上。基座移動通過處理腔室,通過氣體分布組件30之下(或上方)。 在第4圖中所示的實施例中,基座以圓形路徑通過旋轉木馬式處理系統。 This type of atomic layer deposition system (i.e., in which a plurality of gas systems respectively flow simultaneously toward the substrate) is referred to as space ALD. In operation, the substrate 60 is transferred (e.g., by a robotic arm) to the processing chamber and can be placed on the susceptor before or after entering the processing chamber. The susceptor moves through the processing chamber through the gas distribution assembly 30 below (or above). In the embodiment shown in Figure 4, the base passes through a rotary Trojan processing system in a circular path.

具有多個氣體注射器的處理腔室可被用以同時地處理多個晶圓,使得晶圓經歷相同的製程流程。舉例來說,如第4圖中所示,處理腔室100具有四個氣體注射器組件30及四個晶圓60。在處理的最初,晶圓60可放置於注射器組件30之間。以45°旋轉旋轉木馬式的基座66將導致每一晶圓60被移動至注射器組件30,以進行膜沉積。此為第4圖中所示的位置。額外的45°旋轉將移動晶圓60遠離注射器組件30。使用ALD注射器,膜係在相對於注射器組件移動晶圓的期間沉積於晶圓上。在一些實施例中,基座66經旋轉,使得晶圓60並不在注射器組件30之下停止。晶圓60與氣體分布組件30的數量可為相同或不同。在一些實施例中,被處理之晶圓的數量,與氣體分布組件的數量相同。在一或多個實施例中,被處理的晶圓數量為氣體分布組件數量的整數倍數。舉例來說,若有四個氣體分布組件,便有4x個被處理的晶圓,其中x為大於或等於1的整數數值。 A processing chamber having a plurality of gas injectors can be used to simultaneously process a plurality of wafers such that the wafers undergo the same process flow. For example, as shown in FIG. 4, the processing chamber 100 has four gas injector assemblies 30 and four wafers 60. At the beginning of the process, wafer 60 can be placed between syringe assemblies 30. Rotating the Trojan-style pedestal 66 at 45° will cause each wafer 60 to be moved to the syringe assembly 30 for film deposition. This is the position shown in Figure 4. An additional 45° rotation will move the wafer 60 away from the syringe assembly 30. Using an ALD injector, the film is deposited on the wafer during movement of the wafer relative to the syringe assembly. In some embodiments, the pedestal 66 is rotated such that the wafer 60 does not stop below the syringe assembly 30. The number of wafers 60 and gas distribution components 30 can be the same or different. In some embodiments, the number of wafers being processed is the same as the number of gas distribution components. In one or more embodiments, the number of wafers processed is an integer multiple of the number of gas distribution components. For example, if there are four gas distribution components, there are 4x processed wafers, where x is an integer value greater than or equal to one.

第4圖中所示之處理腔室100係僅作為一個可能配置的代表,且不應被視為限制本揭露書的範圍。於此,處理腔室100包含複數個氣體分布組件30。在所示的實施例中,具有繞處理腔室100平均地間隔的四個氣體分布組件30。所示之處理腔室100為八邊形,然而,熟 習該技術者將理解這是一個可能的形狀,且不應被視為限制本揭露書的範圍。 The processing chamber 100 shown in FIG. 4 is only representative of one possible configuration and should not be construed as limiting the scope of the disclosure. Here, the processing chamber 100 includes a plurality of gas distribution assemblies 30. In the illustrated embodiment, there are four gas distribution assemblies 30 that are evenly spaced about the processing chamber 100. The processing chamber 100 is shown as an octagon, however, cooked It will be understood by those skilled in the art that this is a possible shape and should not be construed as limiting the scope of the disclosure.

處理腔室100包含基板支撐設備,顯示為圓形基座66或基座組件。基板支撐設備,或基座66,可在每一氣體分布組件30之下移動複數個基板60。負載鎖定82可與處理腔室100之一側連接,以允許基板60被裝載至腔室100/從腔室100卸載。 The processing chamber 100 includes a substrate support device, shown as a circular base 66 or a base assembly. A substrate support device, or susceptor 66, can move a plurality of substrates 60 under each gas distribution assembly 30. The load lock 82 can be coupled to one side of the processing chamber 100 to allow the substrate 60 to be loaded into/unloaded from the chamber 100.

處理腔室100可包含設置於複數個氣體分布組件30之任意者或每一者之間的複數個(或一組)第一處理站80。在一些實施例中,第一處理站80之每一者對基板60提供相同的處理。 Processing chamber 100 can include a plurality (or a set) of first processing stations 80 disposed between any or each of a plurality of gas distribution assemblies 30. In some embodiments, each of the first processing stations 80 provides the same processing to the substrate 60.

處理站的數目和不同種類處理站的數目可取決於製程而變化。舉例來說,可具有一個、兩個、三個、四個、五個、六個、七個、或更多個處理站設置於氣體分布組件30之間。每一處理站可獨立地提供與每個其他組處理站不同的處理,或可具有相同種類及不同種類的處理之混合。在一些實施例中,一或多個個別的處理站提供與一或多個其他的個別的處理站不同的處理。 The number of processing stations and the number of different types of processing stations may vary depending on the process. For example, one, two, three, four, five, six, seven, or more processing stations may be disposed between the gas distribution assemblies 30. Each processing station can independently provide different processing than each of the other group processing stations, or can have a mix of the same kind and different kinds of processing. In some embodiments, one or more individual processing stations provide different processing than one or more other individual processing stations.

基板表面115被曝露於每一氣體的程度可藉由(舉例來說)來自氣體埠之每一氣體的流率與基板60之移動的速率而決定。在一實施例中,每一氣體的流率經控制,以不將所吸收的前驅物從基板表面61移除。每一隔板之間的寬度、設置於處理腔室100上氣體埠的數量及基板跨過氣體分布組件而通過的次數,亦可決定基板表面 61被曝露於各種氣體的程度。因此,沉積的薄膜的量與質可藉由改變以上所指之因素而最佳化。 The extent to which the substrate surface 115 is exposed to each gas can be determined, for example, by the rate of flow of each gas from the gas crucible and the rate of movement of the substrate 60. In an embodiment, the flow rate of each gas is controlled so as not to remove the absorbed precursor from the substrate surface 61. The width between each of the separators, the number of gas imperfections disposed on the processing chamber 100, and the number of passes of the substrate across the gas distribution assembly may also determine the surface of the substrate. 61 is exposed to various gases. Therefore, the amount and quality of the deposited film can be optimized by changing the factors referred to above.

雖然已配合將氣流朝設置於氣體分布組件之下方的基板向下引導之氣體分布組件而對製程進行說明,將瞭解此定向可為不同的。在一些實施例中,氣體分布組件朝基板表面向上導引氣流。當在此說明書與附隨的申請專利範圍中使用時,用詞「跨過...而通過」意指基板已從氣體分布組件之一側移動至另一側,使得基板之全部表面係曝露於來自氣體分布板的每一氣體流。在無額外說明時,用詞「跨過...而通過」並未暗示氣體分布組件、氣流或基板位置的任何特定定向。 Although the process has been described in conjunction with a gas distribution assembly that directs the gas flow downwardly toward the substrate disposed below the gas distribution assembly, it will be appreciated that this orientation can be different. In some embodiments, the gas distribution assembly directs the gas flow upward toward the surface of the substrate. When used in the context of this specification and the accompanying claims, the word "passing through" means that the substrate has been moved from one side of the gas distribution component to the other such that the entire surface of the substrate is exposed. Each gas stream from the gas distribution plate. The word "passing through" does not imply any particular orientation of the gas distribution component, gas flow or substrate position, unless otherwise stated.

在一些實施例中,用於攜帶基板之基座為幫助形成遍布於基板之均勻溫度的載體,且可旋轉,使得基板在圓形路徑中移動。基座具有用以攜帶基板之頂表面。基座可為經加熱的基座,使得基板可經加熱以進行處理。作為一例子,基座66可藉由設置於基座下方之輻射加熱燈、加熱板、電阻線圈或其他加熱裝置而加熱。 In some embodiments, the susceptor for carrying the substrate is a carrier that helps to form a uniform temperature throughout the substrate and is rotatable such that the substrate moves in a circular path. The pedestal has a top surface for carrying the substrate. The pedestal can be a heated pedestal such that the substrate can be heated for processing. As an example, the pedestal 66 can be heated by a radiant heat lamp, heating plate, resistive coil, or other heating device disposed beneath the susceptor.

在又一實施例中,基座之頂表面包含凹陷,以接收基板。基座係大體較基板之厚度為厚,使得在基板之下存在有基座材料。在一些實施例中,凹陷經調整尺寸,使得當基板被設置於凹陷內側時,基板之第一表面係與基座之頂表面保持水平,或與基座之頂表面實質上共平面。換句話說,一些實施例的凹陷經調整尺寸,使得當基板60被設置於凹陷中時,基板60之第一表面並不突出於基 座之頂表面的上方。當在此說明書與附隨的申請專利範圍中使用時,用詞「實質上共平面」意指晶圓的頂表面與基座組件的頂表面係於±0.2mm內共平面。在一些實施例中,頂表面係於±0.15mm、±0.10mm或±0.05mm內共平面。 In yet another embodiment, the top surface of the pedestal includes a recess to receive the substrate. The pedestal is generally thicker than the thickness of the substrate such that a pedestal material is present beneath the substrate. In some embodiments, the recess is sized such that when the substrate is disposed inside the recess, the first surface of the substrate remains horizontal to the top surface of the pedestal or substantially coplanar with the top surface of the pedestal. In other words, the recesses of some embodiments are sized such that when the substrate 60 is disposed in the recess, the first surface of the substrate 60 does not protrude from the base Above the top surface of the seat. As used in this specification and the accompanying claims, the term "substantially coplanar" means that the top surface of the wafer is coplanar with the top surface of the susceptor assembly within ±0.2 mm. In some embodiments, the top surface is coplanar within ±0.15 mm, ±0.10 mm, or ±0.05 mm.

旋轉木馬的旋轉可為連續的或不連續的。在旋轉的處理中,晶圓係不斷地旋轉,使得晶圓依序被曝露至注射器之每一者。在不連續的處理中,晶圓可被移動至注射器區域並停止,且接著被移動至在注射器之間的區域84並停止。舉例來說,旋轉木馬可旋轉,使得晶圓從注射器中間的區域越過注射器(或鄰近於注射器而停止)移動至他可以再次暫停的下個注射器中間的區域上。在注射器之間的暫停可提供用以在每一層沉積之間的額外處理步驟的時間(如,曝露至電漿)。 The rotation of the carousel can be continuous or discontinuous. During the rotation process, the wafer system is continuously rotated so that the wafers are sequentially exposed to each of the injectors. In a discontinuous process, the wafer can be moved to the syringe region and stopped, and then moved to the region 84 between the injectors and stopped. For example, the carousel can be rotated such that the wafer moves from the area in the middle of the syringe across the syringe (or stops adjacent to the syringe) to the area intermediate the next syringe that he can pause again. The pause between the injectors can provide time (e.g., exposure to plasma) for additional processing steps between each layer of deposition.

在一些實施例中,處理腔室包括複數個氣幕40。每一氣幕40可以形成阻障,以避免或最小化來自氣體分布組件30之處理氣體的移動從氣體分布組件區域轉移,並避免或最小化來自處理站80的氣體從處理站區域轉移。氣幕40可包含氣體與真空流的任何合適組合,該組合可將個別處理區域與鄰近區域隔離。在一些實施例中,氣幕40為清潔(或惰性)氣體流。在一或多個實施例中,氣幕40為真空流,將氣體從處理腔室移除。在一些實施例中,氣幕40為清潔氣體流與真空流的組合,使得存在有(依序)清潔氣體流、真空氣體流及清潔氣體流。 在一或多個實施例中,氣幕40係真空流和清潔氣體流之組合,使得存在有(依序)真空流、清潔氣體流及真空流。顯示於第4圖中之氣幕40係位於每一個氣體分布組件30和處理站80之間,但將理解氣幕可位於沿著處理路徑的任何點或多個點處。 In some embodiments, the processing chamber includes a plurality of air curtains 40. Each gas curtain 40 can form a barrier to avoid or minimize the transfer of process gas from the gas distribution assembly 30 from the gas distribution assembly region and to avoid or minimize the transfer of gas from the processing station 80 from the processing station region. The gas curtain 40 can comprise any suitable combination of gas and vacuum flow that isolates individual processing regions from adjacent regions. In some embodiments, the air curtain 40 is a clean (or inert) gas stream. In one or more embodiments, the air curtain 40 is a vacuum flow that removes gas from the processing chamber. In some embodiments, the air curtain 40 is a combination of a cleaning gas stream and a vacuum stream such that there is a (sequential) cleaning gas stream, a vacuum gas stream, and a cleaning gas stream. In one or more embodiments, the air curtain 40 is a combination of a vacuum flow and a flow of cleaning gas such that there is a (sequential) vacuum flow, a cleaning gas flow, and a vacuum flow. The air curtain 40, shown in Figure 4, is located between each gas distribution assembly 30 and the processing station 80, but it will be understood that the air curtain can be located at any point or points along the processing path.

在第5圖中所示的實施例中,一組第二處理站85係設置於第一處理站80和氣體分布組件30之間,使得通過處理腔室100而旋轉之基板60將在遇到第二組的氣體分布組件30、第一處理站80及第二處理站85之任一者之前,遇到(取決於基板60從何處開始)氣體分布組件30、第一處理站80及第二處理站85。舉例來說,如第5圖中所示,若基板在第一處理站80處開始,將可在遇到第二組的第一處理站85之前遇到(依序)第一處理站80、氣體分配組件30和第二處理站85。在各種實施例中,處理站85可經調適以執行上述的後沉積處理。 In the embodiment shown in FIG. 5, a set of second processing stations 85 are disposed between the first processing station 80 and the gas distribution assembly 30 such that the substrate 60 that is rotated by the processing chamber 100 will be encountered. Before any of the second set of gas distribution components 30, first processing station 80, and second processing station 85, encounter (depending on where the substrate 60 begins) gas distribution assembly 30, first processing station 80, and Two processing stations 85. For example, as shown in FIG. 5, if the substrate begins at the first processing station 80, the first processing station 80 will be encountered (sequentially) before encountering the second group of first processing stations 85, Gas distribution assembly 30 and second processing station 85. In various embodiments, processing station 85 can be adapted to perform the post deposition process described above.

處理站可對基板、基板上的膜或基座組件提供任何合適種類的處理。舉例來說,UV燈、閃光燈、電漿源和加熱器。晶圓係接著移動於具有氣體分布組件30之位置到具有(舉例來說)傳送電漿至晶圓的噴淋頭之位置之間。電漿站被稱為處理站80。在一或多個例子中,氮化矽膜可在每一沉積層之後以電漿處理而形成。只要表面係飽和的,由於ALD反應是(理論上地)自限制的,對沉積氣體的額外曝露將不會對膜造成損害。 The processing station can provide any suitable type of processing to the substrate, film or substrate assembly on the substrate. For example, UV lamps, flash lamps, plasma sources, and heaters. The wafer system then moves between the location with the gas distribution assembly 30 to a location having, for example, a showerhead that delivers plasma to the wafer. The plasma station is referred to as a processing station 80. In one or more examples, a tantalum nitride film can be formed by plasma treatment after each deposited layer. As long as the surface is saturated, since the ALD reaction is (theoretically) self-limiting, additional exposure to the deposition gas will not cause damage to the film.

第6圖描繪圓形氣體分布組件之示例實施例。 Figure 6 depicts an example embodiment of a circular gas distribution assembly.

當基板繞處理腔室移動時,面對埠之基板的頂表面係重複地曝露至來自氣體埠425之反應氣體A(Si前驅物)和來自氣體埠435之反應氣體B(氧來源),及在之間有來自氣體埠445的清潔氣體。清潔氣體的注入經設計以在將基板表面曝露至下一個前驅物之前,減少或防止前驅物的到來,並幫助移除來自前驅物之前一次曝露之未反應的材料。在每次曝露至各種氣體流(如,反應氣體或清潔氣體)之後,氣體流係藉由泵系統通過真空埠455而抽空。由於真空埠可設置於每一氣體埠之兩側上,氣體流係通過在兩側上之真空埠455而抽空。因此,來自個別氣體埠之氣體流朝基板60的第一表面垂直地向下遍布於基板表面110並最終朝真空埠455向上流動。以此方式,每一氣體可被均勻地分布遍布於基板表面110。當基板60被曝露至各種氣體流時,基板60亦可被旋轉。基板的旋轉在防止在成形層中形成條狀物可能係有用的。基板的旋轉可為連續的,或在分離的步驟中,且可發生於當基板通過氣體分布組件30之下時,或當基板係在氣體分布組件30之前及/或之後的區域中時。 When the substrate moves around the processing chamber, the top surface of the substrate facing the crucible is repeatedly exposed to the reaction gas A (Si precursor) from the gas crucible 425 and the reaction gas B (oxygen source) from the gas crucible 435, and There is a cleaning gas from the gas crucible 445 between. The injection of cleaning gas is designed to reduce or prevent the arrival of precursors prior to exposing the surface of the substrate to the next precursor and to help remove unreacted material from the previous exposure of the precursor. After each exposure to various gas streams (eg, reactive gases or cleaning gases), the gas stream is evacuated by a pump system through vacuum crucible 455. Since the vacuum crucible can be placed on both sides of each gas crucible, the gas flow is evacuated through the vacuum crucible 455 on both sides. Therefore, the gas flow from the individual gas helium flows vertically downward toward the substrate surface 110 toward the first surface of the substrate 60 and eventually flows upward toward the vacuum crucible 455. In this way, each gas can be evenly distributed throughout the substrate surface 110. The substrate 60 can also be rotated when the substrate 60 is exposed to various gas streams. Rotation of the substrate may be useful in preventing the formation of strips in the formed layer. The rotation of the substrate can be continuous, or in a separate step, and can occur when the substrate passes under the gas distribution assembly 30, or when the substrate is in a region before and/or after the gas distribution assembly 30.

基座組件係位於處理腔室內,以實質圓形的路徑繞旋轉軸而旋轉至少一個基板。當在此說明書和附隨的申請專利範圍中使用時,用詞「實質圓形的」意指若基板欲完成完全旋轉,路徑係預定為圓形的。基座組件具有由內側周邊邊緣和外側周邊邊緣所界定的頂表面。基座組件 係位於氣體分布組件之下方,使得基座組件的頂表面面對氣體分布組件的前表面。 The susceptor assembly is located within the processing chamber and rotates the at least one substrate about the axis of rotation in a substantially circular path. As used in this specification and the accompanying claims, the term "substantially circular" means that the path is intended to be circular if the substrate is to be fully rotated. The base assembly has a top surface defined by an inner peripheral edge and an outer peripheral edge. Base assembly Located below the gas distribution assembly such that the top surface of the base assembly faces the front surface of the gas distribution assembly.

在一些實施例中,一或多層可在電漿強化原子層沉積(PEALD)製程期間形成。在一些製程中,電漿的使用提供足夠的能量以促進物種進入激發態,於激發態中表面反應變得有利的且適合的。將電漿引入製程可為連續的或脈衝的。在一些實施例中,使用連續脈衝的前驅物(或反應氣體)及電漿以處理一層。在一些實施例中,反應物被局部地(亦即,位於處理區內)或遠端地(亦即,位於處理區外側)離子化。在一些實施例中,遠端離子化可發生於沉積腔室的上游,使得離子或其他能量或發光物種並不直接接觸沉積膜。在一些PEALD製程中,電漿係從處理腔室外部產生,諸如藉由遠端電漿產生器系統。電漿可經由對熟習該技術者而言已知的任何合適的電漿產生製程或技術而產生。舉例來說,電漿可藉由微波(MW)頻率產生器或射頻(RF)產生器的一或多者而產生。電漿的頻率可取決於將被使用的特定反應物種而調整。合適的頻率包含(但不限於)2MHz、13.56MHz、40MHz、60MHz及100MHz。雖然電漿可使用於於此所揭露之沉積製程期間,應注意可能不需要電漿。確實地,其他實施例關於在非常溫和的條件下不需要電漿之沉積製程。 In some embodiments, one or more layers may be formed during a plasma enhanced atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to promote species into an excited state where surface reactions become favorable and suitable. The introduction of the plasma into the process can be continuous or pulsed. In some embodiments, a continuous pulse of precursor (or reactive gas) and plasma is used to treat a layer. In some embodiments, the reactants are ionized locally (i.e., in the processing zone) or distally (i.e., outside the processing zone). In some embodiments, distal ionization can occur upstream of the deposition chamber such that ions or other energy or luminescent species do not directly contact the deposited film. In some PEALD processes, the plasma is generated from outside the processing chamber, such as by a remote plasma generator system. The plasma can be produced via any suitable plasma generation process or technique known to those skilled in the art. For example, the plasma can be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator. The frequency of the plasma can be adjusted depending on the particular reaction species that will be used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, and 100 MHz. While plasma can be used during the deposition process disclosed herein, care should be taken that plasma may not be needed. Indeed, other embodiments are directed to the need for a plasma deposition process under very mild conditions.

依據一或多個實施例,在形成層之前及/或之後,基板係受到處理。此處理可在相同的腔室中或在一或多個獨立的處理腔室中執行。在一些實施例中,基板係從 第一腔室移動至獨立的、第二腔室以進一步處理。基板可從第一腔室直接地移動至獨立的處理腔室,或基板可從第一腔室移動到一或多個傳送腔室,並接著被移動到所欲的、獨立的處理腔室。故,處理設備可包括與傳送站連通的多個腔室。此種類的設備可被稱為「叢集工具」或「叢集系統」及類似者。 In accordance with one or more embodiments, the substrate is processed prior to and/or after formation of the layer. This process can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is from The first chamber is moved to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to a separate processing chamber, or the substrate can be moved from the first chamber to one or more transfer chambers and then moved to a desired, separate processing chamber. Thus, the processing device can include a plurality of chambers in communication with the transfer station. This type of device can be referred to as a "cluster tool" or a "cluster system" and the like.

大體而言,叢集工具為模組式系統,模組式系統包括多個腔室,多個腔室執行各種功能,包含基板中心尋找及定向、除氣、退火、沉積及/或蝕刻。依據一或多個實施例,叢集工具包含至少第一腔室和中央傳送腔室。中央傳送腔室可容納機械手臂,機械手臂可來回運送基板於處理腔室和負載鎖定腔室之間。傳送腔室係通常維持於真空狀態,並提供用以將基板從一個腔室來回傳送至另一個腔室及/或來回傳送至位於叢集工具之前端處的負載鎖定腔室之中間站。可適用於本揭露書之兩個已知的叢集工具係Centura®及Endura®,兩者皆可由加州聖克拉拉市之應用材料公司取得。然而,腔室的正確配置和結合可為了執行於此所述之製程的特定步驟之目的而改變。可使用的其他處理腔室包含(但不限於)週期性層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP)、電漿氮化、除氣、定向,羥化和其他基板製程。藉由在叢集工具上之腔室中實施製程,具有大 氣雜質之基板的表面汙染可在沉積後續薄膜之前避免且無需氧化。 In general, a cluster tool is a modular system, and a modular system includes a plurality of chambers that perform various functions, including substrate center finding and orientation, degassing, annealing, deposition, and/or etching. In accordance with one or more embodiments, the cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber accommodates a robotic arm that can transport the substrate back and forth between the processing chamber and the load lock chamber. The transfer chamber is typically maintained in a vacuum and provides an intermediate station for transferring the substrate back and forth from one chamber to another and/or back and forth to a load lock chamber located at the forward end of the cluster tool. Two known clustering tools available for this disclosure are Centura® and Endura®, both available from Applied Materials, Inc. of Santa Clara, California. However, the proper configuration and combination of chambers can be varied for the purpose of performing the specific steps of the processes described herein. Other processing chambers that may be used include, but are not limited to, periodic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etching, pre-cleaning, chemistry Cleaning, heat treatment (such as RTP), plasma nitridation, degassing, orientation, hydroxylation, and other substrate processes. Large by implementing the process in the chamber on the cluster tool Surface contamination of the substrate of gaseous impurities can be avoided and does not require oxidation prior to deposition of the subsequent film.

依據一或多個實施例,當基板被從一個腔室移動到下一個腔室時,基板係連續地處於真空或「負載鎖定」狀態下,且不被曝露至環境空氣。傳送腔室係因此處於真空下且在真空壓力下被「抽空」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,惰性氣體係使用作為清潔氣體,以移除在基板之表面上形成層之後的一些或全部反應物。依據一或多個實施例,清潔氣體在沉積腔室的出口處被注入,以防止反應物從沉積腔室移動至傳送腔室及/或其他的處理腔室。因此,惰性氣體的流動在腔室的出口處形成簾幕。 In accordance with one or more embodiments, when the substrate is moved from one chamber to the next, the substrate is continuously in a vacuum or "load locked" state and is not exposed to ambient air. The transfer chamber is therefore under vacuum and "evacuated" under vacuum pressure. An inert gas may be present in the processing chamber or in the transfer chamber. In some embodiments, an inert gas system is used as a cleaning gas to remove some or all of the reactants after formation of a layer on the surface of the substrate. In accordance with one or more embodiments, a cleaning gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or other processing chambers. Therefore, the flow of the inert gas forms a curtain at the outlet of the chamber.

於處理期間,基板可被加熱或冷卻。此種加熱或冷卻可藉由任何合適的手段完成,包含(但不限於)改變基板支撐件(如,基座)的溫度及將經加熱的或經冷卻的氣體流至基板表面。在一些實施例中,基板支撐件包含加熱器/冷卻器,加熱器/冷卻器可被控制以傳導的方式改變基板溫度。在一或多個實施例中,被利用的氣體(反應氣體或惰性氣體任一者)被加熱或冷卻,以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器係位於鄰近基板表面的腔室內,以對流的方式改變基板溫度。 The substrate can be heated or cooled during processing. Such heating or cooling can be accomplished by any suitable means including, but not limited to, varying the temperature of the substrate support (e.g., the susceptor) and flowing the heated or cooled gas to the substrate surface. In some embodiments, the substrate support comprises a heater/cooler, and the heater/cooler can be controlled to change the substrate temperature in a conductive manner. In one or more embodiments, the utilized gas (either the reactive gas or the inert gas) is heated or cooled to locally change the substrate temperature. In some embodiments, the heater/cooler is located within a chamber adjacent the surface of the substrate to convect the substrate temperature.

基板亦可於處理期間為固定的或被旋轉。旋轉基板可連續地旋轉或以慎重的步驟旋轉。舉例來說,基板可在整個製程從頭到尾地旋轉,或基板可在曝露於不同的 反應或清潔氣體之間旋轉一小數量。在處理期間旋轉基板(不論是連續地或以多個步驟地)可藉由最小化(舉例來說)在氣體流動幾何學中局部變化的影響而幫助產生更均勻的沉積或蝕刻。 The substrate can also be fixed or rotated during processing. The rotating substrate can be rotated continuously or in a prudent step. For example, the substrate can be rotated from start to finish throughout the process, or the substrate can be exposed to different A small amount of rotation between the reaction or cleaning gas. Rotating the substrate during processing (either continuously or in multiple steps) can help produce more uniform deposition or etching by minimizing, for example, the effects of local variations in gas flow geometry.

雖然前面部分係關於本揭露書的實施例,本揭露書的其他和進一步的實施例可經設計而不背離本揭露書的基本範圍,且揭露書的範圍係由以下的申請專利範圍而決定。 While the foregoing is a description of the embodiments of the present disclosure, other and further embodiments of the present disclosure may be made without departing from the basic scope of the disclosure, and the scope of the disclosure is determined by the scope of the following claims.

210 210

220 220

230 230

240 240

250 250

260 260

270 270

280 280

Claims (20)

一種沉積一膜之方法,包括以下步驟:放置具有一表面的一晶圓於一反應腔室內;加熱在一反應腔室內之該晶圓至一預定溫度;曝露該晶圓的至少一部分至一矽前驅物一段預定的時間週期,以形成一矽層於該晶圓上,該矽前驅物包括具有通式R3Si:NY3的一化合物,其中每一R係單獨地選自氫、選自由Cl、Br及I所組成的該群組之一鹵素、一直鏈的或支鏈的C1-C10烷基、一直鏈的或支鏈的C1-C10烷氧基及C6-C10芳基,且每一Y係單獨地氫、選自由Cl、Br及I所組成的該群組之一鹵素、一直鏈的或支鏈的C1-C10烷基、一直鏈的或支鏈的C1-C10烷矽基及/或一C6-C10芳基;曝露該晶圓的至少一部分至一氧電漿及/或一氧來源氣體,以與在該晶圓上的該矽層反應,以形成一氧化矽膜。 A method of depositing a film, comprising the steps of: placing a wafer having a surface in a reaction chamber; heating the wafer in a reaction chamber to a predetermined temperature; exposing at least a portion of the wafer to a stack The precursor is formed for a predetermined period of time to form a layer of tantalum on the wafer, the precursor comprising a compound having the general formula R 3 Si:NY 3 , wherein each R is independently selected from hydrogen, selected from One of the group consisting of Cl, Br and I is a halogen, a straight or branched C 1 -C 10 alkyl group, a straight or branched C 1 -C 10 alkoxy group and a C 6 -C group a 10 aryl group, and each Y group is hydrogen alone, one selected from the group consisting of Cl, Br, and I, a halogen, a straight chain or a branched C 1 -C 10 alkyl group, a straight chain or a branch a C 1 -C 10 alkyl fluorenyl group and/or a C 6 -C 10 aryl group; exposing at least a portion of the wafer to an oxygen plasma and/or an oxygen source gas to be on the wafer The ruthenium layer reacts to form a ruthenium oxide film. 如請求項1所述之方法,其中該晶圓的該預定溫度係在約50℃至約1000℃之範圍中。 The method of claim 1, wherein the predetermined temperature of the wafer is in the range of from about 50 °C to about 1000 °C. 如請求項1所述之方法,其中該矽前驅物為具有通式Me3Si:L之一化合物,其中Me為一甲基及L為一第一級胺或第二級胺,且該晶圓的該預定溫度係在約400℃至約700℃之範圍中。 The method of claim 1, wherein the ruthenium precursor is a compound having the formula Me 3 Si:L, wherein Me is a methyl group and L is a first or second amine, and the crystal The predetermined temperature of the circle is in the range of from about 400 °C to about 700 °C. 如請求項3所述之方法,其中該矽前驅物為具有通式X3Si:L之一化合物,其中X為選自由Cl、Br、I及Cl、Br、I之結合所組成之該群組的一鹵素、L為一第一級胺或第二級胺,且該晶圓的該預定溫度係在約400℃至約700℃之範圍中。 The method of claim 3, wherein the ruthenium precursor is a compound having the formula X 3 Si:L, wherein X is a group selected from the group consisting of Cl, Br, I, and Cl, Br, and I. The group of one halogen, L is a first amine or a second amine, and the predetermined temperature of the wafer is in the range of from about 400 °C to about 700 °C. 如請求項4所述之方法,該方法進一步包括以下步驟:加熱矽前驅物至在約20℃至約200℃之範圍中的一溫度,以將作為一蒸氣之該矽前驅物的該連續流提供至該反應腔室。 The method of claim 4, the method further comprising the step of heating the ruthenium precursor to a temperature in the range of from about 20 ° C to about 200 ° C to treat the continuous stream of the ruthenium precursor as a vapor. Provided to the reaction chamber. 如請求項1所述之方法,其中該反應腔室包括複數個處理區域,複數個處理區域之每一者藉由一氣幕與鄰近的處理區域分隔,且該矽前驅物和該氧電漿及/或氧來源氣體被流至分隔的多個處理區域中。 The method of claim 1, wherein the reaction chamber comprises a plurality of processing regions, each of the plurality of processing regions being separated from the adjacent processing region by an air curtain, and the germanium precursor and the oxygen plasma and / or oxygen source gas is flowed into a plurality of separate processing zones. 如請求項6所述之方法,其中該等氣幕之每一者包括一清潔氣體流,其中在該清潔氣體流的每一側上具有一真空源。 The method of claim 6 wherein each of the air curtains comprises a flow of cleaning gas having a source of vacuum on each side of the flow of cleaning gas. 如請求項6所述之方法,其中該矽前驅物之該流進入兩或更多個處理區域中,且該氧電漿及/或一氧來源氣體被提供於兩或更多個不同的處理區域中,其中該矽前驅物被流至多個處理腔室中,該等處理區域與氧電漿及/或氧來源氣體在其中流動的多個處理區域係空間地交替的。 The method of claim 6, wherein the stream of the ruthenium precursor enters two or more processing regions, and the oxygen plasma and/or an oxygen source gas is provided for two or more different treatments. In the region, wherein the ruthenium precursor is flowed into a plurality of processing chambers that alternate spatially with the plurality of processing regions in which the oxygen plasma and/or the oxygen source gas flow. 如請求項1所述之方法,其中該晶圓表面包括在約10:1至約100:1之範圍中的一深寬比的一或多個元件特徵,且該矽前驅物形成一共形層於該一或多個元件特徵上。 The method of claim 1, wherein the wafer surface comprises one or more component features of an aspect ratio in a range from about 10:1 to about 100:1, and the germanium precursor forms a conformal layer On the one or more component features. 一種沉積一SiO2膜於一晶圓上之方法,包括以下步驟:曝露一晶圓表面至一矽前驅物,其中該矽前驅物包括R3Si:NY3,其中每一R係單獨地選自氫、選自由Cl、Br及I所組成的該群組之一鹵素、一直鏈的或支鏈的C1-C10烷基、一直鏈的或支鏈的C1-C10烷氧基及/或一C6-C10芳基,且每一Y係單獨地選自氫、選自由Cl、Br及I所組成的該群組之一鹵素、一直鏈的或支鏈的C1-C10烷基、一直鏈的或支鏈的C1-C10烷矽基及/或一C6-C10芳基,且其中該矽前驅物的至少一部分吸收至該晶圓表面上;加熱該晶圓表面至約450℃至約650℃之範圍中的一溫度,使得所吸收的該矽前驅物分解於該晶圓表面上,以形成一單層或次單層矽膜;及曝露該單層或次單層矽膜及晶圓表面至一氧之來源,其中該氧之來源與該單層或次單層矽膜反應,以形成一單層或次單層SiO2膜。 A method of depositing a SiO 2 film on a wafer, comprising the steps of: exposing a wafer surface to a stack of precursors, wherein the germanium precursor comprises R 3 Si:NY 3 , wherein each R system is individually selected From hydrogen, one of the group selected from the group consisting of Cl, Br and I, a halogen, a straight or branched C 1 -C 10 alkyl group, a straight chain or a branched C 1 -C 10 alkoxy group And/or a C 6 -C 10 aryl group, and each Y group is independently selected from the group consisting of hydrogen, one selected from the group consisting of Cl, Br and I, a halogen, a straight chain or a branched C 1 - a C 10 alkyl group, a straight chain or a branched C 1 -C 10 alkyl fluorenyl group and/or a C 6 -C 10 aryl group, and wherein at least a portion of the ruthenium precursor is absorbed onto the surface of the wafer; heating The surface of the wafer is at a temperature ranging from about 450 ° C to about 650 ° C such that the absorbed tantalum precursor is decomposed on the surface of the wafer to form a single or sub-monolayer film; and exposing the surface The single or sub-monolayer ruthenium film and the surface of the wafer to a source of oxygen, wherein the source of oxygen reacts with the single or sub-monolayer ruthenium film to form a single or sub-monolayer SiO 2 film. 如請求項10所述之方法,其中曝露該晶圓 表面至一矽前驅物之步驟包括:將該矽前驅物通過一噴淋頭,並將該晶圓通過該噴淋頭之下。 The method of claim 10, wherein the wafer is exposed The step of surface to a precursor includes passing the tantalum precursor through a showerhead and passing the wafer under the showerhead. 如請求項11所述之方法,其中該晶圓係一矽晶圓,且該矽晶圓係藉由旋轉之一基座所支撐,使得該晶圓表面藉由通過該噴淋頭之下而被曝露至該矽前驅物一段預定的時間量。 The method of claim 11, wherein the wafer is a wafer and the germanium wafer is supported by rotating a pedestal such that the surface of the wafer passes under the showerhead Exposed to the ruthenium precursor for a predetermined amount of time. 如請求項10所述之方法,其中該氧之來源係一氧電漿及/或包括臭氧之一氣體。 The method of claim 10, wherein the source of oxygen is an oxygen plasma and/or a gas comprising ozone. 如請求項13所述之方法,其中該氧之來源係與包括臭氧之該氣體結合之該氧電漿。 The method of claim 13, wherein the source of oxygen is the oxygen plasma combined with the gas comprising ozone. 如請求項10所述之方法,其中該晶圓表面包括在約10:1至約100:1之範圍中的一深寬比的一或多個元件特徵,且該矽前驅物形成一共形層於該一或多個元件特徵上。 The method of claim 10, wherein the wafer surface comprises one or more component features of an aspect ratio in a range from about 10:1 to about 100:1, and the germanium precursor forms a conformal layer On the one or more component features. 如請求項15所述之方法,其中該一或多個元件特徵係具有在約1μm至約3.5μm之一溝槽深度的多個溝槽。 The method of claim 15 wherein the one or more component features have a plurality of trenches having a trench depth of between about 1 μm and about 3.5 μm. 如請求項15所述之方法,其中該一或多個元件特徵係具有在約100nm至約700nm之一溝槽深度的多個溝槽。 The method of claim 15 wherein the one or more component features have a plurality of trenches at a trench depth of between about 100 nm and about 700 nm. 如請求項15所述之方法,其中共形地形成於該等元件特徵上之該單層或次單層SiO2膜包含實 質無碳或氮汙染物。 The method of claim 15 wherein the single or sub-monolayer SiO 2 film conformally formed on the features of the elements comprises substantially no carbon or nitrogen contaminants. 一種藉由ALD形成一高穩定的SiO2膜於一矽晶圓上之方法,包括以下步驟:放置至少一個矽晶圓至在一反應腔室內之一基座中;加熱該至少一個矽晶圓至約450℃至約650℃之範圍中的一溫度;通過一噴淋頭引入一矽前驅物的一連續流至該反應腔室中,其中該矽前驅物包括R3Si:NY3,其中每一R係單獨地選自氫、選自由Cl、Br及I所組成的該群組之一鹵素、一直鏈的或支鏈的C1-C10烷基、一直鏈的或支鏈的C1-C10烷氧基及/或一C6-C10芳基,且每一Y係單獨地選自氫、選自由Cl、Br及I所組成的該群組之一鹵素、一直鏈的或支鏈的C1-C10烷基、一直鏈的或支鏈的C1-C10烷矽基及/或一C6-C10芳基;提供一氧電漿及/或一氧來源氣體於該反應腔室的至少一個處理區域中;旋轉該基座,使得該至少一個矽晶圓通過該噴淋頭之下,其中該矽前驅物的至少一部分吸收入該矽晶圓的一表面上,且該氧電漿及/或一氧來源氣體,其中該氧電漿及/或一氧來源氣體與所吸收的該矽前驅物反 應,以形成一SiO2膜。 A method for forming a highly stable SiO 2 film on a wafer by ALD, comprising the steps of: placing at least one germanium wafer into a susceptor in a reaction chamber; heating the at least one germanium wafer a temperature in the range of from about 450 ° C to about 650 ° C; a continuous flow of a precursor of a ruthenium introduced into the reaction chamber through a showerhead, wherein the ruthenium precursor comprises R 3 Si:NY 3 , wherein Each R is independently selected from the group consisting of hydrogen, one selected from the group consisting of Cl, Br, and I, a halogen, a straight or branched C 1 -C 10 alkyl group, a straight chain or a branched C. a 1 -C 10 alkoxy group and/or a C 6 -C 10 aryl group, and each Y group is independently selected from the group consisting of hydrogen, one selected from the group consisting of Cl, Br and I, a straight chain Or a branched C 1 -C 10 alkyl group, a straight or branched C 1 -C 10 alkyl fluorenyl group and/or a C 6 -C 10 aryl group; providing an oxygen plasma and/or an oxygen source Gas in at least one processing region of the reaction chamber; rotating the susceptor such that the at least one ruthenium wafer passes under the showerhead, wherein at least a portion of the ruthenium precursor is absorbed into the twin On a surface of the circle, and the oxygen plasma and/or an oxygen source gas, wherein the oxygen plasma and/or an oxygen source gas react with the absorbed ruthenium precursor to form a SiO 2 film. 如請求項19所述之方法,該方法進一步包括以一後沉積處理連續地處理該SiO2膜,該後沉積處理可包括一UV固化、一熱退火、一後蒸氣退火及/或一電漿處理的一或多者。 The method of claim 19, the method further comprising continuously treating the SiO 2 film by a post deposition process, the post deposition process comprising a UV curing, a thermal annealing, a post-vapor annealing, and/or a plasma One or more of the treatments.
TW104131298A 2014-10-03 2015-09-22 High temperature silicon oxide atomic layer deposition technology TW201615879A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201462059615P 2014-10-03 2014-10-03

Publications (1)

Publication Number Publication Date
TW201615879A true TW201615879A (en) 2016-05-01

Family

ID=56508455

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104131298A TW201615879A (en) 2014-10-03 2015-09-22 High temperature silicon oxide atomic layer deposition technology

Country Status (1)

Country Link
TW (1) TW201615879A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791508B (en) * 2017-04-13 2023-02-11 美商應用材料股份有限公司 Method and apparatus for deposition of low-k films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791508B (en) * 2017-04-13 2023-02-11 美商應用材料股份有限公司 Method and apparatus for deposition of low-k films

Similar Documents

Publication Publication Date Title
US10170298B2 (en) High temperature silicon oxide atomic layer deposition technology
CN108140578B (en) Bottom-up gapfill by surface poisoning
US10319583B2 (en) Selective deposition of silicon nitride films for spacer applications
US11164753B2 (en) Self-aligned double patterning with spatial atomic layer deposition
TWI599673B (en) Methods for depositing fluorine/carbon-free conformal tungsten
US20170114465A1 (en) Methods Of Depositing Flowable Films Comprising SiO and SiN
TW201732925A (en) Methods and apparatus for selective dry etch
TWI790320B (en) Selective atomic layer deposition of ruthenium
JP6968701B2 (en) A method for depositing a dielectric thin film with a low dielectric constant and a low wet etching rate.
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
TWI643971B (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9177783B2 (en) Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US20200243323A1 (en) Methods for depositing silicon nitride
TW201615879A (en) High temperature silicon oxide atomic layer deposition technology
CN108369896A (en) It is annealed using the film in situ of space atomic layer deposition
US10323054B2 (en) Precursors for deposition of metal, metal nitride and metal oxide based films of transition metals
TW201443274A (en) Deposition of films using disiloxane precursors
TWI833804B (en) Gap-fill with aluminum-containing films
TW202335080A (en) Methods of forming metal nitride films