TW201542808A - Post chemical mechanical polishing formulations and method of use - Google Patents

Post chemical mechanical polishing formulations and method of use Download PDF

Info

Publication number
TW201542808A
TW201542808A TW104102984A TW104102984A TW201542808A TW 201542808 A TW201542808 A TW 201542808A TW 104102984 A TW104102984 A TW 104102984A TW 104102984 A TW104102984 A TW 104102984A TW 201542808 A TW201542808 A TW 201542808A
Authority
TW
Taiwan
Prior art keywords
acid
hydrazine
cleaning composition
hydroxide
amine
Prior art date
Application number
TW104102984A
Other languages
Chinese (zh)
Other versions
TWI671395B (en
Inventor
Jun Liu
Jeffrey A Barnes
Peng Zhang
Laisheng Sun
Steven Medd
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of TW201542808A publication Critical patent/TW201542808A/en
Application granted granted Critical
Publication of TWI671395B publication Critical patent/TWI671395B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • C11D2111/22

Abstract

A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amines and ammonium-containing salts. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-lk dielectric material or the copper interconnect material.

Description

化學機械研磨後配方及其使用方法 Chemical mechanical polishing formula and its use method

本發明大致係關於用於自其上具有殘留物及/或污染物之微電子裝置清除該等物質之組成物。 The present invention generally relates to compositions for removing such materials from microelectronic devices having residues and/or contaminants thereon.

微電子裝置晶圓被用來形成積體電路。微電子裝置晶圓包括其中經圖案化出用來沈積具有絕緣、導電或半導電性質之不同材料之區域的基板(諸如矽)。 Microelectronic device wafers are used to form integrated circuits. Microelectronic device wafers include substrates (such as germanium) in which regions of different materials that are patterned to deposit insulating, conductive, or semi-conductive properties are patterned.

為獲得準確的圖案化,必需將用於在基板上形成層的過剩材料移除。此外,為製造功能性及可靠的電路,應在後續加工之前製備平坦或平面的微電子晶圓表面。因此,需移除及/或研磨微電子裝置晶圓的特定表面。 In order to obtain accurate patterning, it is necessary to remove excess material for forming a layer on the substrate. In addition, to create functional and reliable circuits, flat or planar microelectronic wafer surfaces should be prepared prior to subsequent processing. Therefore, specific surfaces of the microelectronic device wafer need to be removed and/or ground.

化學機械研磨或平面化(「CMP」)係一種自微電子裝置晶圓之表面移除材料,並藉由偶合諸如磨蝕之物理過程與諸如氧化或鉗合之化學過程來研磨(更明確言之,平面化)表面的過程。CMP之最基本形式包括將漿液(例如,磨蝕及活性化學物質之溶液)施加至磨光微電子裝置晶圓之表面的研磨墊,以達成移除、平面化、及研磨過程。並不期望移除或研磨過程純粹包括物理或純粹包括化學作用,而係期望兩者的增效組合,以達成快速且均勻的移除。在積體電路之製造中,CMP漿液亦應能優先移除包含金屬及其他材料之 複合層的膜,以致可產生高度平面的表面供後續微影、或圖案化、蝕刻及薄膜加工用。 Chemical mechanical polishing or planarization ("CMP") is a method of removing material from the surface of a microelectronic device wafer and grinding it by coupling a physical process such as abrasion with a chemical process such as oxidation or clamping (more specifically , planarization) the process of the surface. The most basic form of CMP involves applying a slurry (eg, a solution of abrasive and reactive chemicals) to a polishing pad that polishes the surface of the microelectronic device wafer to achieve a removal, planarization, and grinding process. It is not desired that the removal or grinding process be purely physical or purely chemical, and that a synergistic combination of the two is desired to achieve rapid and uniform removal. In the manufacture of integrated circuits, CMP slurry should also be preferentially removed from metals and other materials. The film of the composite layer is such that a highly planar surface can be created for subsequent lithography, or for patterning, etching, and film processing.

近來,銅逐漸被用於積體電路中之金屬互連體。在通常用於微電子裝置製造中之電路金屬化的銅鑲嵌製程中,必需經移除及平面化的層包括具有約1-1.5微米厚度之銅層及具有約0.05-0.15微米厚度之銅晶種層。此等銅層藉由一層阻障材料(通常約50-300埃(Å)厚,其阻止銅擴散至氧化物介電材料中)與介電材料表面隔離。於研磨後獲得跨越晶圓表面之良好均勻度的一項關鍵係使用針對各材料具有正確移除選擇性的CMP漿液。 Recently, copper has been gradually used for metal interconnects in integrated circuits. In a copper damascene process typically used for circuit metallization in the fabrication of microelectronic devices, the layers that must be removed and planarized include a copper layer having a thickness of about 1-1.5 microns and a copper crystal having a thickness of about 0.05-0.15 microns. Layer. The copper layers are isolated from the surface of the dielectric material by a layer of barrier material (typically about 50-300 angstroms (Å) thick that prevents copper from diffusing into the oxide dielectric material). One key to achieving good uniformity across the wafer surface after grinding is to use a CMP slurry with the correct removal selectivity for each material.

前述加工操作,包括晶圓基板表面製備、沈積、電鍍、蝕刻及化學機械研磨,需要不同清潔操作來確保微電子裝置產品不含污染物,否則其將會不利地影響產品功能,或甚至使其無法用於其預期功能。通常,此等污染物的顆粒係小於0.3微米。 The aforementioned processing operations, including wafer substrate surface preparation, deposition, plating, etching, and chemical mechanical polishing, require different cleaning operations to ensure that the microelectronic device product is free of contaminants that would otherwise adversely affect product functionality, or even Unable to use for its intended function. Typically, the particles of such contaminants are less than 0.3 microns.

在此方面的一個特定問題係於CMP加工後殘留於微電子裝置基板上的殘留物。該等殘留物包括CMP材料及腐蝕抑制劑化合物諸如苯并三唑(BTA)。若未經移除,則此等殘留物會導致損壞銅線或使銅金屬化嚴重變粗糙,以及導致CMP後塗覆層於裝置基板上之不良黏著。銅金屬化之嚴重粗糙化尤其成為問題,因過度粗糙的銅會導致產品微電子裝置之不良電效能。 A particular problem in this regard is the residue remaining on the substrate of the microelectronic device after CMP processing. The residues include CMP materials and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, such residues can cause damage to the copper wire or severely roughen the copper metallization, as well as poor adhesion of the coating layer on the device substrate after CMP. The severe roughening of copper metallization is particularly problematic because excessively rough copper can cause poor electrical performance of the product's microelectronic devices.

微電子裝置製造常見之另一個會產生殘留物的過程涉及將經顯影光阻劑塗層之圖案轉移至可由硬光罩、層間介電質(ILD)、及蝕刻終止層組成之下方層的氣相電漿蝕刻。可包括存於基板上及存於電漿氣體中之化學元素的氣相電漿蝕刻後殘留物通常會沈積於後段製程(BEOL)結構上,及若未經移除的話,其會干擾 後續的矽化或接點形成。習知之清潔化學物質通常會損壞ILD,吸收至ILD之孔隙中,因而提高介電常數、及/或腐蝕金屬結構。 Another common process for producing residues in microelectronic devices involves transferring the developed photoresist coating pattern to a gas layer that can be composed of a hard mask, an interlayer dielectric (ILD), and an etch stop layer. Phase plasma etching. Gas-phase plasma etch residues, which may include chemical elements present on the substrate and stored in the plasma gas, are typically deposited on the back-end process (BEOL) structure and interfere if not removed. Subsequent deuteration or joint formation. Conventional cleaning chemistries typically damage the ILD and absorb into the pores of the ILD, thereby increasing the dielectric constant and/or corroding the metal structure.

技藝中持續需要提供能有效地自基板移除殘留物(例如,CMP後殘留物、蝕刻後殘留物、及灰化後殘留物)的組成物及方法。該等組成物較先前技藝組成物更環保且可包括創新的組分,因此,可被視為係先前技藝之組成物的替代選擇。 There is a continuing need in the art to provide compositions and methods that effectively remove residues from the substrate (eg, residues after CMP, residues after etching, and residues after ashing). These compositions are more environmentally friendly than prior art compositions and may include innovative components and, therefore, may be considered as an alternative to the compositions of the prior art.

本發明大致係關於一種用於自其上具有殘留物及/或污染物之微電子裝置清除該等殘留物及污染物之組成物及方法。本發明之清潔組成物實質上不含胺類及氫氧化四烷基銨。該殘留物可包括CMP後、蝕刻後、及/或灰化後殘留物。 The present invention generally relates to a composition and method for removing such residues and contaminants from a microelectronic device having residues and/or contaminants thereon. The cleaning composition of the present invention is substantially free of amines and tetraalkylammonium hydroxide. The residue may include residues after CMP, after etching, and/or after ashing.

在一態樣中,描述一種包含至少一種非胺pH調節劑/緩衝劑及至少一種溶劑合劑之清潔組成物。 In one aspect, a cleaning composition comprising at least one non-amine pH adjusting agent/buffering agent and at least one solvent mixture is described.

在另一態樣中,描述一種自其上具有殘留物及污染物之微電子裝置移除該等殘留物及污染物之方法,該方法包括使微電子裝置與包含至少一種非胺pH調節劑/緩衝劑及至少一種溶劑合劑之清潔組成物接觸足夠的時間,以自微電子裝置至少部分清除該等殘留物及污染物。 In another aspect, a method of removing such residues and contaminants from a microelectronic device having residues and contaminants thereon is described, the method comprising: comprising a microelectronic device and comprising at least one non-amine pH adjusting agent The cleaning composition of the buffering agent and the at least one solvent mixture is contacted for a sufficient period of time to at least partially remove the residues and contaminants from the microelectronic device.

其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。 Other aspects, features, and advantages will be more fully apparent from the following disclosure and the appended claims.

本發明大致係關於適用於自其上具有殘留物及污染 物之微電子裝置移除該等物質之組成物。該等組成物尤其適用於移除CMP後、蝕刻後或灰化後殘留物。 The present invention is generally applicable to having residues and contamination therefrom The microelectronic device removes the constituents of the materials. These compositions are particularly useful for removing residues after CMP, after etching, or after ashing.

為容易參考起見,「微電子裝置」係對應於經製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能基板、光伏打元件、及微機電系統(MEMS)的其他產品。太陽能基板包括,但不限於,矽、非晶矽、多晶矽、單晶矽、CdTe、硒化銅銦、硫化銅銦、及砷化鎵/鎵。太陽能基板可為經摻雜或未經摻雜。應瞭解術語「微電子裝置」不具任何限制意味,且包括任何最終將成為微電子裝置或微電子組件的基板。 For ease of reference, "microelectronic devices" correspond to semiconductor substrates, flat panel displays, phase change memory devices, solar panels, and solar substrates, which are manufactured for use in microelectronics, integrated circuits, or computer chip applications, Photovoltaic components, and other products of microelectromechanical systems (MEMS). Solar substrates include, but are not limited to, germanium, amorphous germanium, polycrystalline germanium, single crystal germanium, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide/gallium. The solar substrate can be doped or undoped. It should be understood that the term "microelectronic device" is not meant to be limiting, and includes any substrate that will ultimately become a microelectronic device or microelectronic assembly.

如本文所用之「殘留物」係相當於在微電子裝置之製造期間(包括,但不限於,電漿蝕刻、灰化、化學機械研磨、濕式蝕刻、及其組合)產生的顆粒。 As used herein, "residue" is equivalent to particles produced during the manufacture of a microelectronic device, including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.

如本文所用之「污染物」係相當於存在於CMP漿液中之化學物質、研磨漿液之反應副產物、存在於濕式蝕刻組成物中之化學物質、濕式蝕刻組成物之反應副產物、及任何其他作為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物的物質。 As used herein, "contaminant" is equivalent to a chemical substance present in a CMP slurry, a reaction by-product of a polishing slurry, a chemical substance present in a wet etching composition, a reaction by-product of a wet etching composition, and Any other material that is a by-product of the CMP process, wet etch, plasma etch, or plasma ashing process.

如本文所用之「CMP後殘留物」係相當於來自拋光漿液之顆粒(例如,含矽石顆粒)、存在於漿液中之化學物質、拋光漿液之反應副產物、富碳顆粒、研磨墊顆粒、刷卸載顆粒、設備構造材料顆粒、金屬、金屬氧化物、有機殘留物、及任何其他作為CMP製程之副產物的物質。如本文所定義,通常經研磨的「金屬」包括銅、鋁及鎢。 As used herein, "post-CMP residue" is equivalent to particles from a polishing slurry (eg, containing vermiculite particles), chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, abrasive pad particles, Brush unloading particles, equipment construction material particles, metals, metal oxides, organic residues, and any other material that is a by-product of the CMP process. As defined herein, typically ground "metal" includes copper, aluminum, and tungsten.

如本文所定義之「低k介電材料」係相當於任何在層 狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。 "Low-k dielectric material" as defined herein is equivalent to any layer A material is used in the microelectronic device as a dielectric material, wherein the material has a dielectric constant of less than about 3.5. The low-k dielectric material preferably comprises a low polarity material such as a cerium-containing organic polymer, a cerium-containing organic/inorganic hybrid material, an organosilicate glass (OSG), TEOS, a fluorinated silicate glass (FSG), a dioxide Bismuth, and carbon doped oxide (CDO) glass. It should be understood that low-k dielectric materials can have different densities and different porosities.

如本文所定義,「錯合劑」包括熟悉技藝人士理解為錯合劑、鉗合劑及/或螯合劑的該等化合物。錯合劑將與待使用本文所述之組成物移除的金屬原子及/或金屬離子化學結合或以物理固持。 As used herein, "coupling agent" includes such compounds as understood by the skilled artisan to be understood as a conjugate, a chelating agent, and/or a chelating agent. The tethering agent will chemically bind or physically retain the metal atoms and/or metal ions to be removed using the compositions described herein.

如本文所定義,術語「阻障材料」係相當於任何在技藝中用來密封金屬線(例如,銅互連體),以使該金屬(例如,銅)之擴散至介電材料中減至最小的材料。較佳的阻障層材料包括鉭、鈦、釕、鉿、鎢、鈷、及其他耐火金屬及其氮化物及矽化物。 As defined herein, the term "barrier material" is equivalent to any technique used to seal a metal wire (eg, a copper interconnect) to reduce the diffusion of the metal (eg, copper) into the dielectric material. The smallest material. Preferred barrier layer materials include tantalum, titanium, niobium, tantalum, tungsten, cobalt, and other refractory metals and their nitrides and tellurides.

如本文所定義,「蝕刻後殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)、或濕式蝕刻製程後殘留的材料。蝕刻後殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、碳基有機材料、及蝕刻氣體殘留物(諸如氧及氟)。 As defined herein, "post-etch residue" is equivalent to a material that remains after a gas phase plasma etching process (eg, BEOL dual damascene process), or a wet etch process. The nature of the residue after etching may be organic, organometallic, organic germanium, or inorganic, for example, germanium-containing materials, carbon-based organic materials, and etching gas residues such as oxygen and fluorine.

如本文所定義,本文所使用之「灰化後殘留物」係相當於在用來移除硬化光阻劑及/或底部抗反射塗層(BARC)材料之氧化或還原電漿灰化後殘留的材料。灰化後殘留物之性質可為有機、有機金屬、有機矽、或無機。 As defined herein, the term "ash residue" as used herein is equivalent to residual after oxidation or reduction plasma ashing used to remove hardened photoresist and/or bottom anti-reflective coating (BARC) material. s material. The nature of the residue after ashing may be organic, organometallic, organic hydrazine, or inorganic.

「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,及最佳小於0.1重量%。「不 含」係相當於0%。 "Substantially free" is defined herein as less than 2% by weight, preferably less than 1% by weight, more preferably less than 0.5% by weight, and most preferably less than 0.1% by weight. "Do not Containing " is equivalent to 0%.

如本文所使用之「約」係意指相當於所述值之±5%。 As used herein, "about" means equivalent to ± 5% of the stated value.

如本文所定義,「反應或降解產物」包括,但不限於,由於在表面處之催化作用、氧化、還原、與組成物組分之反應、或者其他聚合作用所形成之產物或副產物;由於物質或材料(例如,分子、化合物等)與其他物質或材料組合、與其他物質或材料交換組分、分解、重組、或以其他方式化學及/或物理改變之變化或轉變所形成之產物或副產物,包括前述反應、變化及/或轉變之任何前述或任何組合之中間產物或副產物。應明瞭反應或降解產物可具有較原始反應物更大或更小的莫耳質量。 As used herein, "reaction or degradation product" includes, but is not limited to, products or by-products formed by catalysis at the surface, oxidation, reduction, reaction with constituent components, or other polymerizations; A product or material (eg, a molecule, a compound, etc.) that is combined with other substances or materials, exchanges components with other substances or materials, decomposes, recombines, or otherwise chemically and/or physically changes or transforms or By-products, including intermediates or by-products of any of the foregoing or any combination of the foregoing reactions, changes and/or transformations. It will be appreciated that the reaction or degradation product may have a greater or lesser molar mass than the original reactant.

如本文所用,「適用」於自其上具有殘留物及污染物之微電子裝置清除該等殘留物及污染物係相當於自該微電子裝置至少部分移除該等殘留物/污染物。清潔效力係藉由在微電子裝置上的物體減少來評定。舉例來說,可使用原子力顯微鏡來進行清潔前及清潔後分析。可將樣品上之顆粒登錄為一像數範圍。可應用直方圖(例如,Sigma Scan Pro)來過濾特定強度(例如,231-235)中之像素,且計算顆粒數目。顆粒減少可使用下式來計算: As used herein, "applying" to a microelectronic device having residues and contaminants thereon to remove such residues and contaminants is equivalent to at least partial removal of such residues/contaminants from the microelectronic device. Cleaning effectiveness is assessed by object reduction on the microelectronic device. For example, an atomic force microscope can be used for pre-cleaning and post-cleaning analysis. The particles on the sample can be registered as an image range. A histogram (eg, Sigma Scan Pro) can be applied to filter pixels in a particular intensity (eg, 231-235) and calculate the number of particles. Particle reduction can be calculated using the following formula:

值得注意地,清潔效力之測定方法僅係提供作為實例,而不意欲對其造成限制。或者,可將清潔效力視為經顆粒物質覆蓋之總表面的百分比。舉例來說,AFM可經程式化以執行z平面掃描,來識別高於一特定高度臨限值之相關形貌面積,然後再計算經該相關面積覆蓋之總表面面積。熟悉技藝人士當可輕易明瞭在清潔後經該相 關面積覆蓋的面積愈小,清潔組成物就愈有效。較佳地,使用文中所述之組成物自微電子裝置移除至少75%之殘留物/污染物,更佳至少90%,再更佳至少95%,及最佳移除至少99%之殘留物/污染物。 Notably, the method of determining the efficacy of the cleaning is provided as an example only and is not intended to be limiting. Alternatively, the cleaning efficacy can be considered as a percentage of the total surface covered by the particulate matter. For example, the AFM can be programmed to perform a z-plane scan to identify the relevant topographical area above a certain height threshold and then calculate the total surface area covered by the associated area. Those who are familiar with the art can easily understand the phase after cleaning. The smaller the area covered by the area, the more effective the cleaning composition will be. Preferably, at least 75% of the residue/contaminant is removed from the microelectronic device using the composition described herein, more preferably at least 90%, even more preferably at least 95%, and optimally removing at least 99% of the residue. Objects/contaminants.

本文所述之組成物可以如更完整說明於下文之相當多樣的特定配方具體實施。 The compositions described herein can be embodied as a more complete description of the various specific formulations below.

在所有此等組成物中,當參照包括下限零之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。 In all such compositions, when a particular component of the composition is discussed with reference to a range of weight percentages including the lower limit of zero, it is apparent that such components may or may not be present in various specific embodiments of the composition and are present In the case of such components, it may be present in a concentration as low as 0.001 weight percent based on the total weight of the components in which the components are used.

在第一態樣中,清潔組成物包含至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、視需要之至少一種錯合劑、視需要之至少一種界面活性劑、及視需要之至少一種腐蝕抑制劑。在一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、及至少一種溶劑合劑。在另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、及至少一種錯合劑。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、及至少一種界面活性劑。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、及至少一種腐蝕抑制劑。在另一具體例中,清潔組成物包含 以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、至少一種錯合劑、及至少一種界面活性劑。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、至少一種錯合劑、及至少一種腐蝕抑制劑。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、視需要之至少一種界面活性劑、及至少一種腐蝕抑制劑。在另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、至少一種錯合劑、至少一種界面活性劑、及至少一種腐蝕抑制劑。 In a first aspect, the cleaning composition comprises at least one non-amine pH adjuster/buffer, at least one solvent mixture, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one Corrosion inhibitor. In one embodiment, the cleaning composition comprises, consists of, or consists essentially of: at least one non-amine pH adjuster/buffer, and at least one solvent mixture. In another embodiment, the cleaning composition comprises, consists of, or consists essentially of at least one non-amine pH adjuster/buffer, at least one solvent mixture, and at least one complexing agent. In yet another embodiment, the cleaning composition comprises, consists of, or consists essentially of at least one non-amine pH adjuster/buffer, at least one solvent mixture, and at least one interfacial activity Agent. In yet another embodiment, the cleaning composition comprises, consists of, or consists essentially of: at least one non-amine pH adjuster/buffer, at least one solvent mixture, and at least one corrosion inhibition Agent. In another embodiment, the cleaning composition comprises The following components consist of, or consist essentially of, at least one non-amine pH adjuster/buffer, at least one solvent mixture, at least one complexing agent, and at least one surfactant. In yet another embodiment, the cleaning composition comprises, consists of, or consists essentially of at least one non-amine pH adjuster/buffer, at least one solvent mixture, at least one complexing agent, And at least one corrosion inhibitor. In yet another embodiment, the cleaning composition comprises, consists of, or consists essentially of at least one non-amine pH adjuster/buffer, at least one solvent mixture, optionally at least one a surfactant, and at least one corrosion inhibitor. In another embodiment, the cleaning composition comprises, consists of, or consists essentially of at least one non-amine pH adjuster/buffer, at least one solvent mixture, at least one complexing agent, at least A surfactant, and at least one corrosion inhibitor.

不管何種具體例,文中所述之清潔組成物皆實質上不含或不含胺及含銨鹽,例如四級銨鹼。此外,組成物在使用(例如,清潔化學物質)前較佳實質上不含或不含下列中之至少一者:氧化劑;含氟化物來源;研磨材料;交聯有機聚合物顆粒;及其組合。此外,清潔組成物不應固化形成聚合固體,例如光阻劑。關於本發明,「胺」係定義為至少一種一級、二級、或三級胺、氨、及/或氫氧化四級銨化合物(例如,氫氧化銨、氫氧化烷基銨、氫氧化烷基芳基銨等),其限制條件為(i)醯胺基、(ii)同時包括羧酸基及胺基之物質、(iii)包括胺基之界面活性劑、及(iv)其中之胺基係取代基(例如,附接至芳基或雜環部分)的物質,不被視為根據此定義之「胺」。胺之化學式可以NR1R2R3表示,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙 基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直鏈或分支鏈C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合所組成之群,其限制條件為R1、R2及R3不可皆為氫。氫氧化四級銨化合物具有通式R1R2R3R4NOH,其中R1、R2、R3及R4係彼此相同或不同且係氫、C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基或己基)、及經取代或未經取代之C6-C10芳基(例如,苄基);及烷醇胺。 Regardless of the specific example, the cleaning compositions described herein are substantially free or free of amines and ammonium salts, such as quaternary ammonium bases. Moreover, the composition preferably contains substantially no or no at least one of: prior to use (eg, cleaning chemistry): an oxidizing agent; a fluoride containing source; an abrasive material; crosslinked organic polymer particles; . In addition, the cleaning composition should not cure to form a polymeric solid, such as a photoresist. With respect to the present invention, "amine" is defined as at least one primary, secondary or tertiary amine, ammonia, and/or quaternary ammonium hydroxide compound (eg, ammonium hydroxide, alkylammonium hydroxide, alkyl hydroxide) An aryl ammonium or the like, which is limited to (i) a guanamine group, (ii) a substance including both a carboxylic acid group and an amine group, (iii) a surfactant including an amine group, and (iv) an amine group thereof Substance (e.g., attached to an aryl or heterocyclic moiety) is not considered an "amine" according to this definition. The chemical formula of the amine may be represented by NR 1 R 2 R 3 wherein R 1 , R 2 and R 3 may be the same or different from each other and are selected from hydrogen, a linear or branched C 1 -C 6 alkyl group (eg, methyl, Ethyl, propyl, butyl, pentyl, hexyl), C 6 -C 10 aryl (eg, benzyl), linear or branched C 1 -C 6 alkanol (eg, methanol, ethanol, propanol) A group consisting of butanol, pentanol, hexanol, and combinations thereof, wherein R 1 , R 2 and R 3 are not all hydrogen. The quaternary ammonium hydroxide compound has the formula R 1 R 2 R 3 R 4 NOH, wherein R 1 , R 2 , R 3 and R 4 are the same or different from each other and are hydrogen, C 1 -C 6 alkyl (for example, Methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C 6 -C 10 aryl (for example, benzyl); and alkanolamine.

該至少一種非胺pH調節劑/緩衝劑包括鏻離子且具有通式R1R2R3R4POH,其中R1、R2、R3及R4係彼此相同或不同且係氫、C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基或己基)、及經取代或未經取代之C6-C10芳基(例如,苄基),例如,下列中之至少一者:氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯鏻、氫氧化甲基三苯鏻、氫氧化乙基三苯鏻、氫氧化N-丙基三苯鏻、氫氧化肆(羥甲基)鏻、及其組合。較佳地,該至少一種非胺pH調節劑/緩衝劑包括TBPH。除含鏻離子的pH調節劑外,亦可將氫氧化鉀、氫氧化銫、及氫氧化銣添加至清潔組成物。 The at least one non-amine pH adjuster/buffer comprises strontium ions and has the formula R 1 R 2 R 3 R 4 POH, wherein R 1 , R 2 , R 3 and R 4 are the same or different from each other and are hydrogen, C 1- C 6 alkyl (for example, methyl, ethyl, propyl, butyl, pentyl or hexyl), and substituted or unsubstituted C 6 -C 10 aryl (for example, benzyl), for example At least one of the following: tetrabutylphosphonium hydroxide (TBPH), tetramethylhydrazine hydroxide, tetraethylhydrazine hydroxide, tetrapropylphosphonium hydroxide, benzyltriphenylhydrazine hydroxide, and hydrogen peroxide Triphenyl hydrazine, ethyl triphenyl hydrazine hydroxide, N-propyl triphenyl hydrazine hydroxide, hydrazine hydroxide (hydroxymethyl) hydrazine, and combinations thereof. Preferably, the at least one non-amine pH adjusting agent/buffering agent comprises TBPH. In addition to the pH adjusting agent containing barium ions, potassium hydroxide, barium hydroxide, and barium hydroxide may be added to the cleaning composition.

該至少一種溶劑合劑包括水、多元醇、碸、或其組合中之至少一者,其中該多元醇可包括至少一種選自由下列所組成之群之物質:乙二醇、丙二醇、新戊二醇、甘油(亦稱為丙三醇)、二甘醇、二丙二醇、1,4-丁二醇、2,3-丁二醇、1,3-戊二醇、1,4-戊二醇、1,5-戊二醇、及其組合。該碸可包括至少一種選自由下列所組成之群之物質:四亞甲碸(環丁碸)、二甲碸、二乙碸、雙(2-羥乙基)碸、甲基環丁碸、乙基環丁碸、及其組合。或者,或除此之外,該 至少一種溶劑合劑可包括1,2-羥乙基吡咯啶酮。較佳地,該至少一種有機溶劑包括水、四亞甲碸、或其組合。 The at least one solvent mixture comprises at least one of water, polyol, hydrazine, or a combination thereof, wherein the polyol may include at least one selected from the group consisting of ethylene glycol, propylene glycol, neopentyl glycol , glycerin (also known as glycerol), diethylene glycol, dipropylene glycol, 1,4-butanediol, 2,3-butanediol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, and combinations thereof. The crucible may include at least one selected from the group consisting of tetramethylene sulfonium (cyclobutane), dimethyl hydrazine, diethyl hydrazine, bis(2-hydroxyethyl) hydrazine, methylcyclobutyl hydrazine, Ethylcyclobutyl hydrazine, and combinations thereof. Or, or otherwise, The at least one solvent mixture may include 1,2-hydroxyethylpyrrolidone. Preferably, the at least one organic solvent comprises water, tetramethylene guanidine, or a combination thereof.

該錯合劑可包括下列中之至少一者:乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、甘胺酸、抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、五倍子酸、硼酸、乙酸、丙酮肟、丙烯酸、己二酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、戊二酸、甘油酸、羥乙酸、乙醛酸、間苯二甲酸、衣康酸、乳酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸(quinic acid)、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、木醣醇、1,5,9-三吖環十二烷-N,N’,N”-參(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基參(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-參(亞甲基膦酸)(NOTP)、二硫甘油(dimercaprol)、1,2-丙二硫醇、1,2-二巰丙烷、其鹽及衍生物、及其組合。較佳地,該至少一種錯合劑包括硼酸、HEDP、酒石酸、半胱胺酸、或其組合。當使用時,該硼酸可有利地協助緩衝作用。 The complexing agent may include at least one of the following: ethylenediaminetetraacetic acid (EDTA), 1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), 4-( 2-hydroxyethyl) Porphyrin (HEM), N-amine ethylpipe (N-AEP), glycine, ascorbic acid, imine diacetic acid (IDA), 2-(hydroxyethyl)imine diacetic acid (HIDA), nitrogen triacetic acid, alanine, arginine, aspartate Aminic acid, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, guanidine Aminic acid, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid, adipic acid, betaine, dimethylglyoxime, formic acid , fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, Malonic acid, phenylglycolic acid, 2,4-pentanedione, phenylacetic acid, citric acid, valine acid, propionic acid, catechol, pyromellitic acid, quinic acid, sorbose Alcohol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, symmetrical trimellitic acid, tyrosine, xylitol, 1,5,9-trianthrane-dodecane-N, N', N" - ginseng (methylene phosphonic acid) (DOTRP), 1, 4, 7, 10 - four Cyclododecane-N,N',N",N'"-肆(methylenephosphonic acid)(DOTP), nitrogen-based ginseng (methylene)triphosphonic acid, diamethylenetriamine-5 (methylene Phosphonic acid) (DETAP), amine tris (methylene phosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis(hexamethylene)triaminephosphonic acid, 1 , 4,7-trioxocyclodecane-N,N',N"-gin (methylene phosphonic acid) (NOTP), dimercaprol (dimercaprol), 1,2-propanedithiol, 1,2 - Diterpene propane, its salts and derivatives, and combinations thereof. Preferably, the at least one intercalating agent comprises boric acid, HEDP, tartaric acid, cysteine, or a combination thereof. When used, the boric acid can advantageously assist in buffering.

該至少一種腐蝕抑制劑係經添加至清潔組成物中來 降低金屬(例如,銅、鋁)之腐蝕速率、以及增進清潔效能。涵蓋的腐蝕抑制劑包括,但不限於:正十二烷基膦酸、4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、5-胺基-1H-四唑、1,2,4-三唑、2-巰基苯并咪唑(MBI)、4-甲基-2-苯基咪唑、咪唑、喋呤、嘧啶、吡、胞嘧啶、嗒、1H-吡唑-3-羧酸、1H-吡唑-4-羧酸、3-胺基-5-羥基-1H-吡唑、3-胺基-5-甲基-1H-吡唑、3-胺基-5-第三丁基-1H-吡唑、2-胺基-甲基噻唑、2-巰基噻唑、2,5-二巰基-1,3,4-噻二唑、2-巰基-5-甲基-1,3,4-噻二唑、2-胺基噻唑-5-甲腈、2-胺基噻唑-5-甲醛、2-胺基噻唑-4-羧酸乙酯、其衍生物、及其組合。或者,或除此之外,腐蝕抑制劑可包含至少一種選自由下列所組成之群之嘌呤物質:核糖苷基嘌呤諸如N-核糖苷基嘌呤、腺苷、鳥苷、2-胺基嘌呤核糖苷、2-甲氧基腺苷、及其之甲基化或去氧衍生物,諸如N-甲基腺苷(C11H15N5O4)、N,N-二甲基腺苷(C12H17N5O4)、三甲基化腺苷(C13H19N5O4)、三甲基N-甲基腺苷(C14H21N5O4)、C-4’-甲基腺苷、及3-去氧腺苷;腺苷之降解產物及腺苷衍生物,包括,但不限於,腺嘌呤(C5H5N5)、甲基化腺嘌呤(例如,N-甲基-7H-嘌呤-6-胺,C6H7N5)、二甲基化腺嘌呤(例如,N,N-二甲基-7H-嘌呤-6-胺,C7H9N5)、N4,N4-二甲基嘧啶-4,5,6-三胺(C6H11N5)、4,5,6-三胺基嘧啶、尿囊素(C4H6N4O3)、羥基化C-O-O-C二聚物((C5H4N5O2)2)、C-C橋連二聚物((C5H4N5)2或(C5H4N5O)2)、核糖(C5H10O5)、甲基化核糖(例如,5-(甲氧甲基)四氫呋喃-2,3,4-三醇,C6H12O5)、四甲基化核糖(例如,2,3,4-三甲氧基-5-(甲氧甲基)四氫呋喃,C9H18O5)、及其他核糖衍生物諸如甲基化水解二核糖化合物;嘌呤-醣複合物,包括,但不限於,木糖、葡萄糖等;其他嘌呤化合物諸如嘌呤、鳥嘌 呤、次黃嘌呤、黃嘌呤、可可鹼、咖啡因、尿酸、及異鳥嘌呤、及其甲基化或去氧衍生物;三胺基嘧啶及其他經取代嘧啶諸如經胺基取代之嘧啶;任何化合物之二聚物、三聚物或聚合物、其之反應或降解產物、或衍生物;及其組合。 The at least one corrosion inhibitor is added to the cleaning composition to reduce the corrosion rate of the metal (eg, copper, aluminum) and to improve cleaning performance. Corrosion inhibitors covered include, but are not limited to, n-dodecylphosphonic acid, 4-methylpyrazole, pyrazole, 2-aminothiazole, 2-amino-1,3,4-thiadiazole, 5-amino-1H-tetrazole, 1,2,4-triazole, 2-mercaptobenzimidazole (MBI), 4-methyl-2-phenylimidazole, imidazole, indole, pyrimidine, pyridyl Cytosine, guanidine , 1H-pyrazole-3-carboxylic acid, 1H-pyrazole-4-carboxylic acid, 3-amino-5-hydroxy-1H-pyrazole, 3-amino-5-methyl-1H-pyrazole, 3-amino-5-t-butyl-1H-pyrazole, 2-amino-methylthiazole, 2-mercaptothiazole, 2,5-dimercapto-1,3,4-thiadiazole, 2- Mercapto-5-methyl-1,3,4-thiadiazole, 2-aminothiazole-5-carbonitrile, 2-aminothiazole-5-carboxaldehyde, 2-aminothiazole-4-carboxylic acid ethyl ester , its derivatives, and combinations thereof. Alternatively, or in addition thereto, the corrosion inhibitor may comprise at least one terpenoid selected from the group consisting of riboside guanidines such as N-ribosyl guanidine, adenosine, guanosine, 2-aminopurine nucleus Glycosides, 2-methoxyadenosines, and methylated or deoxygenated derivatives thereof, such as N-methyladenosine (C 11 H 15 N 5 O 4 ), N,N-dimethyladenosine ( C 12 H 17 N 5 O 4 ), trimethylated adenosine (C 13 H 19 N 5 O 4 ), trimethyl N-methyladenosine (C 14 H 21 N 5 O 4 ), C-4 '-methyladenosine, and 3-deoxyadenosine; degradation products of adenosine and adenosine derivatives, including, but not limited to, adenine (C 5 H 5 N 5 ), methylated adenine (eg , N-methyl-7H-indol-6-amine, C 6 H 7 N 5 ), dimethylated adenine (for example, N,N-dimethyl-7H-purin-6-amine, C 7 H 9 N 5 ), N4, N4-dimethylpyrimidine-4,5,6-triamine (C 6 H 11 N 5 ), 4,5,6-triaminopyrimidine, allantoin (C 4 H 6 N 4 O 3 ), hydroxylated COOC dimer ((C 5 H 4 N 5 O 2 ) 2 ), CC bridged dimer ((C 5 H 4 N 5 ) 2 or (C 5 H 4 N 5 O) 2), ribose (C 5 H 10 O 5) , methyl ribose (e.g., 5- (methoxymethyl) tetrahydro Pyran-2,3,4-triol, C 6 H 12 O 5) , tetramethyl ribose (e.g., 2,3,4-trimethoxy-5- (methoxymethyl) tetrahydrofuran, C 9 H 18 O 5 ), and other ribose derivatives such as methylated hydrolyzed diribose compounds; quinone-saccharide complexes including, but not limited to, xylose, glucose, etc.; other quinone compounds such as quinone, guanine, hypoxanthine, Astragalus, theobromine, caffeine, uric acid, and isoguanine, and their methylated or deoxy derivatives; triaminopyrimidines and other substituted pyrimidines such as amino substituted pyrimidines; dimers of any compound , a trimer or polymer, a reaction or degradation product thereof, or a derivative thereof; and combinations thereof.

用於文中所述組成物中之說明性的界面活性劑包括,但不限於,兩性鹽、陽離子性界面活性劑、陰離子性界面活性劑、氟烷基界面活性劑、非離子性界面活性劑、及其組合,包括,但不限於,SURFONYL® 104、TRITON® CF-21、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、3M Fluorad氟界面活性劑(即FC-4430及FC-4432)、二辛基磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、十二烷基苯磺酸、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸鹽、R1苯磺酸或其鹽(其中R1係直鏈或分支鏈C8-C18烷基)、兩親性氟聚合物、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸鹽、十二烷基苯磺酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚矽氧或經改質之聚矽氧聚合物、炔屬二醇或經改質之炔屬二醇、烷基銨或經改質之烷基銨鹽,以及包含至少一種前述界面活性劑之組合、十二烷基硫酸鈉、兩性離子界面活性劑、氣溶膠-OT(AOT)及其氟化類似物、烷基銨、全氟聚醚界面活性劑、2-磺基琥珀酸酯鹽、基於磷酸鹽之界面活性劑、基於硫之界面活性劑、TRITON® X-100、Pluronic F0127、及基於乙醯乙酸酯之聚合物。 Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, nonionic surfactants, And combinations thereof, including, but not limited to, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactant (ie FC-4430 and FC- 4432), dioctylsulfosuccinate, 2,3-dimercapto-1-propanesulfonate, dodecylbenzenesulfonic acid, polyethylene glycol, polypropylene glycol, polyethylene glycol or polypropylene glycol ether , a carboxylate, R 1 benzene sulfonic acid or a salt thereof (wherein R 1 is a linear or branched C 8 -C 18 alkyl group), an amphiphilic fluoropolymer, polyethylene glycol, polypropylene glycol, polyethylene Alcohol or polypropylene glycol ether, carboxylate, dodecylbenzenesulfonic acid, polyacrylate polymer, diphenyl phenyl polyoxyethylene, polyfluorene oxide or modified polyoxyl oxypolymer, acetylenic diol Or a modified acetylenic diol, an alkylammonium or a modified alkylammonium salt, and a combination comprising at least one of the foregoing surfactants, sodium lauryl sulfate , zwitterionic surfactant, aerosol-OT (AOT) and its fluorinated analogs, alkylammonium, perfluoropolyether surfactant, 2-sulfosuccinate, phosphate-based surfactant, Sulfur-based surfactant, TRITON® X-100, Pluronic F0127, and acetamidine acetate-based polymers.

文中所述清潔組成物之pH係大於7,較佳在約8至約14之範圍內,更佳在約8.5至約11.5之範圍內。 The pH of the cleaning composition herein is greater than 7, preferably in the range of from about 8 to about 14, more preferably in the range of from about 8.5 to about 11.5.

應明瞭文中所述之清潔組成物可進一步包含殘留物及/或污染物。殘留物及污染物可溶解於清潔組成物中。或者,殘 留物及污染物可懸浮於清潔組成物中。殘留物較佳包括CMP後殘留物、蝕刻後殘留物、灰化後殘留物、污染物、或其組合。 It should be understood that the cleaning compositions described herein may further comprise residues and/or contaminants. Residues and contaminants can be dissolved in the cleaning composition. Or, disabled Residues and contaminants can be suspended in the cleaning composition. The residue preferably includes post-CMP residue, post-etch residue, post-ash residue, contaminants, or a combination thereof.

不管本發明之具體例為何,若該至少一種非胺pH調節劑/緩衝劑包括鏻離子且該組成物進一步包括至少一種膦酸根離子、水、及至少一種界面活性劑,則該組成物必需進一步包括至少一種非膦酸根離子錯合劑、至少一種非膦酸鹽腐蝕抑制劑、至少一種非水溶劑合劑、或其任何組合。或者,若該至少一種非胺pH調節劑/緩衝劑包括鏻離子且該組成物進一步包括至少一種膦酸根離子、水、及至少一種界面活性劑,則該組成物必需進一步包括將氮原子引入至配方中之組分,其中該將氮原子引入至配方中之組分包括含氮酸、含氮鹼或任何其他會將氮原子引入至配方中之組分或化合物。或者,溶液之pH係大於7。 Regardless of the specific example of the invention, if the at least one non-amine pH adjuster/buffer comprises strontium ions and the composition further comprises at least one phosphonate ion, water, and at least one surfactant, the composition must be further Included is at least one non-phosphonate ion interlinking agent, at least one non-phosphonate corrosion inhibitor, at least one non-aqueous solvent mixture, or any combination thereof. Alternatively, if the at least one non-amine pH adjusting agent/buffering agent comprises cerium ions and the composition further comprises at least one phosphonate ion, water, and at least one surfactant, the composition must further comprise introducing a nitrogen atom to A component of a formulation wherein the component that introduces a nitrogen atom into the formulation comprises a nitrogenous acid, a nitrogenous base, or any other component or compound that will introduce a nitrogen atom into the formulation. Alternatively, the pH of the solution is greater than 7.

清潔組成物較佳係經調配成濃縮形式及在使用時或使用前方才用稀釋劑(例如,至少一種溶劑合劑)稀釋。濃縮的清潔組成物可調配如下,其中所有百分比係基於配方之總重量以重量計: Preferably, the cleaning composition is formulated in a concentrated form and diluted with a diluent (e.g., at least one solvent mixture) at the time of use or prior to use. The concentrated cleaning composition can be formulated as follows, wherein all percentages are by weight based on the total weight of the formulation:

當存在時,濃縮物中之錯合劑、腐蝕抑制劑及界面活性劑之下限係約0.01%。 When present, the lower limit of the complexing agent, corrosion inhibitor and surfactant in the concentrate is about 0.01%.

清潔組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將清潔組成物調配為在使 用點處或使用點之前混合的單一包裝配方或多份配方,例如,可將多份配方之個別份於工具處或於工具上游之儲槽中混合。各別成分的濃度可在組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭本文所述之組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。 The cleaning composition is easily formulated by simply adding the respective components and mixing them to a uniform state. In addition, the cleaning composition can be easily formulated to A single package formulation or multiple formulations mixed prior to or at the point of use, for example, individual portions of multiple formulations may be mixed at the tool or in a reservoir upstream of the tool. The concentration of the individual ingredients can vary widely within a particular multiple of the composition, i.e., more dilute or more concentrated, and any combination of ingredients that are illustrative of the compositions herein can be varied and alternatively include those consistent with the disclosure herein. , consists of, or consists essentially of, it.

在一具體例中,提供可經稀釋用作清潔溶液之濃縮清潔組成物。濃縮清潔組成物或「濃縮物」有利地容許使用者(例如,CMP製程工程師)在使用點將濃縮物稀釋至期望濃度及pH。濃縮清潔組成物之稀釋可在約1:1至約2500:1之範圍內,較佳約5:1至約200:1,及最佳約10:1至約50:1,其中清潔組成物係在工具處或工具之前方才用至少一種溶劑合劑(例如,去離子水)稀釋。 In one embodiment, a concentrated cleaning composition that can be used as a cleaning solution by dilution is provided. The concentrated cleaning composition or "concentrate" advantageously allows the user (eg, a CMP process engineer) to dilute the concentrate to the desired concentration and pH at the point of use. The dilution of the concentrated cleaning composition can range from about 1:1 to about 2500:1, preferably from about 5:1 to about 200:1, and most preferably from about 10:1 to about 50:1, wherein the cleaning composition Dilute with at least one solvent mixture (eg, deionized water) before the tool or tool.

清潔組成物可有用於包括,但不限於,蝕刻後殘留物移除、灰化後殘留物移除表面製備、電鍍後清潔及CMP後殘留物移除的應用。此外,涵蓋清潔組成物可有用於清潔及保護其他金屬(例如,含銅)產品,包括,但不限於,裝飾性金屬、金屬線接合、印刷電路板及其他使用金屬或金屬合金之電子封裝。 The cleaning composition can be used for applications including, but not limited to, residue removal after etching, residue removal surface preparation after ashing, post-plating cleaning, and residue removal after CMP. In addition, the cleaning compositions can be used to clean and protect other metal (eg, copper-containing) products including, but not limited to, decorative metals, wire bonds, printed circuit boards, and other electronic packages that use metals or metal alloys.

因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本文所述之清潔組成物的組分。套組可包括用於在工廠或使用點處與至少一種溶劑合劑(例如,水)結合之存於一或多個容器中之至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、視需要之至少一種錯合劑、視需要之至少一種界面活性劑、及視需要之至少一種腐蝕抑制劑。套組之容器必需適於儲存及運送該等清潔組成物,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。套組容器較佳實 質上不含或不含胺及含銨鹽,例如四級銨鹼;氧化劑;含氟化物來源;研磨材料;交聯有機聚合物顆粒;及其組合。此外,清潔組成物之組分不應固化形成聚合固體。 Thus, another aspect relates to a kit comprising one or more components stored in one or more containers suitable for forming the cleaning compositions described herein. The kit can include at least one non-amine pH adjuster/buffer, at least one solvent mixture, or at least one non-amine pH adjuster/buffer, in one or more containers in combination with at least one solvent mixture (eg, water) at a factory or point of use. At least one miscible agent, at least one surfactant, as desired, and optionally at least one corrosion inhibitor are required. The kit of containers must be suitable for storing and transporting such cleaning compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). Set of containers is better Containing no or no amines and ammonium salts, such as quaternary ammonium bases; oxidizing agents; fluoride containing sources; abrasive materials; crosslinked organic polymer particles; In addition, the components of the cleaning composition should not cure to form a polymeric solid.

當應用至微電子製造操作時,清潔組成物可有效用於自微電子裝置之表面清潔殘留物(例如,CMP後殘留物)及/或污染物。清潔組成物不會損壞低k介電材料或腐蝕裝置表面上的金屬互連體。清潔組成物較佳移除在殘留物移除前存在於裝置上之殘留物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。 When applied to microelectronic fabrication operations, the cleaning composition can be effectively used to clean residues (eg, post-CMP residues) and/or contaminants from the surface of the microelectronic device. Cleaning the composition does not damage the low-k dielectric material or the metal interconnect on the surface of the corrosion device. The cleaning composition preferably removes at least 85%, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue present on the device prior to removal of the residue.

在CMP後殘留物及污染物清潔應用中,清潔組成物可配合相當多樣之習知清潔工具諸如超音波震盪(megasonics)及刷洗使用,其包括,但不限於,Verteq單晶圓超音波震盪Goldfinger、OnTrak系統DDS(雙面洗滌器)、SEZ或其他單晶圓噴霧洗滌、Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM、及Megasonic批式濕式台面系統。 In post-CMP residue and contaminant cleaning applications, the cleaning composition can be used with a wide variety of conventional cleaning tools such as ultrasonic megasonics and scrubbing, including, but not limited to, Verteq single wafer ultrasonic oscillating Goldfinger OnTrak System DDS (Double Side Scrubber), SEZ or other single wafer spray wash, Applied Materials Mirra-Mesa TM /Reflexion TM /Reflexion LK TM , and Megasonic Batch Wet Countertop System.

在使用組成物於自其上具有CMP後殘留物、蝕刻後殘留物、灰化後殘留物及/或污染物之微電子裝置清除該等物質時,使稀釋後的清潔組成物與裝置在約20℃至約90℃範圍內之溫度下(較佳約20℃至約50℃)接觸約5秒至約10分鐘之時間(較佳約1秒至20分鐘,較佳約15秒至約5分鐘)。此等接觸時間及溫度係為說明性,在方法的廣泛實務中,可採用任何其他可有效於自裝置至少部分地清除殘留物(例如,CMP後殘留物)及/或污染物的適當時間及溫度條件。「至少部分地清除」及「實質移除」皆係相當於移除在殘留物移除前存在於裝置上之殘留物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。 After the composition is used to remove the substance from the microelectronic device having the post-CMP residue, the post-etch residue, the post-ash residue, and/or the contaminant thereon, the diluted cleaning composition and the device are placed Contacting at a temperature in the range of from 20 ° C to about 90 ° C (preferably from about 20 ° C to about 50 ° C) for a period of from about 5 seconds to about 10 minutes (preferably from about 1 second to 20 minutes, preferably from about 15 seconds to about 5) minute). Such contact times and temperatures are illustrative, and in the broad practice of the method, any other suitable time effective to at least partially remove residues (eg, post-CMP residues) and/or contaminants from the device may be employed and Temperature conditions. "at least partially removed" and "substantially removed" are equivalent to removing at least 85%, more preferably at least 90%, and even more preferably at least 95% of the residue present on the device prior to removal of the residue, and The best is at least 99%.

於達成期望的清潔作用後,可輕易地將清潔組成物自其先前經施用的裝置移除,此可能係在本文所述組成物之指定最終應用中所需且有效。沖洗溶液較佳包括去離子水。其後可使用氮氣或旋轉乾燥循環乾燥裝置。 After the desired cleaning action is achieved, the cleaning composition can be easily removed from its previously applied device, which may be desirable and effective in the intended end use of the compositions described herein. The rinsing solution preferably includes deionized water. Thereafter, a nitrogen or rotary drying cycle drying apparatus can be used.

又另一態樣係關於根據本文所述方法製得之改良的微電子裝置,及含有該等微電子裝置之產品。 Yet another aspect relates to improved microelectronic devices made according to the methods described herein, and products containing such microelectronic devices.

另一態樣係關於一種經再循環的清潔組成物,其中該清潔組成物可經再循環直至殘留物及/或污染物載入量達到清潔組成物所可容納之最大量為止,此係可由熟悉技藝人士輕易地決定。 Another aspect relates to a recycled cleaning composition wherein the cleaning composition can be recycled until the amount of residue and/or contaminant loading reaches a maximum amount that the cleaning composition can accommodate. Familiar with the craftsman is easy to decide.

又再一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使用文中所述之清潔組成物,使微電子裝置與清潔組成物接觸足夠的時間,以自其上具有CMP後殘留物及污染物之微電子裝置清除該等殘留物及污染物,及將該微電子裝置併入至該物件中。 Still another aspect relates to a method of making an article comprising a microelectronic device, the method comprising: using a cleaning composition as described herein, contacting the microelectronic device with the cleaning composition for a time sufficient to have residual CMP therefrom The microelectronic device of the substance and contaminant removes the residue and contaminants and incorporates the microelectronic device into the article.

在另一態樣中,描述一種自其上具有CMP後殘留物及污染物之微電子裝置移除該等物質之方法,該方法包括:使用CMP漿液研磨微電子裝置;使微電子裝置與包含至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、視需要之至少一種錯合劑、視需要之至少一種界面活性劑、及視需要之至少一種腐蝕抑制劑之清潔組成物接觸足夠的時間,以自微電子裝置移除CMP後殘留物及污染物,而形成含有CMP後殘留物之組成物,其中該清潔組成物實質上不含或不含胺及含銨鹽,例如四級銨鹼;氧化劑;含氟化物來源;研磨材料;交聯 有機聚合物顆粒;及其組合;及使微電子裝置與含有CMP後殘留物之組成物持續接觸足夠的時間長度,以達成微電子裝置的實質清潔。 In another aspect, a method of removing such materials from a microelectronic device having residues and contaminants after CMP is described, the method comprising: grinding a microelectronic device using a CMP slurry; and including the microelectronic device Adequate time for at least one non-amine pH adjuster/buffer, at least one solvent mixture, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor cleaning composition Removing the residue and contaminants after CMP from the microelectronic device to form a composition containing the residue after CMP, wherein the cleaning composition is substantially free or free of amines and ammonium salts, such as a quaternary ammonium base. Oxidant; fluoride source; abrasive material; cross-linking The organic polymer particles; and combinations thereof; and the continuous contact of the microelectronic device with the composition containing the post-CMP residue for a sufficient length of time to achieve substantial cleaning of the microelectronic device.

另一態樣係關於一種包含清潔組成物、微電子裝置晶圓、及選自由殘留物、污染物及其組合所組成之群之物質的製造物件,其中該清潔組成物包含至少一種非胺pH調節劑/緩衝劑、至少一種溶劑合劑、視需要之至少一種錯合劑、視需要之至少一種界面活性劑、及視需要之至少一種腐蝕抑制劑,其中該清潔組成物實質上不含或不含胺及含銨鹽,例如四級銨鹼;氧化劑;含氟化物來源;研磨材料;交聯有機聚合物顆粒;及其組合,及該殘留物包括CMP後殘留物、蝕刻後殘留物及灰化後殘留物中至少一者。 Another aspect relates to a manufactured article comprising a cleaning composition, a microelectronic device wafer, and a substance selected from the group consisting of residues, contaminants, and combinations thereof, wherein the cleaning composition comprises at least one non-amine pH a conditioner/buffer, at least one solvent mixture, optionally at least one complexing agent, optionally at least one surfactant, and optionally at least one corrosion inhibitor, wherein the cleaning composition is substantially free or free of Amines and ammonium-containing salts, such as quaternary ammonium bases; oxidizing agents; fluoride-containing sources; abrasive materials; cross-linked organic polymer particles; and combinations thereof, and residues including post-CMP residues, post-etch residues, and ashing At least one of the remaining residues.

雖然本發明已參照說明具體例及特徵以不同方式揭示於文中,但當明瞭前文所述之具體例及特徵並非要限制本發明,且熟悉技藝人士當可基於文中之揭示內容明白其他的變化、修改及其他具體例。因此,本發明係應廣泛解釋為涵蓋在後文所述之申請專利範圍之精神及範疇內的所有此等變化、修改及替代具體例。 The present invention has been described with reference to the specific embodiments and features of the present invention, and is not intended to limit the scope of the invention. Modifications and other specific examples. Accordingly, the present invention is to be construed as being limited by the appended claims

Claims (34)

一種清潔組成物,其包含至少一種非胺pH調節劑/緩衝劑及至少一種溶劑合劑。 A cleaning composition comprising at least one non-amine pH adjusting agent/buffering agent and at least one solvent mixture. 如申請專利範圍第1項之清潔組成物,其中,該至少一種非胺pH調節劑/緩衝劑包括具有式R1R2R3R4POH之鏻物質,其中R1、R2、R3及R4係彼此相同或不同且係氫、C1-C6烷基、經取代之C6-C10芳基、及未經取代之C6-C10芳基。 The cleaning composition of claim 1, wherein the at least one non-amine pH adjuster/buffer comprises a hydrazine having the formula R 1 R 2 R 3 R 4 POH, wherein R 1 , R 2 , R 3 And R 4 are the same or different from each other and are hydrogen, C 1 -C 6 alkyl, substituted C 6 -C 10 aryl, and unsubstituted C 6 -C 10 aryl. 如申請專利範圍第1項之清潔組成物,其中,該至少一種非胺pH調節劑/緩衝劑包括選自由下列所組成之群之物質:氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯鏻、氫氧化甲基三苯鏻、氫氧化乙基三苯鏻、氫氧化N-丙基三苯鏻、氫氧化肆(羥甲基)鏻、及其組合,較佳為氫氧化四丁基鏻。 The cleaning composition of claim 1, wherein the at least one non-amine pH adjuster/buffer comprises a substance selected from the group consisting of tetrabutylphosphonium hydroxide (TBPH), tetramethyl hydroxide Base, tetraethyl hydrazine hydroxide, tetrapropyl hydrazine hydroxide, benzyl triphenyl hydrazine hydroxide, methyl triphenyl hydrazine hydroxide, ethyl triphenyl hydrazine hydroxide, N-propyl triphenyl hydrazine hydroxide And hydrazine hydroxide (hydroxymethyl) hydrazine, and combinations thereof, preferably tetrabutylphosphonium hydroxide. 如申請專利範圍第2或3項之清潔組成物,其進一步包括氫氧化鉀、氫氧化銫、或氫氧化銣。 A cleaning composition according to claim 2 or 3, which further comprises potassium hydroxide, barium hydroxide, or barium hydroxide. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,該至少一種溶劑合劑包括選自由下列所組成之群之物質:水、乙二醇、丙二醇、新戊二醇、甘油、二甘醇、二丙二醇、1,4-丁二醇、2,3-丁二醇、1,3-戊二醇、1,4-戊二醇、1,5-戊二醇、四亞甲碸(環丁碸)、二甲碸、二乙碸、雙(2-羥乙基)碸、甲基環丁碸、乙基環丁碸、1,2-羥乙基吡咯啶酮、及其組合,較佳為水及/或環丁碸。 The cleaning composition according to any one of claims 1 to 3, wherein the at least one solvent mixture comprises a substance selected from the group consisting of water, ethylene glycol, propylene glycol, neopentyl glycol, glycerin , diethylene glycol, dipropylene glycol, 1,4-butanediol, 2,3-butanediol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, tetra Formamidine (cyclobutane), dimethylhydrazine, diethyl hydrazine, bis(2-hydroxyethyl) hydrazine, methylcyclobutyl hydrazine, ethylcyclobutyl hydrazine, 1,2-hydroxyethyl pyrrolidone, and A combination thereof is preferably water and/or cyclobutyl hydrazine. 如申請專利範圍第1至3項中任一項之清潔組成物,其進一步包含至少一種錯合劑、至少一種界面活性劑、及至少一種腐蝕抑制劑中之至少一者。 The cleaning composition of any one of claims 1 to 3, further comprising at least one of at least one of a binder, at least one surfactant, and at least one corrosion inhibitor. 如申請專利範圍第6項之清潔組成物,其包含該至少一種錯合劑,其中該至少一種錯合劑包括選自由下列所組成之群之物質:乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、甘胺酸、抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、五倍子酸、硼酸、乙酸、丙酮肟、丙烯酸、己二酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、戊二酸、甘油酸、羥乙酸、乙醛酸、間苯二甲酸、衣康酸、乳酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸(quinic acid)、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、木醣醇、1,5,9-三吖環十二烷-N,N’,N”-參(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基參(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-參(亞甲基膦酸)(NOTP)、二硫甘油(dimercaprol)、1,2-丙二硫醇、1,2-二巰丙烷、其鹽及衍生物、及其組合,較佳為HEDP、酒石酸、半胱胺酸、或其任何組合。 The cleaning composition of claim 6, comprising the at least one complexing agent, wherein the at least one complexing agent comprises a substance selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), 1,2- Cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), 4-(2-hydroxyethyl) Porphyrin (HEM), N-amine ethylpipe (N-AEP), glycine, ascorbic acid, imine diacetic acid (IDA), 2-(hydroxyethyl)imine diacetic acid (HIDA), nitrogen triacetic acid, alanine, arginine, aspartate Aminic acid, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, guanidine Aminic acid, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid, adipic acid, betaine, dimethylglyoxime, formic acid , fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, Malonic acid, phenylglycolic acid, 2,4-pentanedione, phenylacetic acid, citric acid, valine acid, propionic acid, catechol, pyromellitic acid, quinic acid, sorbose Alcohol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, symmetrical trimellitic acid, tyrosine, xylitol, 1,5,9-trianthrane-dodecane-N, N', N" - ginseng (methylene phosphonic acid) (DOTRP), 1, 4, 7, 10 - four Cyclododecane-N,N',N",N'"-肆(methylenephosphonic acid)(DOTP), nitrogen-based ginseng (methylene)triphosphonic acid, diamethylenetriamine-5 (methylene Phosphonic acid) (DETAP), amine tris (methylene phosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis(hexamethylene)triaminephosphonic acid, 1 , 4,7-trioxocyclodecane-N,N',N"-gin (methylene phosphonic acid) (NOTP), dimercaprol (dimercaprol), 1,2-propanedithiol, 1,2 - Dioxane, its salts and derivatives, and combinations thereof, preferably HEDP, tartaric acid, cysteine, or any combination thereof. 如申請專利範圍第6項之清潔組成物,其包含該至少一種腐蝕抑制劑,其中該至少一種腐蝕抑制劑包括選自由下列所組成之群之 物質:正十二烷基膦酸、4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、5-胺基-1H-四唑、1,2,4-三唑、2-巰基苯并咪唑(MBI)、4-甲基-2-苯基咪唑、咪唑、喋呤、嘧啶、吡、胞嘧啶、嗒、1H-吡唑-3-羧酸、1H-吡唑-4-羧酸、3-胺基-5-羥基-1H-吡唑、3-胺基-5-甲基-1H-吡唑、3-胺基-5-第三丁基-1H-吡唑、2-胺基-甲基噻唑、2-巰基噻唑、2,5-二巰基-1,3,4-噻二唑、2-巰基-5-甲基-1,3,4-噻二唑、2-胺基噻唑-5-甲腈、2-胺基噻唑-5-甲醛、2-胺基噻唑-4-羧酸乙酯、N-核糖苷基嘌呤、腺苷、鳥苷、2-胺基嘌呤核糖苷、2-甲氧基腺苷、N-甲基腺苷(C11H15N5O4)、N,N-二甲基腺苷(C12H17N5O4)、三甲基化腺苷(C13H19N5O4)、三甲基N-甲基腺苷(C14H21N5O4)、C-4’-甲基腺苷、3-去氧腺苷;腺嘌呤(C5H5N5)、甲基化腺嘌呤(例如,N-甲基-7H-嘌呤-6-胺,C6H7N5)、二甲基化腺嘌呤、N4,N4-二甲基嘧啶-4,5,6-三胺(C6H11N5)、4,5,6-三胺基嘧啶、尿囊素(C4H6N4O3)、羥基化C-O-O-C二聚物((C5H4N5O2)2)、C-C橋連二聚物、核糖(C5H10O5)、甲基化核糖、四甲基化核糖、木糖、葡萄糖、嘌呤、鳥嘌呤、次黃嘌呤、黃嘌呤、可可鹼、咖啡因、尿酸、異鳥嘌呤、三胺基嘧啶、及其組合。 A cleaning composition according to claim 6 which comprises the at least one corrosion inhibitor, wherein the at least one corrosion inhibitor comprises a substance selected from the group consisting of n-dodecylphosphonic acid, 4-methyl Pyrazole, pyrazole, 2-aminothiazole, 2-amino-1,3,4-thiadiazole, 5-amino-1H-tetrazole, 1,2,4-triazole, 2-mercapto Benzimidazole (MBI), 4-methyl-2-phenylimidazole, imidazole, indole, pyrimidine, pyridyl Cytosine, guanidine , 1H-pyrazole-3-carboxylic acid, 1H-pyrazole-4-carboxylic acid, 3-amino-5-hydroxy-1H-pyrazole, 3-amino-5-methyl-1H-pyrazole, 3-amino-5-t-butyl-1H-pyrazole, 2-amino-methylthiazole, 2-mercaptothiazole, 2,5-dimercapto-1,3,4-thiadiazole, 2- Mercapto-5-methyl-1,3,4-thiadiazole, 2-aminothiazole-5-carbonitrile, 2-aminothiazole-5-carboxaldehyde, 2-aminothiazole-4-carboxylic acid ethyl ester , N-ribosyl guanidine, adenosine, guanosine, 2-aminopurine riboside, 2-methoxyadenosine, N-methyladenosine (C 11 H 15 N 5 O 4 ), N, N -Dimethyladenosine (C 12 H 17 N 5 O 4 ), trimethylated adenosine (C 13 H 19 N 5 O 4 ), trimethyl N-methyladenosine (C 14 H 21 N 5 O 4 ), C-4 '-methyladenosine, 3-deoxyadenosine; adenine (C 5 H 5 N 5 ), methylated adenine (for example, N-methyl-7H-嘌呤-6 -amine, C 6 H 7 N 5 ), dimethylated adenine, N4, N4-dimethylpyrimidine-4,5,6-triamine (C 6 H 11 N 5 ), 4,5,6- Triaminopyrimidine, allantoin (C 4 H 6 N 4 O 3 ), hydroxylated COOC dimer ((C 5 H 4 N 5 O 2 ) 2 ), CC bridged dimer, ribose (C 5 H 10 O 5 ), methylated ribose, tetramethylated ribose, xylose, glucose , cockroaches, guanine, hypoxanthine, scutellaria, theobromine, caffeine, uric acid, isoguanine, triaminopyrimidine, and combinations thereof. 如申請專利範圍第6項之清潔組成物,其包含選自由下列所組成之群之該至少一種界面活性劑:SURFONYL® 104、TRITON® CF-21、TRITON® CF-10、TRITON® X-100、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、3M Fluorad氟界面活性劑、二辛基磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸鹽、烷基苯磺酸、兩親性氟聚合物、C8-C18烷基磷酸酯醚、羧酸鹽、十二烷基苯磺酸、烷基芳基膦酸、 聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚乙氧基化脫水山梨糖醇、脫水山梨糖醇、聚矽氧聚合物、經改質之聚矽氧聚合物、炔屬二醇、經改質之炔屬二醇、烷基銨鹽、經改質之烷基銨鹽、十二烷基硫酸鈉、全氟聚醚界面活性劑、2-磺基琥珀酸酯鹽、基於磷酸鹽之界面活性劑、基於硫之界面活性劑、基於乙醯乙酸酯之聚合物、TRITON X-100、Pluronic F0127、及其組合。 A cleaning composition according to claim 6 which comprises at least one surfactant selected from the group consisting of SURFONYL® 104, TRITON® CF-21, TRITON® CF-10, TRITON® X-100 , ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad Fluorosurfactant, Dioctylsulfosuccinate, 2,3-Dimercapto-1-propanesulfonate, Polyethylene Alcohol, polypropylene glycol, polyethylene glycol ether, polypropylene glycol ether, carboxylate, alkylbenzenesulfonic acid, amphiphilic fluoropolymer, C 8 -C 18 alkyl phosphate ether, carboxylate, dodecane Benzobenzenesulfonic acid, alkyl arylphosphonic acid, polyacrylate polymer, diphenyl phenyl polyoxyethylene, polyethoxylated sorbitan, sorbitan, polyoxyl polymer, modified Polyoxynized polymer, acetylenic diol, modified acetylenic diol, alkylammonium salt, modified alkyl ammonium salt, sodium lauryl sulfate, perfluoropolyether surfactant, 2-sulfosuccinate, phosphate-based surfactant, sulfur-based surfactant, acetamidine acetate-based polymer, TRITON X-100, Pluronic F012 7, and its combination. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,該清潔組成物實質上不含胺及含銨鹽;氧化劑;含氟化物來源;研磨材料;交聯有機聚合物顆粒;及其組合。 The cleaning composition according to any one of claims 1 to 3, wherein the cleaning composition is substantially free of an amine and an ammonium salt; an oxidizing agent; a fluoride containing source; an abrasive material; and a crosslinked organic polymer particle. ; and its combination. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,該清潔組成物將不會固化形成聚合固體。 The cleaning composition of any one of claims 1 to 3, wherein the cleaning composition will not solidify to form a polymeric solid. 如申請專利範圍第1至3項中任一項之清潔組成物,其進一步包含殘留物及污染物,其中該殘留物包含化學機械研磨(CMP)後殘留物、蝕刻後殘留物、灰化後殘留物、或其組合。 The cleaning composition according to any one of claims 1 to 3, further comprising a residue and a contaminant, wherein the residue comprises a residue after chemical mechanical polishing (CMP), a residue after etching, and after ashing Residue, or a combination thereof. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,pH係大於7。 The cleaning composition according to any one of claims 1 to 3, wherein the pH system is greater than 7. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,若該至少一種非胺pH調節劑/緩衝劑包括鏻離子且該組成物進一步包括至少一種膦酸根離子、水、及至少一種界面活性劑,則該組成物必需進一步包括至少一種非膦酸根離子錯合劑、至少一種非膦酸鹽腐蝕抑制劑、至少一種非水溶劑合劑、或其任何組合。 The cleaning composition according to any one of claims 1 to 3, wherein the at least one non-amine pH adjuster/buffering agent comprises cerium ions and the composition further comprises at least one phosphonate ion, water, and At least one surfactant, the composition must further comprise at least one non-phosphonate ion interlinker, at least one non-phosphonate corrosion inhibitor, at least one non-aqueous solvent mixture, or any combination thereof. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,若該至少一種非胺pH調節劑/緩衝劑包括鏻離子且該組成物進一步包括至少一種膦酸根離子、水、及至少一種界面活性劑,則該組 成物必需進一步包括將氮原子引入至配方中之組分,其中該將氮原子引入至配方中之組分包括含氮酸、含氮鹼或任何其他會將氮原子引入至組成物中之組分或化合物。 The cleaning composition according to any one of claims 1 to 3, wherein the at least one non-amine pH adjuster/buffering agent comprises cerium ions and the composition further comprises at least one phosphonate ion, water, and At least one surfactant, then the group The composition must further comprise a component that introduces a nitrogen atom into the formulation, wherein the component that introduces the nitrogen atom into the formulation comprises a nitrogen-containing acid, a nitrogen-containing base, or any other group that introduces a nitrogen atom into the composition. Fraction or compound. 如申請專利範圍第1至3項中任一項之清潔組成物,其中,該組成物係以約5:1至約200:1之範圍稀釋。 The cleaning composition of any one of claims 1 to 3, wherein the composition is diluted in a range of from about 5:1 to about 200:1. 如申請專利範圍第16項之清潔組成物,其中,該稀釋劑包含水。 The cleaning composition of claim 16, wherein the diluent comprises water. 一種自其上具有殘留物及污染物之微電子裝置移除該等殘留物及污染物之方法,該方法包括使該微電子裝置與清潔組成物接觸足夠的時間以自該微電子裝置至少部分清除該等殘留物及污染物,其中該清潔組成物包含至少一種非胺pH調節劑/緩衝劑及至少一種溶劑合劑。 A method of removing such residues and contaminants from a microelectronic device having residues and contaminants thereon, the method comprising contacting the microelectronic device with a cleaning composition for a time sufficient to at least partially from the microelectronic device The residue and contaminants are removed, wherein the cleaning composition comprises at least one non-amine pH adjuster/buffer and at least one solvent mixture. 如申請專利範圍第18項之方法,其中,該至少一種非胺pH調節劑/緩衝劑包括具有式R1R2R3R4POH之鏻物質,其中R1、R2、R3及R4係彼此相同或不同且係氫、C1-C6烷基、經取代之C6-C10芳基、及未經取代之C6-C10芳基。 The method of claim 18, wherein the at least one non-amine pH adjuster/buffer comprises a hydrazine having the formula R 1 R 2 R 3 R 4 POH, wherein R 1 , R 2 , R 3 and R 4 is the same or different from each other and is hydrogen, C 1 -C 6 alkyl, substituted C 6 -C 10 aryl, and unsubstituted C 6 -C 10 aryl. 如申請專利範圍第18項之方法,其中,該至少一種非胺pH調節劑/緩衝劑包括選自由下列所組成之群之物質:氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯鏻、氫氧化甲基三苯鏻、氫氧化乙基三苯鏻、氫氧化N-丙基三苯鏻、氫氧化肆(羥甲基)鏻、及其組合,較佳為氫氧化四丁基鏻。 The method of claim 18, wherein the at least one non-amine pH adjuster/buffer comprises a substance selected from the group consisting of tetrabutylphosphonium hydroxide (TBPH), tetramethylhydrazine hydroxide , tetraethyl hydrazine hydroxide, tetrapropyl hydrazine hydroxide, benzyl triphenyl hydrazine hydroxide, methyl triphenyl hydrazine hydroxide, ethyl triphenyl hydrazine hydroxide, N-propyl triphenyl hydrazine hydroxide, hydrogen Cerium oxide (hydroxymethyl) hydrazine, and combinations thereof, are preferably tetrabutylphosphonium hydroxide. 如申請專利範圍第19或20項之方法,其進一步包括氫氧化鉀、氫氧化銫、或氫氧化銣。 The method of claim 19 or 20, further comprising potassium hydroxide, barium hydroxide, or barium hydroxide. 如申請專利範圍第18至20項中任一項之方法,其中,該至少一種溶劑合劑包括選自由下列所組成之群之物質:水、乙二醇、丙二醇、新戊二醇、甘油、二甘醇、二丙二醇、1,4-丁二醇、2,3-丁二醇、1,3-戊二醇、1,4-戊二醇、1,5-戊二醇、四亞甲碸(環丁碸)、二甲碸、二乙碸、雙(2-羥乙基)碸、甲基環丁碸、乙基環丁碸、1,2-羥乙基吡咯啶酮、及其組合,較佳為水及/或環丁碸。 The method of any one of claims 18 to 20, wherein the at least one solvent mixture comprises a substance selected from the group consisting of water, ethylene glycol, propylene glycol, neopentyl glycol, glycerin, Glycol, dipropylene glycol, 1,4-butanediol, 2,3-butanediol, 1,3-pentanediol, 1,4-pentanediol, 1,5-pentanediol, tetramethylene guanidine (cyclobutane), dimethylhydrazine, diethyl hydrazine, bis(2-hydroxyethyl) hydrazine, methylcyclobutyl hydrazine, ethylcyclobutyl hydrazine, 1,2-hydroxyethyl pyrrolidone, and combinations thereof Preferably, it is water and/or cyclobutyl hydrazine. 如申請專利範圍第18至20項中任一項之方法,其中,該組成物進一步包含至少一種錯合劑、至少一種界面活性劑、及至少一種腐蝕抑制劑中之至少一者。 The method of any one of claims 18 to 20, wherein the composition further comprises at least one of at least one of a binder, at least one surfactant, and at least one corrosion inhibitor. 如申請專利範圍第23項之方法,其中,該組成物包含該至少一種錯合劑,其中該至少一種錯合劑包括選自由下列所組成之群之物質:乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、甘胺酸、抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、丙胺酸、精胺酸、天冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、麩胺醯胺、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、五倍子酸、硼酸、乙酸、丙酮肟、丙烯酸、己二酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、戊二酸、甘油酸、羥乙酸、乙醛酸、間苯二甲酸、衣康酸、乳酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、酞酸、脯胺酸、丙酸、鄰苯二酚、苯均四酸、金雞納酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、偏苯三甲酸、對稱苯三甲酸、酪胺酸、木醣醇、1,5,9-三吖環十二烷-N,N’,N”-參(亞甲基膦酸) (DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基參(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、1-羥亞乙基-1,1-二膦酸(HEDP)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-參(亞甲基膦酸)(NOTP)、二硫甘油、1,2-丙二硫醇、1,2-二巰丙烷、其鹽及衍生物、及其組合,較佳為HEDP、酒石酸、半胱胺酸、或其任何組合。 The method of claim 23, wherein the composition comprises the at least one complexing agent, wherein the at least one complexing agent comprises a substance selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), 1, 2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), 4-(2-hydroxyethyl) Porphyrin (HEM), N-amine ethylpipe (N-AEP), glycine, ascorbic acid, imine diacetic acid (IDA), 2-(hydroxyethyl)imine diacetic acid (HIDA), nitrogen triacetic acid, alanine, arginine, aspartate Aminic acid, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, guanidine Aminic acid, serine, threonine, tryptophan, tyrosine, valine, gallic acid, boric acid, acetic acid, acetone oxime, acrylic acid, adipic acid, betaine, dimethylglyoxime, formic acid , fumaric acid, gluconic acid, glutaric acid, glyceric acid, glycolic acid, glyoxylic acid, isophthalic acid, itaconic acid, lactic acid, maleic acid, maleic anhydride, malic acid, Malonic acid, phenylglycolic acid, 2,4-pentanedione, phenylacetic acid, citric acid, valine acid, propionic acid, catechol, pyromellitic acid, cinchonaic acid, sorbitol, succinic acid , tartaric acid, terephthalic acid, trimellitic acid, symmetrical trimellitic acid, tyrosine, xylitol, 1,5,9-trianthrane-dodecane-N, N', N"-parameter (Asia Methylphosphonic acid) (DOTRP), 1,4,7,10-tetracyclic ring twelve -N,N',N",N'"-肆 (methylene phosphonic acid) (DOTP), nitrogen-based (methylene) triphosphonic acid, diethylenetriamine penta (methylene phosphonic acid) (DETAP), Aminotris (methylenephosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis(hexamethylene)triaminephosphonic acid, 1,4,7 - trioxocyclodecane-N,N',N"-gin (methylene phosphonic acid) (NOTP), dithioglycerol, 1,2-propanedithiol, 1,2-dioxane, its salt And derivatives, and combinations thereof, preferably HEDP, tartaric acid, cysteine, or any combination thereof. 如申請專利範圍第23項之方法,其中,該組成物包含該至少一種腐蝕抑制劑,其中該至少一種腐蝕抑制劑包括選自由下列所組成之群之物質:正十二烷基膦酸、4-甲基吡唑、吡唑、2-胺基噻唑、2-胺基-1,3,4-噻二唑、5-胺基-1H-四唑、1,2,4-三唑、2-巰基苯并咪唑(MBI)、4-甲基-2-苯基咪唑、咪唑、喋呤、嘧啶、吡、胞嘧啶、嗒、1H-吡唑-3-羧酸、1H-吡唑-4-羧酸、3-胺基-5-羥基-1H-吡唑、3-胺基-5-甲基-1H-吡唑、3-胺基-5-第三丁基-1H-吡唑、2-胺基-甲基噻唑、2-巰基噻唑、2,5-二巰基-1,3,4-噻二唑、2-巰基-5-甲基-1,3,4-噻二唑、2-胺基噻唑-5-甲腈、2-胺基噻唑-5-甲醛、2-胺基噻唑-4-羧酸乙酯、N-核糖苷基嘌呤、腺苷、鳥苷、2-胺基嘌呤核糖苷、2-甲氧基腺苷、N-甲基腺苷(C11H15N5O4)、N,N-二甲基腺苷(C12H17N5O4)、三甲基化腺苷(C13H19N5O4)、三甲基N-甲基腺苷(C14H21N5O4)、C-4’-甲基腺苷、3-去氧腺苷;腺嘌呤(C5H5N5)、甲基化腺嘌呤(例如,N-甲基-7H-嘌呤-6-胺,C6H7N5)、二甲基化腺嘌呤、N4,N4-二甲基嘧啶-4,5,6-三胺(C6H11N5)、4,5,6-三胺基嘧啶、尿囊素(C4H6N4O3)、羥基化C-O-O-C二聚物((C5H4N5O2)2)、C-C橋連二聚物、核糖(C5H10O5)、甲基化核糖、四甲基化核糖、木糖、葡萄糖、嘌呤、鳥嘌呤、次黃嘌呤、黃嘌呤、可可鹼、咖啡因、尿酸、 異鳥嘌呤、三胺基嘧啶、及其組合。 The method of claim 23, wherein the composition comprises the at least one corrosion inhibitor, wherein the at least one corrosion inhibitor comprises a substance selected from the group consisting of n-dodecylphosphonic acid, 4 -methylpyrazole, pyrazole, 2-aminothiazole, 2-amino-1,3,4-thiadiazole, 5-amino-1H-tetrazole, 1,2,4-triazole, 2 - mercaptobenzimidazole (MBI), 4-methyl-2-phenylimidazole, imidazole, indole, pyrimidine, pyridyl Cytosine, guanidine , 1H-pyrazole-3-carboxylic acid, 1H-pyrazole-4-carboxylic acid, 3-amino-5-hydroxy-1H-pyrazole, 3-amino-5-methyl-1H-pyrazole, 3-amino-5-t-butyl-1H-pyrazole, 2-amino-methylthiazole, 2-mercaptothiazole, 2,5-dimercapto-1,3,4-thiadiazole, 2- Mercapto-5-methyl-1,3,4-thiadiazole, 2-aminothiazole-5-carbonitrile, 2-aminothiazole-5-carboxaldehyde, 2-aminothiazole-4-carboxylic acid ethyl ester , N-ribosyl guanidine, adenosine, guanosine, 2-aminopurine riboside, 2-methoxyadenosine, N-methyladenosine (C 11 H 15 N 5 O 4 ), N, N -Dimethyladenosine (C 12 H 17 N 5 O 4 ), trimethylated adenosine (C 13 H 19 N 5 O 4 ), trimethyl N-methyladenosine (C 14 H 21 N 5 O 4 ), C-4 '-methyladenosine, 3-deoxyadenosine; adenine (C 5 H 5 N 5 ), methylated adenine (for example, N-methyl-7H-嘌呤-6 -amine, C 6 H 7 N 5 ), dimethylated adenine, N4, N4-dimethylpyrimidine-4,5,6-triamine (C 6 H 11 N 5 ), 4,5,6- Triaminopyrimidine, allantoin (C 4 H 6 N 4 O 3 ), hydroxylated COOC dimer ((C 5 H 4 N 5 O 2 ) 2 ), CC bridged dimer, ribose (C 5 H 10 O 5 ), methylated ribose, tetramethylated ribose, xylose, glucose , cockroaches, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, isoguanine, triaminopyrimidine, and combinations thereof. 如申請專利範圍第23項之方法,其中,該組成物包含選自由下列所組成之群之該至少一種界面活性劑:SURFONYL® 104、TRITON® CF-21、TRITON® CF-10、TRITON® X-100、ZONYL® UR、ZONYL® FSO-100、ZONYL® FSN-100、3M Fluorad氟界面活性劑、二辛基磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸鹽、烷基苯磺酸、兩親性氟聚合物、C8-C18烷基磷酸酯醚、羧酸鹽、十二烷基苯磺酸、烷基芳基膦酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚乙氧基化脫水山梨糖醇、脫水山梨糖醇、聚矽氧聚合物、經改質之聚矽氧聚合物、炔屬二醇、經改質之炔屬二醇、烷基銨鹽、經改質之烷基銨鹽、十二烷基硫酸鈉、全氟聚醚界面活性劑、2-磺基琥珀酸酯鹽、基於磷酸鹽之界面活性劑、基於硫之界面活性劑、基於乙醯乙酸酯之聚合物、TRITON X-100、Pluronic F0127、及其組合。 The method of claim 23, wherein the composition comprises the at least one surfactant selected from the group consisting of SURFONYL® 104, TRITON® CF-21, TRITON® CF-10, TRITON® X -100, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactant, dioctyl sulfosuccinate, 2,3-dimercapto-1-propane sulfonate, poly Ethylene glycol, polypropylene glycol, polyethylene glycol ether, polypropylene glycol ether, carboxylate, alkylbenzenesulfonic acid, amphiphilic fluoropolymer, C 8 -C 18 alkyl phosphate ether, carboxylate, ten Dialkyl benzene sulfonic acid, alkyl aryl phosphonic acid, polyacrylate polymer, diphenyl phenyl polyoxyethylene, polyethoxylated sorbitan, sorbitan, polyoxyl polymer, Modified polyoxyl polymer, acetylene diol, modified acetylenic diol, alkyl ammonium salt, modified alkyl ammonium salt, sodium lauryl sulfate, perfluoropolyether interface activity Agent, 2-sulfosuccinate salt, phosphate-based surfactant, sulfur-based surfactant, acetamidine acetate-based polymer, TRITON X-100, Plur Onic F0127, and combinations thereof. 如申請專利範圍第18至20項中任一項之方法,其中,該清潔組成物實質上不含胺及含銨鹽;氧化劑;含氟化物來源;研磨材料;交聯有機聚合物顆粒;及其組合。 The method of any one of claims 18 to 20, wherein the cleaning composition is substantially free of amines and ammonium salts; an oxidizing agent; a fluoride containing source; an abrasive material; crosslinked organic polymer particles; Its combination. 如申請專利範圍第18至20項中任一項之方法,其中,該清潔組成物將不會固化形成聚合固體。 The method of any one of claims 18 to 20, wherein the cleaning composition will not cure to form a polymeric solid. 如申請專利範圍第18至20項中任一項之方法,其中,該組成物進一步包含殘留物及污染物,其中該殘留物包含CMP後殘留物、蝕刻後殘留物、灰化後殘留物、或其組合。 The method of any one of claims 18 to 20, wherein the composition further comprises a residue and a contaminant, wherein the residue comprises a residue after CMP, a residue after etching, a residue after ashing, Or a combination thereof. 如申請專利範圍第18至20項中任一項之方法,其中,該組成物之pH係大於7。 The method of any one of claims 18 to 20, wherein the pH of the composition is greater than 7. 如申請專利範圍第18至20項中任一項之方法,其中,若該至少一種非胺pH調節劑/緩衝劑包括鏻離子且該組成物進一步包括至少一種膦酸根離子、水、及至少一種界面活性劑,則該組成物必需進一步包括至少一種非膦酸根離子錯合劑、至少一種非膦酸鹽腐蝕抑制劑、至少一種非水溶劑合劑、或其任何組合。 The method of any one of claims 18 to 20, wherein the at least one non-amine pH adjuster/buffer comprises strontium ions and the composition further comprises at least one phosphonate ion, water, and at least one The surfactant, the composition must further comprise at least one non-phosphonate ion intercalator, at least one non-phosphonate corrosion inhibitor, at least one non-aqueous solvent mixture, or any combination thereof. 如申請專利範圍第18至20項中任一項之方法,其中,若該至少一種非胺pH調節劑/緩衝劑包括鏻離子且該組成物進一步包括至少一種膦酸根離子、水、及至少一種界面活性劑,則該組成物必需進一步包括將氮原子引入至配方中之組分,其中該將氮原子引入至配方中之組分包括含氮酸、含氮鹼或任何其他會將氮原子引入至組成物中之組分或化合物。 The method of any one of claims 18 to 20, wherein the at least one non-amine pH adjuster/buffer comprises strontium ions and the composition further comprises at least one phosphonate ion, water, and at least one The surfactant, the composition must further comprise a component that introduces a nitrogen atom into the formulation, wherein the component that introduces the nitrogen atom into the formulation comprises a nitrogenous acid, a nitrogenous base or any other that introduces a nitrogen atom To a component or compound in the composition. 如申請專利範圍第18至20項中任一項之方法,其中,該組成物係以約5:1至約200:1之範圍稀釋。 The method of any one of claims 18 to 20, wherein the composition is diluted in the range of from about 5:1 to about 200:1. 如申請專利範圍第33項之方法,其中,該稀釋劑包含水。 The method of claim 33, wherein the diluent comprises water.
TW104102984A 2014-01-29 2015-01-29 Post chemical mechanical polishing formulations and method of use TWI671395B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461933010P 2014-01-29 2014-01-29
US61/933,010 2014-01-29

Publications (2)

Publication Number Publication Date
TW201542808A true TW201542808A (en) 2015-11-16
TWI671395B TWI671395B (en) 2019-09-11

Family

ID=53757685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104102984A TWI671395B (en) 2014-01-29 2015-01-29 Post chemical mechanical polishing formulations and method of use

Country Status (2)

Country Link
TW (1) TWI671395B (en)
WO (1) WO2015116679A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN107229192B (en) * 2017-07-25 2019-05-10 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, preparation method and application
CN107229194B (en) * 2017-07-25 2019-05-10 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, preparation method and application
CN107300839B (en) * 2017-07-25 2019-06-07 上海新阳半导体材料股份有限公司 A kind of fluorine-containing plasma etching residue cleaning, preparation method and application
CN114561020B (en) * 2022-02-28 2023-06-02 上海健康医学院 Metal-organic framework-Cu nano material for electrochemical sensor and preparation method and application thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
JP2015512971A (en) * 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド Post-CMP removal using composition and method of use

Also Published As

Publication number Publication date
WO2015116679A1 (en) 2015-08-06
TWI671395B (en) 2019-09-11

Similar Documents

Publication Publication Date Title
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
JP6133959B2 (en) Copper cleaning and protection compound
TWI726859B (en) Post chemical mechanical polishing formulations and method of use
KR101561708B1 (en) New antioxidants for post-cmp cleaning formulations
TWI671395B (en) Post chemical mechanical polishing formulations and method of use
EP2768920A1 (en) Non-amine post-cmp composition and method of use