TW201526130A - Integrated use of model-based metrology and a process model - Google Patents

Integrated use of model-based metrology and a process model Download PDF

Info

Publication number
TW201526130A
TW201526130A TW102147330A TW102147330A TW201526130A TW 201526130 A TW201526130 A TW 201526130A TW 102147330 A TW102147330 A TW 102147330A TW 102147330 A TW102147330 A TW 102147330A TW 201526130 A TW201526130 A TW 201526130A
Authority
TW
Taiwan
Prior art keywords
model
measurement
parameter values
parameters
target
Prior art date
Application number
TW102147330A
Other languages
Chinese (zh)
Other versions
TWI631636B (en
Inventor
Alexander Kuznetsov
Andrei V Shchegrov
Stilian Ivanov Pandev
Original Assignee
Kla Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/107,850 external-priority patent/US10769320B2/en
Application filed by Kla Tencor Corp filed Critical Kla Tencor Corp
Publication of TW201526130A publication Critical patent/TW201526130A/en
Application granted granted Critical
Publication of TWI631636B publication Critical patent/TWI631636B/en

Links

Abstract

Methods and systems for performing measurements based on a measurement model integrating a metrology-based target model with a process-based target model. Systems employing integrated measurement models may be used to measure structural and material characteristics of one or more targets and may also be used to measure process parameter values. A process-based target model may be integrated with a metrology-based target model in a number of different ways. In some examples, constraints on ranges of values of metrology model parameters are determined based on the process-based target model. In some other examples, the integrated measurement model includes the metrology-based target model constrained by the process-based target model. In some other examples, one or more metrology model parameters are expressed in terms of other metrology model parameters based on the process model. In some other examples, process parameters are substituted into the metrology model.

Description

以模型為基礎之量測及一製程模型的整合使用 Model-based measurement and integration of a process model 相關申請案之交叉參考Cross-reference to related applications

本專利申請案根據35 U.S.C.§119主張2012年12月18日申請之名為「Method for Integrated Use of Model-based Metrology and a Process Model」之美國臨時專利申請案第61/738,760號之優先權,該案之標的之全文以引用的方式併入本文中。 The present patent application claims priority to U.S. Provisional Patent Application Serial No. 61/738,760, filed on Dec. The subject matter of this patent is incorporated herein by reference in its entirety.

所描述之實施例係關於度量系統及方法,且更特定而言係關於用於經改良參數量測之方法及系統。 The described embodiments relate to metrology systems and methods, and more particularly to methods and systems for improved parametric measurements.

通常藉由應用至一樣品之一序列處理步驟製造諸如邏輯及記憶體裝置之半導體裝置。藉由此等處理步驟形成半導體裝置之多種特徵及多個結構層。舉例而言,微影尤其係涉及在一半導體晶圓上產生一圖案之一半導體製造程序。半導體製造程序之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可在一單一半導體晶圓上經製造且接著經分離至個別半導體裝置。 Semiconductor devices such as logic and memory devices are typically fabricated by applying a sequence processing step to a sample. The various features of the semiconductor device and the plurality of structural layers are formed by such processing steps. For example, lithography relates in particular to a semiconductor fabrication process that produces a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. A plurality of semiconductor devices can be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.

在一半導體製造程序期間之多種步驟中使用量測製程以偵測晶圓上之缺陷以促進更高良率。光學量測技術提供高生產量之可能性而無樣品破壞之風險。通常使用包含散射量測及反射量測實施之若干以光學量測為基礎之技術及相關聯之分析演算法以特徵化臨界尺寸、膜 厚度、組成及奈米結構之其他參數。 A metrology process is used in various steps during a semiconductor fabrication process to detect defects on the wafer to promote higher yields. Optical metrology technology offers the potential for high throughput without the risk of sample damage. Several optical-based techniques and associated analysis algorithms, including scatterometry and reflectance measurements, are typically used to characterize critical dimensions, membranes Thickness, composition and other parameters of the nanostructure.

傳統地,在由薄膜及/或重複週期結構組成之目標上執行光學量測。在裝置製造期間,此等膜及週期結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)朝向更小奈米比例尺寸移動,特徵化變得更困難。併入複雜三維幾何形狀及具有多種物理性質之材料之裝置造成特徵化困難。 Traditionally, optical metrology is performed on targets consisting of thin films and/or repetitive periodic structures. Such film and periodic structures generally represent actual device geometry and material structure or an intermediate design during device fabrication. As devices (eg, logic and memory devices) move toward smaller nanoscale sizes, characterization becomes more difficult. Devices incorporating complex three-dimensional geometries and materials with a variety of physical properties create characterization difficulties.

舉例而言,現代記憶體結構通常係使光學輻射難以穿透至底層之高縱橫比三維結構。另外,特徵化複雜結構(例如,FinFET)所需之參數之逐漸增加之數目導致逐漸增加之參數相關性。結果,特徵化目標之量測模型參數通常無法可靠地解耦合。 For example, modern memory structures are typically three-dimensional structures that make it difficult for optical radiation to penetrate to the underlying high aspect ratio. In addition, the gradual increase in the number of parameters required to characterize a complex structure (e.g., FinFET) results in a gradual increase in parameter correlation. As a result, the measurement model parameters of the characterization target are often not reliably decoupled.

回應於此等挑戰,已開發更複雜光學工具。在一大範圍之若干機器參數(例如,波長、入射之方位及角度等)上方(且通常同時)執行量測。結果,量測時間、計算時間及產生可靠結果之總時間(包含量測配方)顯著增加。另外,大波長範圍上之光強度之擴展減小在任何特定波長處之照明強度且增加在該波長處執行之量測之單一非確定性。 In response to these challenges, more complex optical tools have been developed. The measurement is performed above (and usually simultaneously) a number of machine parameters (eg, wavelength, incident orientation and angle, etc.) over a wide range. As a result, the measurement time, the calculation time, and the total time to produce reliable results (including the measurement recipe) increased significantly. In addition, the spread of light intensity over a large wavelength range reduces the illumination intensity at any particular wavelength and increases the single non-determinism of the measurements performed at that wavelength.

歸因於逐漸增加之小解析度要求、多參數相關性、逐漸複雜之幾何結構及非透明材料之逐漸增加之使用,進一步量測應用呈現對於量測之挑戰。因此,需要針對經改良量測之方法及系統。 Due to the increasing small resolution requirements, multi-parameter correlations, increasingly complex geometries, and the increasing use of non-transparent materials, further measurement applications present challenges for measurement. Therefore, there is a need for methods and systems for improved metrology.

本發明呈現用於基於整合一以製程為基礎之目標模型及一以量測為基礎之目標模型最佳化量測模型之方法及系統。藉由整合一以量測為基礎之目標模型及一以製程為基礎之目標模型以改良量測模型及製程模型之一者或兩者之預測性結果。 The present invention presents methods and systems for integrating a process-based target model and a measurement-based target model optimization measurement model. By integrating a measurement-based target model and a process-based target model to improve the predictive outcome of one or both of the measurement model and the process model.

在一態樣中,使用採用整合量測模型之系統以量測一或多個目標之結構及材料特徵(例如,材料組成、結構及膜之尺寸特徵等)。在 另一態樣中,使用採用整合量測模型之量測系統以直接量測製程參數值。 In one aspect, a system employing an integrated metrology model is used to measure the structural and material characteristics of one or more targets (eg, material composition, structure, and dimensional characteristics of the film, etc.). in In another aspect, a measurement system employing an integrated measurement model is used to directly measure process parameter values.

一以製程為基礎之目標模型可與一以量測為基礎之目標模型整合以依若干不同方式產生一整合量測模型。 A process-based target model can be integrated with a measurement-based target model to produce an integrated measurement model in a number of different ways.

在一些實例中,基於以製程為基礎之目標模型判定對量測模型參數之值之範圍之限制。更具體而言,基於製程模型參數之可達成值之範圍判定該等限制。 In some examples, a limit to the range of values of the measurement model parameters is determined based on the process-based target model. More specifically, the limits are determined based on the range of achievable values of the process model parameters.

在一些其他實例中,整合量測模型包含藉由以製程為基礎之目標模型限制之以量測為基礎之目標模型。此減小與整合量測模型相關聯之解決方案空間之大小。以此方式,對以量測為基礎之目標模型參數之以製程為基礎之限制組係藉由以製程為基礎之目標模型而界定且經應用至以量測為基礎之目標模型。 In some other examples, the integrated measurement model includes a measurement-based target model that is limited by a process-based target model. This reduces the size of the solution space associated with the integrated measurement model. In this way, the process-based restriction set of the measurement-based target model parameters is defined by the process-based target model and applied to the measurement-based target model.

在一些其他實例中,基於製程模型根據其他量測模型參數表示一或多個量測模型參數。此減小整合量測模型之浮動參數之總數目且減小參數相關性。歸因於限於由製造程序容許之目標變動之一較小搜尋空間,此亦增加適配引擎(例如,迴歸引擎)之效率及穩健性。 In some other examples, one or more measurement model parameters are represented based on other measurement model parameters based on the process model. This reduces the total number of floating parameters of the integrated measurement model and reduces the parameter correlation. This also increases the efficiency and robustness of the adaptation engine (eg, regression engine) due to the smaller search space limited to one of the target changes allowed by the manufacturing process.

在一些其他實例中,將製程參數代入量測模型。接著使用整合量測模型將此等製程參數解析為量測資料之分析之部分。以此方式,整合量測模型之參數化包含以製程為基礎之變數且直接自量測信號判定製程參數值。 In some other examples, process parameters are substituted into the metrology model. These process parameters are then parsed into part of the analysis of the measured data using an integrated measurement model. In this way, the parameterization of the integrated measurement model includes process-based variables and directly determines the process parameter values from the measurement signals.

在一些實例中,一整合量測模型用於相繼量測製程參數值。整合量測模型包含用作一量測分析之部分之一以量測為基礎之目標模型以自量測資料判定幾何參數值。整合量測模型亦包含一以製程為基礎之模型以自幾何參數值判定製程參數值。 In some examples, an integrated measurement model is used to sequentially measure process parameter values. The integrated measurement model includes one of the components used as a measurement analysis. The measurement-based target model determines the geometric parameter values from the measurement data. The integrated measurement model also includes a process-based model to determine process parameter values from geometric parameter values.

在另一態樣中,可基於量測模型改良製程模型。在一些實例中,使用自量測模型獲得之資訊改良一製程模型之校準。在一實例 中,幾何輪廓與製程變動之間之預特徵化關係可用於處理配方產生。另外,可依最佳化量測模型之一方式校準製程模型。 In another aspect, the process model can be improved based on the measurement model. In some examples, the information obtained using the self-measurement model improves the calibration of a process model. In an instance The pre-characteristic relationship between geometric contours and process variations can be used to process recipe generation. In addition, the process model can be calibrated in one of the optimized measurement models.

在又一態樣中,可自一以製程為基礎之目標模型完全或部分組裝整合量測模型。 In yet another aspect, the integrated measurement model can be fully or partially assembled from a process-based target model.

在又一態樣中,可在多目標模型化之內容中採用所揭示之方法及系統。在一些實例中,整合量測模型容許其中使用量測模型參數解析一些目標同時使用製程參數解析其他目標之多個目標之組合分析。另外,可使用自一製程模型導出之限制以鏈接不同目標之參數。 In yet another aspect, the disclosed methods and systems can be employed in the context of multi-objective modeling. In some examples, the integrated metrology model allows for a combined analysis in which multiple targets are resolved using the metrology model parameters while parsing some of the targets using process parameters. In addition, restrictions derived from a process model can be used to link parameters for different targets.

在又一態樣中,一跨晶圓製程變動模型可與目標結構之以製程為基礎之模型組合。 In yet another aspect, a cross-wafer process variation model can be combined with a process-based model of the target structure.

在又一態樣中,可使用整合量測模型以提供主動回饋至一處理工具(例如,微影工具、蝕刻工具、沈積工具等)。舉例而言,使用一整合量測模型判定之深度值及聚焦參數可通信至微影工具以調整微影系統以達成一所要輸出。以一相似方式,蝕刻參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於整合量測模型中以各自提供主動回饋至蝕刻工具或沈積工具。 In yet another aspect, an integrated metrology model can be used to provide active feedback to a processing tool (eg, lithography tools, etching tools, deposition tools, etc.). For example, the depth value and focus parameters determined using an integrated metrology model can be communicated to the lithography tool to adjust the lithography system to achieve a desired output. In a similar manner, etch parameters (eg, etch time, diffusivity, etc.) or deposition parameters (eg, time, concentration, etc.) can be included in the integrated metrology model to each provide active feedback to the etch tool or deposition tool.

上述係一歸納且因此必須包含細節之簡化、一般化及省略;因此,熟習此項技術者將瞭解該歸納僅係闡釋性而非以任何方式限制。在本文中描述之裝置及/或製程之其他態樣、發明特徵及優勢將在本文中闡述之非限制性細節描述中變得顯而易見。 The above is a summary of the present invention, and therefore, it should be understood that the invention is to be construed as illustrative and not limiting. Other aspects, features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting Detailed Description.

10‧‧‧俯視圖 10‧‧‧Top view

20‧‧‧側視圖 20‧‧‧ side view

30‧‧‧側視圖 30‧‧‧ side view

31‧‧‧區域 31‧‧‧Area

40‧‧‧俯視圖 40‧‧‧Top view

41‧‧‧區域 41‧‧‧Area

50‧‧‧等值線圖 50‧‧‧ contour map

51‧‧‧空白區域 51‧‧‧Blank area

60‧‧‧等值線圖 60‧‧‧ contour map

61‧‧‧空白區域 61‧‧‧Blank area

70‧‧‧等值線圖 70‧‧‧ contour map

71‧‧‧空白區域 71‧‧‧Blank area

80‧‧‧表 80‧‧‧Table

90‧‧‧圖式 90‧‧‧ schema

100‧‧‧表 100‧‧‧Table

110‧‧‧表 110‧‧‧Table

120‧‧‧圖式 120‧‧‧ schema

121‧‧‧線 121‧‧‧ line

122‧‧‧線 122‧‧‧ line

130‧‧‧圖式 130‧‧‧ schema

131‧‧‧線 131‧‧‧ line

132‧‧‧線 132‧‧‧ line

140‧‧‧圖式 140‧‧‧ schema

141‧‧‧線 Line 141‧‧

142‧‧‧線 142‧‧‧ line

150‧‧‧圖式 150‧‧‧ schema

151‧‧‧線 Line 151‧‧

152‧‧‧線 Line 152‧‧

160‧‧‧圖式 160‧‧‧ schema

161‧‧‧線 161‧‧‧ line

162‧‧‧線 162‧‧‧ line

170‧‧‧圖式 170‧‧‧ schema

180‧‧‧圖式 180‧‧‧ schema

181‧‧‧線 181‧‧‧ line

182‧‧‧線 182‧‧‧ line

190‧‧‧圖式 190‧‧‧ schema

191‧‧‧線 Line 191‧‧

192‧‧‧線 192‧‧‧ line

200‧‧‧圖式 200‧‧‧ schema

210‧‧‧圖式 210‧‧‧ schema

220‧‧‧圖表 220‧‧‧ Chart

230‧‧‧圖表 230‧‧‧ Chart

300‧‧‧系統/量測系統 300‧‧‧System/Measuring System

301‧‧‧樣品 301‧‧‧sample

302‧‧‧照明器 302‧‧‧ illuminators

304‧‧‧光譜儀 304‧‧‧ Spectrometer

306‧‧‧偏光照明光束 306‧‧‧Polarized illumination beam

307‧‧‧偏光狀態產生器 307‧‧‧Polarized state generator

308‧‧‧光束 308‧‧‧ Beam

309‧‧‧偏光狀態分析器 309‧‧‧Polarized state analyzer

311‧‧‧光譜 311‧‧‧Spectrum

330‧‧‧計算系統 330‧‧‧Computation System

331‧‧‧處理器 331‧‧‧ processor

332‧‧‧記憶體 332‧‧‧ memory

333‧‧‧匯流排 333‧‧‧ busbar

334‧‧‧程式指令 334‧‧‧Program Instructions

340‧‧‧樣品參數/輸出信號 340‧‧‧sample parameters/output signals

400‧‧‧例示性方法 400‧‧‧ Illustrative method

500‧‧‧例示性方法 500‧‧‧ Illustrative method

圖1係繪示藉由微影製程模擬軟體模型化之一模擬3x3接觸孔洞陣列之一俯視圖10之一圖式。 FIG. 1 is a diagram showing a top view of one of the schematic 3x3 contact hole arrays by lithography process simulation software modeling.

圖2係繪示圖1中繪示之接觸孔洞陣列之一單一孔洞之一側視圖20之一圖式。 2 is a diagram showing a side view 20 of a single hole of one of the contact hole arrays illustrated in FIG. 1.

圖3係繪示各個接觸孔洞輪廓與曝光及聚焦深度參數值之一不同 組合相關聯之一接觸孔洞輪廓陣列之一側視圖30之一圖式。 Figure 3 shows that each contact hole profile is different from one of the exposure and focus depth parameter values. One of the side views 30 of one of the contact hole profile arrays associated with one of the combinations is combined.

圖4係繪示圖3中繪示之接觸孔洞陣列之一俯視圖40之一圖式。 FIG. 4 is a diagram showing a top view of one of the contact hole arrays illustrated in FIG.

圖5係繪示與圖3至圖4中繪示之與聚焦曝光矩陣結果之各者相關聯之臨界尺寸值之一等值線圖50。 5 is a contour map 50 of critical dimension values associated with each of the results of the focus exposure matrix illustrated in FIGS. 3-4.

圖6係繪示與圖3至圖4中繪示之聚焦曝光矩陣結果之各者相關聯之側壁角度值之一等值線圖60。 6 is a contour map 60 of sidewall angle values associated with each of the results of the focus exposure matrix illustrated in FIGS. 3-4.

圖7係繪示與圖3至圖4中繪示之聚焦曝光矩陣結果之各者相關聯之光阻損失值之一等值線圖70。 FIG. 7 illustrates one contour map 70 of photoresist loss values associated with each of the results of the focus exposure matrix illustrated in FIGS. 3-4.

圖8係繪示聚焦與曝光之間及臨界尺寸、高度與側壁角度之間之相關性之一表80。 Figure 8 is a table 80 showing the correlation between focus and exposure and between critical dimension, height and sidewall angle.

圖9係繪示在經受由二維光束輪廓反射計(2-D BPR)系統之量測之一氧化物層中之一孔洞之一簡化量測模型之一圖式90。 Figure 9 is a diagram 90 showing one of the simplified measurement models of one of the holes in an oxide layer subjected to measurement by a two-dimensional beam profile reflectometer (2-D BPR) system.

圖10係繪示與2-D BPR量測相關聯之CD與SWA之間之相關性之一表100。 Figure 10 is a table 100 showing the correlation between CD and SWA associated with 2-D BPR measurements.

圖11係繪示與2-D BPR量測相關聯之聚焦與曝光之間之相關性之一表110。 Figure 11 is a table 110 showing the correlation between focus and exposure associated with 2-D BPR measurements.

圖12A至圖12C各自繪示指示光阻損失、SWA及CD之2-D BPR量測之追蹤效能之圖式120、130及140。量測模型由光阻損失、SWA及CD參數化。 12A through 12C each illustrate patterns 120, 130, and 140 indicating tracking performance of photoresist loss, SWA, and CD 2-D BPR measurements. The measurement model is parameterized by photoresist loss, SWA and CD.

圖13A至圖13C各自繪示指示光阻損失、SWA及CD之2-D BPR量測之追蹤效能之圖式150、160及170。量測模型由光阻損失、SWA及CD參數化且由製程模型限制。 13A to 13C each illustrate patterns 150, 160, and 170 indicating tracking performance of photoresist loss, SWA, and 2-D BPR measurement of CD. The measurement model is parameterized by photoresist loss, SWA and CD and is limited by the process model.

圖14A至圖14B各自繪示指示與聚焦及曝光之2-D BPR量測相關聯之追蹤效能之圖式180及190。量測模型由聚焦及曝光參數化。 14A-14B each illustrate graphs 180 and 190 indicating tracking performance associated with 2-D BPR measurements of focus and exposure. The measurement model is parameterized by focus and exposure.

圖15係繪示由一離散10阱模型模型化以擷取製程變動引起之形狀變動之一結構之圖式200。 Figure 15 is a diagram 200 showing a structure of a shape change caused by a process variation modeled by a discrete 10-well model.

圖16係繪示圖15中繪示之結構之聚焦曝光矩陣模擬結果之一圖式210。 16 is a diagram 210 showing a result of a focus exposure matrix simulation of the structure illustrated in FIG.

圖17A係繪示用作呈現於製程變動(聚焦及曝光)中之製程資訊內容之一指示之一主要成份分析之結果之一圖表220。 Figure 17A is a diagram 220 showing one of the results of a primary component analysis used as one of the indications of process information presented in process variations (focus and exposure).

圖17B係繪示用作呈現於量測信號(例如,阿爾法、貝他)中之製程資訊內容之一指示之一主要成份分析之結果之一圖表230。 Figure 17B is a graph 230 showing one of the results of a primary component analysis used as one of the process information content presented in the measurement signal (e.g., alpha, beta).

圖18係繪示用於根據本文中呈現之例示性方法量測一樣品之特徵之一系統300之一圖式。 18 is a diagram of one of the systems 300 for measuring a feature of a sample in accordance with the exemplary methods presented herein.

圖19係繪示適合藉由本發明之量測系統300實施之一例示性方法400之一流程圖。 FIG. 19 is a flow chart showing one exemplary method 400 suitable for implementation by the metrology system 300 of the present invention.

圖20係繪示適合藉由本發明之量測系統300實施之一例示性方法500之一流程圖。 20 is a flow chart of one exemplary method 500 suitable for implementation by the metrology system 300 of the present invention.

現在將詳細參考先前技術實例及本發明之一些實施例,在隨附圖式中繪示本發明之實例。呈現用於基於整合一以製程為基礎之目標模型及一以量測為基礎之目標模型最佳化量測模型之方法及系統。藉由整合一以量測為基礎之目標模型及一以製程為基礎之目標模型以改良量測模型及製程模型之一者或兩者之預測性結果。 Reference will now be made in detail to the embodiments of the present invention A method and system for optimizing a measurement model based on an integration-based process-based target model and a measurement-based target model are presented. By integrating a measurement-based target model and a process-based target model to improve the predictive outcome of one or both of the measurement model and the process model.

一般而言,光學量測技術係量測在偵測下之一樣品之物理性質之非直接方法。在大多數情況中,經量測之光學信號不可用於直接判定所關注之物理性質。傳統上,量測製程係由調配試圖基於量測目標及特定量測系統之互動之一模型預測經量測之光學信號之一量測模型組成。以量測為基礎之目標模型包含根據所關注之量測目標之物理性質(例如,膜厚度、臨界尺寸、折射率、光柵間距等)之結構之一參數化。另外,以量測為基礎之目標模型包含量測工具自身(例如,波長、入射角、偏振角等)之一參數化。 In general, optical metrology techniques measure an indirect method of detecting the physical properties of one of the samples. In most cases, the measured optical signal is not available to directly determine the physical properties of interest. Traditionally, the metrology process consists of a measurement model that attempts to predict one of the measured optical signals based on one of the interactions between the measurement target and the particular measurement system. The measurement-based target model includes parameterization of one of the structures according to the physical properties of the measurement target (eg, film thickness, critical dimension, refractive index, grating pitch, etc.). In addition, the measurement-based target model includes parameterization of one of the measurement tools themselves (eg, wavelength, angle of incidence, polarization angle, etc.).

機器參數(Pmachine)係用於特徵化量測工具自身之參數。例示性機器參數包含入射角(AOI)、分析角(A0)、偏振角(Po)、照明波長、數值孔徑(NA)等。樣品參數(Pspecimen)係用於特徵化樣品之幾何及材料性質之參數。對於一薄膜樣品,例示性樣品參數包含折射率、介電函數張量、所有層之標稱層厚度、層序列等。 The machine parameter (P machine ) is used to characterize the parameters of the measurement tool itself. Exemplary machine parameters comprise angle of incidence (the AOI), Analysis angle (A 0), the angle of polarization (P o), illumination wavelength, numerical aperture (NA) and the like. The sample parameter (P specimen ) is used to characterize the geometry and material properties of the sample. For a film sample, exemplary sample parameters include refractive index, dielectric function tensor, nominal layer thickness of all layers, layer sequence, and the like.

為了量測目的,將機器參數作為已知固定參數處理且將樣品參數或樣品參數之一子集作為未知浮動參數處理。藉由產生理論預測與經量測資料之間之最佳配合之一適配製程(例如,迴歸、資料庫匹配等)解析浮動參數。變化未知樣品參數Pspecimen且計算模型輸出值直到判定導致模型輸出值與經量測值之間之一緊密匹配之一組樣品參數值。 For measurement purposes, machine parameters are treated as known fixed parameters and a subset of sample parameters or sample parameters are treated as unknown floating parameters. The floating parameters are resolved by an adaptation process (eg, regression, database matching, etc.) that produces an optimal fit between the theoretical predictions and the measured data. The unknown sample parameter P specimen is varied and the model output value is calculated until it is determined that one of the model output values closely matches one of the measured values to match a set of sample parameter values.

在許多情況中,樣品參數高度相關聯。此可導致以量測為基礎之目標模型的不穩定性。在一些情況中,此可藉由固定某些樣品參數來解析。然而,此通常導致剩餘參數之估計中的顯著錯誤。舉例而言,下伏層(例如,一半導體晶圓上之一半導體材料堆疊之氧化物基層)在一晶圓之表面上方非均勻厚。然而,為了減小參數相關性,將此等層處理為在晶圓之表面上方具有一固定厚度以建構量測模型。不幸地,此可導致其他參數之估計中的顯著錯誤。 In many cases, sample parameters are highly correlated. This can lead to instability of the target model based on measurement. In some cases, this can be resolved by fixing certain sample parameters. However, this usually results in a significant error in the estimation of the remaining parameters. For example, an underlying layer (eg, an oxide based layer of a semiconductor material stack on a semiconductor wafer) is non-uniformly thick over the surface of a wafer. However, to reduce parameter correlation, the layers are processed to have a fixed thickness above the surface of the wafer to construct a measurement model. Unfortunately, this can lead to significant errors in the estimation of other parameters.

在一態樣中,使用採用整合量測模型之系統以量測一或多個目標之結構及材料特徵(例如,材料組成、結構及膜之尺寸特徵等)。整合量測模型係基於整合一以製程為基礎之目標模型及一以量測為基礎之目標模型。 In one aspect, a system employing an integrated metrology model is used to measure the structural and material characteristics of one or more targets (eg, material composition, structure, and dimensional characteristics of the film, etc.). The integrated measurement model is based on the integration of a process-based target model and a measurement-based target model.

在另一態樣中,使用採用整合量測模型之量測系統以直接量測製程參數值。該整合量測模型係基於整合一以製程為基礎之目標模型及一以量測為基礎之目標模型。 In another aspect, a measurement system employing an integrated measurement model is used to directly measure process parameter values. The integrated measurement model is based on an integrated process-based target model and a measurement-based target model.

一以製程為基礎之目標模型係根據製程變數預測樣品之結構性 質(例如,幾何性質、材料性質等)。適合於與一以量測為基礎之目標模型整合之一以製程為基礎之目標模型來預測結構及/或材料性質,光學量測工具對該等性質敏感。 A process-based target model predicts the structural properties of a sample based on process variables Quality (eg, geometric properties, material properties, etc.). It is suitable for predicting structural and/or material properties with a process-based target model integrated with a measurement-based target model, which is sensitive to these properties.

圖1至圖2藉由非限制性實例繪示一以製程為基礎之目標模型的模擬結果。圖1至圖2繪示使用可自加利福尼亞(美國)米爾皮塔斯KLA-Tencor Corporation購得之正光阻光學微影(PROLITH)模擬軟體所產生之一接觸孔洞陣列之一極紫外線(EUV)微影模型。雖然此例示性微影製程模型係使用PROLITH軟體產生,但一般而言,在此專利文獻之範疇內可考慮任何製程模型化技術或工具。圖1繪示藉由PROLITH軟體模型化之一模擬3x3接觸孔洞陣列之一俯視圖10。圖2繪示該陣列之一單一孔洞之一側視圖20。自該側視圖繪示若干幾何參數。舉例而言,繪示孔洞之高度(H)、側壁角度(SWA)及臨界尺寸(CD)。在所繪示之實例中,臨界尺寸係孔洞之底部上方五奈米之孔洞直徑之一量測。 1 through 2 illustrate, by way of non-limiting example, simulation results of a process-based target model. 1 to 2 illustrate one of the contact hole arrays produced by the positive photoresist optical phantom (PROLITH) simulation software available from KLA-Tencor Corporation of Milpitas, California (USA). Shadow model. Although this exemplary lithography process model is generated using PROLITH software, in general, any process modeling technique or tool can be considered within the scope of this patent document. FIG. 1 illustrates a top view 10 of one of the analog 3x3 contact hole arrays modeled by the PROLITH software. Figure 2 depicts a side view 20 of one of the single holes of the array. Several geometric parameters are depicted from this side view. For example, the height (H), sidewall angle (SWA), and critical dimension (CD) of the hole are shown. In the illustrated example, the critical dimension is measured as one of the diameters of the five nanometers above the bottom of the hole.

運行一聚焦曝光矩陣(FEM)模擬實驗以產生類似於圖2中繪示之孔洞輪廓之一組孔洞輪廓。圖3繪示各個接觸孔洞輪廓與聚焦參數值之曝光及深度之一不同組合相關聯之一接觸孔洞輪廓陣列之一側視圖30。圖3中繪示之結果係自藉由PROLITH軟體運行之一系列模擬實驗產生。圖4繪示圖3中繪示之相同接觸孔洞陣列之一俯視圖40。以此方式,藉由以製程為基礎之目標模型根據製程變數(即,聚焦及曝光)表示樣品之幾何性質(即,CD、H、SWA)。 A Focus Exposure Matrix (FEM) simulation experiment was run to produce a set of hole profiles similar to the hole profile depicted in FIG. 3 illustrates a side view 30 of one of the contact hole profile arrays associated with one different combination of exposure hole depths and focus parameter values. The results shown in Figure 3 were generated from a series of simulation experiments run by the PROLITH software. 4 is a top plan view 40 of the same contact hole array illustrated in FIG. In this way, the geometric properties of the sample (ie, CD, H, SWA) are represented by process-based target models based on process variables (ie, focus and exposure).

圖5至圖7各自繪示CD之等值線圖50、SWA之等值線圖60及光阻損失之等值線圖70。該等等值線圖之各者與圖3至圖4中繪示之FEM實驗結果相關聯。光阻損失係自接觸孔洞之標稱高度減去之實際高度且因此係高度之一量測。圖5至圖7以圖形繪示自以製程為基礎之目標模型導出之製程參數與量測參數之間之一關係且因此呈現對量測參數之以製程為基礎之限制。如此一來,可使用此等限制以鏈接以製程為基 礎之模型及以量測為基礎之模型以產生一整合量測模型。 5 to 7 each show a contour map 50 of the CD, a contour map 60 of the SWA, and a contour map 70 of the photoresist loss. Each of the contour maps is associated with the FEM experimental results illustrated in Figures 3 through 4. The photoresist loss is measured from the nominal height of the contact hole minus the actual height and thus the height of the system. Figures 5 through 7 graphically illustrate the relationship between the process parameters derived from the process-based target model and the measurement parameters and thus present a process-based limitation of the measurement parameters. In this way, you can use these restrictions to link based on the process. The basic model and the measurement-based model to generate an integrated measurement model.

方程式(1)繪示藉由兩個以製程為基礎之模型參數(即,聚焦深度F及曝光E)限制三個以量測為基礎之模型參數(即,CD、H及SWA)之一組方程式。 Equation (1) shows that one of the three measurement-based model parameters (ie, CD, H, and SWA) is limited by two process-based model parameters (ie, depth of focus F and exposure E). equation.

CD=-90+130F+1.22E-417F 2-0.448FE-0.0025E 2 Ht=-223-189F+4.16E-354F 2+1.76FE-0.0158E 2 SWA=56.5+37.4F+0.431E-230F 2-0.1222FE-0.0019E 2 (1) CD =-90+130 F +1.22 E -417 F 2 -0.448 FE -0.0025 E 2 Ht =-223-189 F +4.16 E -354 F 2 +1.76 FE -0.0158 E 2 SWA =56.5+37.4 F +0.431 E -230 F 2 -0.1222 FE -0.0019 E 2 (1)

在由方程式(1)繪示之實例中,以製程為基礎之限制係基於應用至圖3至圖7中繪示之FEM模擬結果之簡化適配函數(例如,多項式)而調配。在其他實例中,以製程為基礎之限制可基於以製程為基礎之基函數而調配,諸如由2006年3月7日頒予KLA-Tencor Technologies Corporation之美國專利第7,009,704號所描述之該等基函數,該專利之標的之全文併入本文中。 In the example illustrated by equation (1), the process-based constraints are formulated based on a simplified adaptation function (eg, a polynomial) applied to the FEM simulation results illustrated in FIGS. 3-7. In other instances, process-based limitations may be formulated based on a process-based basis function, such as those described in U.S. Patent No. 7,009,704, issued to KLA-Tencor Technologies Corporation, on March 7, 2006. The function, the subject matter of which is incorporated herein in its entirety.

一以製程為基礎之目標模型可與一以量測為基礎之目標模型整合以依若干不同方式產生之一整合量測模型。 A process-based target model can be integrated with a measurement-based target model to produce an integrated measurement model in a number of different ways.

在一些實例中,基於以製程為基礎之目標模型判定對量測模型參數之值之範圍之限制。更具體而言,基於以製程為基礎之參數之可達成值之範圍判定該等限制。 In some examples, a limit to the range of values of the measurement model parameters is determined based on the process-based target model. More specifically, the limits are determined based on the range of achievable values of the process-based parameters.

舉例而言,如圖3及圖4中所繪示,聚焦及曝光參數值之一些組合不產生函數接觸孔洞。舉例而言,如圖3中所繪示,在以製程為基礎之模型結果之矩陣之區域31內反白顯示之結構未能形成一孔洞。類似地,如圖4中所繪示,在以製程為基礎之模型結果之矩陣之區域41內反白顯示之結構未能形成一孔洞。類似地,如圖5至圖7中所繪示,在空白區域51、61及71中之聚焦及曝光參數值之組合各自指示形成一函數結構之一失效,因為特定參數(即,CD、SWA及光阻損失)無法解析。換言之,基於以製程為基礎之目標模型之結果判定聚焦及曝光參數值之某些範圍無法產生一函數結構。將以製程為基礎之模型參數值 之此等範圍映射至以量測為基礎之模型參數值之範圍以限制在隨後量測分析中採用之以量測為基礎之模型參數值之範圍。此藉由如由以製程為基礎之模型判定之將量測參數值範圍限制至潛在函數結構而減小與整合量測模型相關聯之計算時間。藉由非限制性實例,可使用方程式(1)以將以製程為基礎之模型參數值之範圍映射至量測為基礎之模型參數值之範圍。 For example, as illustrated in Figures 3 and 4, some combinations of focus and exposure parameter values do not result in a function contact hole. For example, as illustrated in FIG. 3, the structure highlighted in the area 31 of the matrix of the process-based model results fails to form a hole. Similarly, as illustrated in Figure 4, the structure highlighted in the area 41 of the matrix of model-based model results fails to form a hole. Similarly, as illustrated in Figures 5-7, the combination of focus and exposure parameter values in blank areas 51, 61, and 71 each indicate that one of the functional structures is formed to fail because of certain parameters (i.e., CD, SWA). And photoresist loss) cannot be resolved. In other words, determining certain ranges of focus and exposure parameter values based on the results of the process-based target model does not produce a functional structure. Process-based model parameter values These ranges are mapped to the range of model parameter values based on the measurements to limit the range of model parameter values based on the measurements used in subsequent metrology analysis. This reduces the computation time associated with the integrated metrology model by limiting the range of measurement parameter values to the latent function structure as determined by the process-based model. By way of non-limiting example, equation (1) can be used to map the range of process-based model parameter values to the range of model parameter values based on the measurements.

在一些其他實例中,整合量測模型包含由以製程為基礎之目標模型限制之以量測為基礎之目標模型。在一實例中,經執行以解析量測參數(諸如CD、H及SWA)之一量測分析係由方程式(1)限制。換言之,在量測分析中僅考慮遵循限制方程式(1)之對於CD、H及SWA之解決方案。此減小與整合量測模型相關聯之解決方案空間之大小。以此方式,對以量測為基礎之目標模型參數之以製程為基礎之限制組由以製程為基礎之目標模型界定且應用至以量測為基礎之目標模型。 In some other examples, the integrated measurement model includes a measurement-based target model that is limited by a process-based target model. In one example, the measurement analysis performed by one of the analytical measurement parameters (such as CD, H, and SWA) is limited by equation (1). In other words, only the solution for CD, H and SWA following the constraint equation (1) is considered in the measurement analysis. This reduces the size of the solution space associated with the integrated measurement model. In this way, the process-based restriction set for the measurement-based target model parameters is defined by the process-based target model and applied to the measurement-based target model.

在一些其他實例中,基於製程模型根據其他量測模型參數表示一或多個量測模型參數。在一實例中,基於方程式(1)將SWA表示為CD及H之一函數。此減小整合量測模型之浮動參數之總數目且減小參數相關性。歸因於限制於由製造程序容許之目標變動之一較小搜尋空間,此亦增加適配引擎(例如,迴歸引擎)之效率及穩健性。 In some other examples, one or more measurement model parameters are represented based on other measurement model parameters based on the process model. In an example, SWA is represented as a function of CD and H based on equation (1). This reduces the total number of floating parameters of the integrated measurement model and reduces the parameter correlation. This also increases the efficiency and robustness of the adaptation engine (eg, the regression engine) due to the smaller search space limited to one of the target changes allowed by the manufacturing process.

在一些其他實例中,將製程參數代入量測模型。接著使用整合量測模型將此等製程參數解析為量測資料之分析之部分。以此方式,整合量測模型之參數化包含以製程為基礎之變數(例如,聚焦及曝光)且自量測信號直接判定製程參數值。舉例而言,可藉由使用方程式(1)用製程參數F及E取代量測模型參數CD、H及SWA而調配一整合量測模型。在基於量測資料解決F及E之後,可自方程式(1)計算對應量測參數CD、H及SWA。 In some other examples, process parameters are substituted into the metrology model. These process parameters are then parsed into part of the analysis of the measured data using an integrated measurement model. In this manner, the parameterization of the integrated measurement model includes process-based variables (eg, focus and exposure) and the process parameter values are directly determined from the measurement signals. For example, an integrated measurement model can be formulated by replacing the measurement model parameters CD, H, and SWA with process parameters F and E using equation (1). After solving F and E based on the measurement data, the corresponding measurement parameters CD, H and SWA can be calculated from equation (1).

可偏好此方法以減小在量測資料之分析中涉及之參數之間之相 關性。舉例而言,如圖8中描繪之表80中所繪示,聚焦與曝光之間之相關性低於CD、H及SWA之間之相關性。 This method can be preferred to reduce the phase between the parameters involved in the analysis of the measured data. Relevance. For example, as depicted in the table 80 depicted in Figure 8, the correlation between focus and exposure is lower than the correlation between CD, H, and SWA.

圖9係繪示在經受由二維光束輪廓反射計(2-D BPR)系統之量測之一氧化物層中之一孔洞之一簡化量測模型之一圖式90。實驗設計(DOE)模擬結果證實CD與SWA之間之一高度相關性,如圖10之表100中所繪示。因此,預期2-D BPR量測系統不有效區分兩個量測參數。此在圖12A至圖12C中進一步證實。圖12A繪示指示採用藉由光阻損失、SWA及CD參數化之一量測模型之光阻損失之2-D BPR量測之追蹤效能之一圖式120。線121指示其中經估計之參數值與實際參數值相同之完美追蹤。線122係表示經繪示之資料點之間之一最佳配合之一線。如圖12A中所繪示,對於電阻損失之追蹤效能較好。圖12B繪示指示採用藉由光阻損失、SWA及CD參數化之一量測模型之CD之2-D BPR量測之追蹤效能之一圖式130。線131指示其中經估計之參數值與實際參數值相同之完美追蹤。線132係表示經繪示之資料點之間之一最佳配合之一線。如圖12B中所繪示,對於CD之追蹤效能較差。圖12C繪示指示採用藉由光阻損失、SWA及CD參數化之一量測模型之SWA之2-D BPR量測之追蹤效能之一圖式140。線141指示其中經估計之參數值與實際參數值相同之完美追蹤。線142係表示經繪示之資料點之間之一最佳配合之一線。如圖12C中所繪示,對於SWA之追蹤效能較差。 Figure 9 is a diagram 90 showing one of the simplified measurement models of one of the holes in an oxide layer subjected to measurement by a two-dimensional beam profile reflectometer (2-D BPR) system. The experimental design (DOE) simulation results confirmed a high correlation between CD and SWA, as depicted in Table 100 of FIG. Therefore, it is expected that the 2-D BPR measurement system does not effectively distinguish between two measurement parameters. This is further confirmed in Figures 12A to 12C. FIG. 12A illustrates one of the tracking performances of the 2-D BPR measurement indicating the resistive loss of the resistive loss model using one of the photoresist loss, SWA, and CD parameterization. Line 121 indicates a perfect trace in which the estimated parameter values are the same as the actual parameter values. Line 122 represents one of the best fits between the illustrated data points. As shown in FIG. 12A, the tracking performance for resistance loss is better. FIG. 12B illustrates a graph 130 of tracking performance indicating a 2-D BPR measurement of a CD using a measurement model of photoresist loss, SWA, and CD parameterization. Line 131 indicates a perfect trace in which the estimated parameter values are the same as the actual parameter values. Line 132 represents one of the best fits between the illustrated data points. As shown in FIG. 12B, the tracking performance for the CD is poor. FIG. 12C illustrates one of the tracking performances of the 2-D BPR measurement indicating the SWA using a measurement model of photoresist loss, SWA, and CD parameterization. Line 141 indicates a perfect trace in which the estimated parameter values are the same as the actual parameter values. Line 142 represents one of the best fits between the illustrated data points. As shown in FIG. 12C, the tracking performance for the SWA is poor.

圖13A至圖13C各自繪示與採用一整合量測模型之光阻損失、CD及SWA之2-D BPR量測相關聯之追蹤效能,其中由光阻損失、CD及SWA參數化之該量測模型係由製程模型限制(即,光阻損失、CD及SWA由聚焦及曝光限制)。圖13A繪示指示採用藉由光阻損失、SWA及CD參數化之整合量測模型之光阻損失之2-D BPR量測之追蹤效能之一圖式150。線151指示其中經估計之參數值與實際參數值相同之完美追 蹤。線152係表示經繪示之資料點之間之一最佳配合之一線。如圖13A中所繪示,對於電阻損失之追蹤效能較好。圖13B繪示指示採用藉由光阻損失、SWA及CD參數化之整合量測模型之CD之2-D BPR量測之追蹤效能之一圖式160。線161指示其中經估計之參數值與實際參數值相同之完美追蹤。線162係表示經繪示之資料點之間之一最佳配合之一線。如圖13B中所繪示,對於CD之追蹤效能相較於圖12B中繪示之追蹤效能經改良。圖13C繪示指示採用藉由光阻損失、SWA及CD參數化之整合量測模型之SWA之2-D BPR量測之追蹤效能之一圖式170。如圖13C中所繪示,對於SWA之追蹤效能保持較差。 13A to 13C each illustrate tracking performance associated with 2-D BPR measurement of photoresist loss, CD, and SWA using an integrated measurement model, wherein the amount is parameterized by photoresist loss, CD, and SWA The measurement model is limited by the process model (ie, photoresist loss, CD and SWA are limited by focus and exposure). FIG. 13A illustrates a graph 150 of tracking performance indicating a 2-D BPR measurement of photoresist loss using an integrated measurement model of photoresist loss, SWA, and CD parameterization. Line 151 indicates a perfect chase in which the estimated parameter value is the same as the actual parameter value. trace. Line 152 represents one of the best fits between the illustrated data points. As shown in FIG. 13A, the tracking performance for resistance loss is better. FIG. 13B illustrates a graph 160 showing tracking performance of a 2-D BPR measurement of a CD using an integrated measurement model of photoresist loss, SWA, and CD parameterization. Line 161 indicates a perfect trace in which the estimated parameter values are the same as the actual parameter values. Line 162 represents one of the best fits between the illustrated data points. As shown in FIG. 13B, the tracking performance for the CD is improved over the tracking performance shown in FIG. 12B. FIG. 13C illustrates one of the tracking performances of the 2-D BPR measurement indicating the SWA using the integrated measurement model of photoresist loss, SWA, and CD parameterization. As depicted in Figure 13C, the tracking performance for the SWA remains poor.

圖14A至圖14B各自繪示當採用其中一整合量測模型由聚焦及曝光參數化之該模型時與聚焦及曝光之2-D BPR量測相關聯之追蹤效能。圖14A繪示指示採用藉由聚焦及曝光參數化之整合量測模型之聚焦之2-D BPR量測之追蹤效能之一圖式180。線181指示其中經估計之參數值與實際參數值相同之完美追蹤。線182係表示經繪示之資料點之間之一最佳配合之一線。如圖14A中所繪示,對於聚焦之追蹤效能較好。類似地,圖14B繪示指示採用藉由聚焦及曝光參數化之整合量測模型之曝光之2-D BPR量測之追蹤效能之一圖式190。線191指示其中經估計之參數值與實際參數值相同之完美追蹤。線192係表示經繪示之資料點之間之一最佳配合之一線。如圖14B中所繪示,對於曝光之追蹤效能較好。如圖11中之表110中所繪示,聚焦與曝光之間之相關性顯著小於SWA與CD之間之相關性。因此,2-D BPR量測能夠比CD及SWA具有更大成功的解析聚焦及曝光。 14A-14B each illustrate tracking performance associated with 2-D BPR measurement of focus and exposure when the integrated parameter measurement model is used to parameterize the focus and exposure. FIG. 14A illustrates a graph 180 of tracking performance indicative of a focused 2-D BPR measurement using an integrated metrology model that is parameterized by focus and exposure. Line 181 indicates a perfect trace in which the estimated parameter values are the same as the actual parameter values. Line 182 represents one of the best fits between the illustrated data points. As shown in Figure 14A, tracking performance for focus is better. Similarly, FIG. 14B illustrates one of the tracking abilities of the 2-D BPR measurement indicating exposure using an integrated measurement model that is parameterized by focus and exposure. Line 191 indicates a perfect trace in which the estimated parameter values are the same as the actual parameter values. Line 192 represents one of the best fits between the illustrated data points. As shown in Figure 14B, the tracking performance for exposure is better. As depicted in the table 110 of Figure 11, the correlation between focus and exposure is significantly less than the correlation between SWA and CD. Therefore, 2-D BPR measurements can have more successful analytical focus and exposure than CD and SWA.

以此方式,使用一整合量測模型以精確量測所關注之製程參數(例如,聚焦深度、曝光、蝕刻時間、沈積時間等)。此方法顯著增加在量測信號與經量測之製程參數之間傳送之資訊,改良精確性及量測時間。 In this manner, an integrated metrology model is used to accurately measure process parameters of interest (eg, depth of focus, exposure, etch time, deposition time, etc.). This method significantly increases the information transmitted between the measurement signal and the measured process parameters, improving accuracy and measurement time.

在另一實例中,基於製程模擬結果建構整合量測模型。通常,一以量測為基礎之目標模型係實際目標之一簡單近似法。舉例而言,用於光阻線量測之量測模型通常係其中SWA、CD及H經量測之一簡單梯形。此係歸因於製程變動(例如,聚焦及曝光中之變動)之目標幾何之實際變動之一顯著近似。結果,基於一高度簡化量測模型引起之量測特徵化聚焦及曝光之試圖可證實為無結果的,因為不同製程參數值之實際幾何影響未由量測擷取。 In another example, an integrated metrology model is constructed based on process simulation results. Usually, a measurement-based target model is a simple approximation of the actual goal. For example, the measurement model used for photoresist line measurement is usually a simple trapezoid in which SWA, CD, and H are measured. This is due to a significant approximation of one of the actual changes in the target geometry of process variations (eg, changes in focus and exposure). As a result, attempts to characterize the focus and exposure based on a highly simplified measurement model can be confirmed to be inconclusive because the actual geometric effects of different process parameter values are not captured by the measurements.

藉由實例,圖16繪示具有一聚焦曝光矩陣中之複雜側壁形狀之目標輪廓。若使用一高度簡化量測模型,則損失圖16中繪示之製程變動資訊之一部分。然而,在一些實例中,包含一更複雜幾何參數化之一整合量測模型有效擷取製程引起之形狀輪廓。以此方式,可有效分析量測信號以判定製程參數值。 By way of example, Figure 16 illustrates a target profile having a complex sidewall shape in a focus exposure matrix. If a highly simplified measurement model is used, one part of the process variation information depicted in Figure 16 is lost. However, in some instances, an integrated measurement model including a more complex geometric parameterization effectively captures the shape profile caused by the process. In this way, the measurement signal can be effectively analyzed to determine process parameter values.

圖15繪示用於擷取製程變動引起之形狀變動之一離散10阱(12 DOF)模型。該模型包含在不同高度之11個CD。經量測之CD反射製程變動且可比藉由CD、SWA及HT參數化之一單一梯形幾何模型更精確預測聚焦深度及曝光。以此方式,整合量測模型係基於圖16中繪示之製程模型模擬結果建構之圖15中繪示之量測模型。然而,側壁形狀變動在圖16中經繪示且由圖15中繪示之模型擷取,可考慮其他形狀變動(例如,光阻線拓撲改變等)。 Figure 15 illustrates a discrete 10 well (12 DOF) model for taking shape changes due to process variations. The model contains 11 CDs at different heights. The measured CD reflection process varies and can more accurately predict the depth of focus and exposure than a single trapezoidal geometric model that is parameterized by CD, SWA, and HT. In this way, the integrated measurement model is based on the measurement model illustrated in FIG. 15 constructed by the process model simulation results illustrated in FIG. However, the sidewall shape variations are depicted in FIG. 16 and are captured by the model depicted in FIG. 15, and other shape variations (eg, photoresist line topology changes, etc.) may be considered.

在一些實例中,使用一整合量測模型以相繼量測製程參數值。整合量測模型包含用作一量測分析之部分以判定來自量測資料之幾何參數值之一以量測為基礎之目標模型。整合量測模型亦包含一以製程為基礎之模型以判定來自幾何參數值之製程參數值。 In some examples, an integrated metrology model is used to sequentially measure process parameter values. The integrated measurement model includes a target model that is used as part of a measurement analysis to determine one of the geometric parameter values from the measurement data based on the measurement. The integrated measurement model also includes a process-based model to determine process parameter values from geometric parameter values.

在一實例中,藉由在Stilian Pandev之美國專利公開案第2013/0110477號中描述之一製程為基礎之主要成份分析(PCA)參數化而參數化以量測為基礎之目標模型,該案之標的之全文以引用之方式 併入本文中。一以製程為基礎之PCA參數化有效減小以量測為基礎之模型之自由度之數目,使得可自量測資料有效解析模型參數而無量測資訊之過度損失。在一實例中,執行模型減小以限制製程變動空間內之圖15中繪示之幾何離散模型。將經量測之幾何形狀(例如,圖15之CD)提供為至預測聚焦及曝光之製程模型之輸入。在一實例中,將一神經網路用作製程模型。神經網路模型係基於經量測之CD預測聚焦及曝光參數值。 In one example, the measurement-based target model is parameterized by a process-based principal component analysis (PCA) parameterization described in US Patent Publication No. 2013/0110477 to Stilian Pandev. The full text of the subject matter is cited Incorporated herein. A process-based PCA parameterization effectively reduces the number of degrees of freedom of the model based on the measurement, so that the self-measurement data can effectively resolve the model parameters without excessive loss of measurement information. In one example, the model reduction is performed to limit the geometric discrete model depicted in Figure 15 within the process variation space. The measured geometry (eg, CD of Figure 15) is provided as an input to a process model that predicts focus and exposure. In one example, a neural network is used as a process model. The neural network model is based on the measured CD prediction focus and exposure parameter values.

在一進一步態樣中,藉由製程模型資料訓練神經網路模型。更具體而言,使用藉由PROLITH模擬器(諸如圖16中繪示之該等模擬器)產生之形狀輪廓訓練神經網路模型。以此方式,將聚焦及曝光參數值表示為側壁形狀參數CD1...CDn及HT之一函數,容許由神經網路模型擷取更多製程資訊。相較於利用具有三個參數(即,CD、SWA及HT)之一單一梯形模型,此減小自目標量測至聚焦及曝光估計量測之資訊損失。 In a further aspect, the neural network model is trained by process model data. More specifically, the neural network model is trained using shape profiles generated by a PROLITH simulator, such as the simulators depicted in FIG. In this way, the focus and exposure parameter values are represented as a function of the sidewall shape parameters CD 1 ... CDn and HT, allowing more process information to be captured by the neural network model. This reduces the loss of information from the target measurement to the focus and exposure estimate measurements compared to using a single trapezoidal model with three parameters (ie, CD, SWA, and HT).

圖17A繪示用作呈現於製程變動(聚焦及曝光)中之製程資訊內容之一指示之一主要成份分析之結果。圖17A繪示製程變動資訊經傳送至12 DOF幾何模型且資訊係由兩個或三個PCA成份有效擷取。 Figure 17A illustrates the results of a primary component analysis used as one of the indications of process information presented in process variations (focus and exposure). Figure 17A shows that the process change information is transmitted to the 12 DOF geometric model and the information is effectively captured by two or three PCA components.

圖17B繪示用作呈現於量測信號(例如,阿爾法、貝塔)中之製程資訊內容之一指示之一主要成份分析之結果。傳送至信號或幾何模型之資訊越多,量測/製程模型可越好提取且分離製程參數(例如,聚焦及曝光)。 Figure 17B illustrates the results of one of the main component analyses used to indicate one of the process information content presented in the measurement signal (e.g., alpha, beta). The more information that is transmitted to the signal or geometric model, the better the measurement/process model can extract and separate process parameters (eg, focus and exposure).

在一些實例中,使用一整合量測模型以自量測信號直接量測製程參數值。 In some examples, an integrated measurement model is used to directly measure process parameter values from the measurement signals.

舉例而言,如上文中所討論,可將製程參數代入以量測為基礎之目標模型。以此方式,自量測資料直接解析製程參數值。 For example, as discussed above, process parameters can be substituted into a target model based on measurement. In this way, the self-measurement data directly resolves the process parameter values.

在一些其他實例中,整合量測模型係接收量測信號且直接判定 聚焦及曝光參數值之一神經網路模型。使用藉由一製程模型產生之形狀輪廓(例如,藉由PROLITH產生之且在圖16中繪示之形狀參數)及藉由一量測模型產生之對應量測光譜訓練神經網路模型。在神經網路訓練期間,使用製程模擬器(例如,PROLITH)以針對給定製程變動產生目標輪廓。藉由一RCWA引擎產生對應於各個形狀輪廓之量測光譜。使用經產生之光譜訓練神經網路。在經產生之光譜上執行PCA以減小自由度之等級之數目。 In some other examples, the integrated measurement model receives the measurement signal and directly determines One of the focus and exposure parameter values of the neural network model. The neural network model is trained using a shape profile generated by a process model (eg, a shape parameter generated by PROLITH and depicted in FIG. 16) and a corresponding metrology spectrum generated by a measurement model. During neural network training, a process simulator (eg, PROLITH) is used to generate a target profile for a custom process change. A measurement spectrum corresponding to each shape profile is generated by an RCWA engine. Train the neural network using the generated spectra. The number of levels of degrees of freedom is performed by performing PCA on the generated spectrum.

在量測期間,藉由一分析引擎接收量測光譜且藉由在訓練期間使用之PCA轉換將經量測之光譜轉換至主要成份(PC)。經訓練之神經網路模型接收PC且直接判定聚焦及曝光參數。 During the measurement, the measured spectrum is received by an analysis engine and the measured spectrum is converted to the main component (PC) by PCA conversion used during training. The trained neural network model receives the PC and directly determines the focus and exposure parameters.

在另一實例中,可基於來自一DOE(FEM)晶圓之經量測之光譜訓練神經網路。在此實例中,不需要一製程模擬器或模組。此減小來自RCWA引擎及製程模擬器之錯誤但增加對於DOE晶圓中之製程變動之需要。 In another example, the neural network can be trained based on the measured spectra from a DOE (FEM) wafer. In this example, a process simulator or module is not required. This reduces errors from the RCWA engine and process simulator but increases the need for process variations in the DOE wafer.

藉由採用一整合量測模型以自量測信號直接量測製程參數值,藉由消除中間模型(例如,幾何、材料或估計量測系統之其他模型)而減小資訊損失。另外,藉由消除迴歸操作減小量測時間。 By using an integrated measurement model to directly measure process parameter values from self-measured signals, information loss is reduced by eliminating intermediate models (eg, geometry, materials, or other models of the estimated measurement system). In addition, the measurement time is reduced by eliminating the regression operation.

在另一態樣中,可基於量測模型改良製程模型。在一些實例中,使用自量測模型獲得之資訊改良一製程模型之校準。在一實例中,幾何輪廓與製程變動之間之預特徵化關係可用於製程配方產生。另外,可依最佳化量測模型之一方式校準製程模型。 In another aspect, the process model can be improved based on the measurement model. In some examples, the information obtained using the self-measurement model improves the calibration of a process model. In one example, a pre-characteristic relationship between geometric profile and process variation can be used for process recipe generation. In addition, the process model can be calibrated in one of the optimized measurement models.

甚至更一般而言,製程及量測模型之使用與一模型完全整合,該模型提供輸入至另一模型。 Even more generally, the use of process and measurement models is fully integrated with a model that provides input to another model.

在又一態樣中,可在多目標模型化之內容中採用所揭示之方法及系統。在一些實例中,整合量測模型容許其中使用量測模型參數解析一些目標同時使用製程參數解析其他目標之多個目標之組合分析。 另外,可使用自一製程模式導出之限制以鏈接不同目標之參數。 In yet another aspect, the disclosed methods and systems can be employed in the context of multi-objective modeling. In some examples, the integrated metrology model allows for a combined analysis in which multiple targets are resolved using the metrology model parameters while parsing some of the targets using process parameters. In addition, you can use the limits derived from a process mode to link parameters for different targets.

雖然在前文中參考一微影製程模型及相關聯之聚焦及曝光量測來描述若干實例,但本文中描述之方法及系統可涉及其他製程模型(例如,蝕刻或沈積製造)及其他量測(例如,蝕刻及沈積量測)。本文中描述之方法及系統亦可涉及其他參考量測技術(例如,SEM、TEM、AFM、X光)。再者,在本文中描述之方法及系統係參考光學量測系統(例如,光譜橢圓偏光計、反射計、BPR系統等)論述但亦可應用至其他以模型為基礎之量測(例如,重疊、CD-SAXS、XRR等)。 Although a number of examples have been described above with reference to a lithography process model and associated focus and exposure measurements, the methods and systems described herein may involve other process models (eg, etching or deposition fabrication) and other measurements ( For example, etching and deposition measurements). The methods and systems described herein may also be directed to other reference measurement techniques (eg, SEM, TEM, AFM, X-ray). Furthermore, the methods and systems described herein are discussed with reference to optical metrology systems (eg, spectral ellipsometers, reflectometers, BPR systems, etc.) but can be applied to other model-based measurements (eg, overlapping). , CD-SAXS, XRR, etc.).

在又一態樣中,一跨晶圓製程變動模型可與目標結構之以製程為基礎的模型組合。通常製程影響產生一跨晶圓製程特定圖案的整個晶圓。在一實例中,通常觀察到一膜沈積製程通常導致具有跨晶圓之一徑向對稱圖案之一膜厚度。 In yet another aspect, a cross-wafer process variation model can be combined with a process-based model of the target structure. Typically, the process affects the entire wafer that creates a specific pattern across the wafer process. In one example, it is generally observed that a film deposition process typically results in a film thickness having one of the radially symmetric patterns across one of the wafers.

在一些實例中,跨晶圓製程資訊與編碼於一單一目標中之製程變動資訊組合使用,以建立一精確整合量測模型。在一實例中,下伏膜之一或多個跨晶圓膜模組與基於藉由PROLITH建立之製程變動參數化之一10阱模型組合。藉由限制光柵至製程空間中之變動且限制下伏膜至一預期對稱膜而顯著減小光柵至膜相關性且改良量測精確性。 In some instances, cross-wafer process information is combined with process variation information encoded in a single target to create a precisely integrated measurement model. In one example, one or more of the underlying film modules are combined with a 10-well model based on process variation parameterization established by PROLITH. The grating-to-membrane correlation is significantly reduced and the measurement accuracy is improved by limiting the variation of the grating into the process space and limiting the underlying film to a desired symmetric film.

在又一實施例中,可使用整合量測模型以提供主動回饋至一製程工具(例如,微影工具、蝕刻工具、沈積工具等)。舉例而言,使用一整合量測模型判定之深度值及聚焦參數可通信至微影工具以調整微影系統以達成一所要輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於整合量測模型中,以各自提供主動回饋至蝕刻工具或沈積工具。 In yet another embodiment, an integrated metrology model can be used to provide active feedback to a process tool (eg, lithography tool, etch tool, deposition tool, etc.). For example, the depth value and focus parameters determined using an integrated metrology model can be communicated to the lithography tool to adjust the lithography system to achieve a desired output. In a similar manner, etch parameters (eg, etch time, diffusivity, etc.) or deposition parameters (eg, time, concentration, etc.) can be included in the integrated metrology model to each provide active feedback to the etch tool or deposition tool.

圖18繪示用於根據本文中呈現之例示性方法量測一樣品之特徵之一系統300。如圖18中所展示,系統300可用於執行一樣品301之一或多個結構之光譜橢圓偏光計量測。在此態樣中,系統300可包含配 備有一照明器302及一光譜儀304之一光譜橢圓偏光計。系統300之照明器302經組態以產生一經選擇波長範圍(例如,150-850nm)之照明且將該照明引導至安置於樣品301之表面上之結構。接著,光譜儀304經組態以接收自樣品301之表面反射之照明。進一步注意,使用一偏光狀態產生器307偏光自照明器302出現之光以產生一偏光照明光束306。由安置於樣品301上之結構反射之輻射通過一偏光狀態分析器309且至光譜儀304。關於偏光狀態分析由收集光束308中之光譜儀接收之輻射,容許藉由由分析器傳送之輻射之光譜儀之光譜分析。為了結構之分析將此等光譜311傳送至計算系統330。 FIG. 18 depicts a system 300 for measuring a feature of a sample in accordance with the exemplary methods presented herein. As shown in FIG. 18, system 300 can be used to perform spectral ellipsometry measurements of one or more structures of a sample 301. In this aspect, system 300 can include a A illuminator 302 and a spectroscopic ellipsometer of one of the spectrometers 304 are provided. Illuminator 302 of system 300 is configured to produce illumination of a selected wavelength range (e.g., 150-850 nm) and direct the illumination to a structure disposed on the surface of sample 301. Next, spectrometer 304 is configured to receive illumination that is reflected from the surface of sample 301. It is further noted that light that emerges from illuminator 302 is polarized using a polarization state generator 307 to produce a polarized illumination beam 306. Radiation reflected by the structure disposed on sample 301 passes through a polarization state analyzer 309 and to spectrometer 304. Regarding the polarization state analysis, the radiation received by the spectrometer in the collection beam 308 allows for spectral analysis of the spectrometer by the radiation transmitted by the analyzer. These spectra 311 are transmitted to computing system 330 for analysis of the structure.

如圖18中所描繪,系統300包含一單一量測技術(即,SE)。然而,一般而言,系統300可包含任何數目之不同量測技術。藉由非限制性實例,系統300可經組態為一光譜橢圓偏光計(包含繆勒矩陣橢圓偏光計)、一光譜反射計、一光譜散射計、一重疊散射計、一角度解析光束輪廓反射計、一偏光解析光束輪廓反射計、一光束輪廓反射計、一光束輪廓偏光計、任何單一或多個波長偏光計或其等之任何組合。此外,一般而言,藉由不同量測技術收集且根據本文中描述之方法分析之量測資料可自多個工具而非整合多個技術之一工具收集。 As depicted in Figure 18, system 300 includes a single measurement technique (i.e., SE). In general, however, system 300 can include any number of different measurement techniques. By way of non-limiting example, system 300 can be configured as a spectral ellipsometer (including a Muller matrix ellipsometer), a spectral reflectometer, a spectral scatterometer, an overlapping scatterometer, and an angular resolution beam profile reflection. A combination of a polarized beam profile reflectometer, a beam profile reflectometer, a beam profile polarimeter, any single or multiple wavelength polarimeters, or the like. Moreover, in general, measurement data collected by different measurement techniques and analyzed according to the methods described herein can be collected from multiple tools rather than integrating one of several techniques.

在一進一步實施例中,系統300可包含經採用以根據本文中描述之方法基於一整合量測模型執行量測之一或多個計算系統330。該一或多個計算系統330可通信耦合至光譜儀304。在一態樣中,一或多個計算系統330經組態以接收與樣品301之結構之量測相關聯之量測資料。 In a further embodiment, system 300 can include one or more computing systems 330 that are employed to perform measurements based on an integrated metrology model in accordance with the methods described herein. The one or more computing systems 330 can be communicatively coupled to the spectrometer 304. In one aspect, one or more computing systems 330 are configured to receive metrology data associated with measurements of the structure of sample 301.

在一進一步實施例中,一或多個計算系統330經組態以採用即時臨界尺寸(RTCD)即時存取模型參數或其可根據本文中描述之方法存取預計算模型之資料庫以判定一整合量測模型。 In a further embodiment, one or more computing systems 330 are configured to access the model parameters using Instant Critical Size (RTCD) or to access a database of pre-computed models in accordance with the methods described herein to determine a Integrate the measurement model.

應認知,貫穿本發明描述之多種步驟可藉由一單一計算系統330 或替代地一多個電腦系統330實施。再者,系統300之不同子系統(諸如光譜橢圓偏光計304)可包含適合於實施本文中描述之步驟之至少一部分之一電腦系統。因此,不應將前文提及之描述解釋為對本發明之一限制而僅為一繪示。此外,一或多個計算系統330可經組態以執行本文中描述之任何方法實施例之任何其他(若干)步驟。 It will be appreciated that the various steps described throughout this disclosure may be by a single computing system 330 Or alternatively, a plurality of computer systems 330 are implemented. Moreover, different subsystems of system 300, such as spectral ellipsometer 304, can include a computer system suitable for implementing at least a portion of the steps described herein. Therefore, the above description of the invention should not be construed as limiting the invention. Moreover, one or more computing systems 330 can be configured to perform any other (several) steps of any of the method embodiments described herein.

另外,電腦系統330可依該技術中已知之任何方式通信耦合至光譜儀304。舉例而言,一或多個計算系統330可耦合至與光譜儀304相關聯之計算系統。在另一實例中,可藉由耦合至電腦系統330之一單一電腦系統直接控制光譜儀304。 Additionally, computer system 330 can be communicatively coupled to spectrometer 304 in any manner known in the art. For example, one or more computing systems 330 can be coupled to a computing system associated with spectrometer 304. In another example, spectrometer 304 can be directly controlled by a single computer system coupled to computer system 330.

量測系統300之電腦系統330可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,光譜儀304及類似者)接收及/或獲取資料或資訊。以此方式,傳輸媒體可作為電腦系統330及系統300之其他子系統之間之一資料鏈結。 Computer system 330 of measurement system 300 can be configured to receive and/or retrieve data or information by a subsystem (e.g., spectrometer 304 and the like) that can transmit media from the system, including one of wired and/or wireless portions. In this manner, the transmission medium can serve as a data link between computer system 330 and other subsystems of system 300.

整合量測系統300之電腦系統330可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可作為電腦系統330及其他系統(例如,記憶體板上量測系統300、外部記憶體、參考量測源320或其他外部系統)之間之一資料鏈結。舉例而言,電腦系統330可經組態以經由一資料鏈結自一儲存媒體(即,記憶體332或一外部記憶體)接收量測資料。舉例而言,使用光譜儀304獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體332或一外部記憶體)中。在此方面,光譜結果可自板上記憶體或自一外部記憶體系統輸入。再者,電腦系統330可經由一傳輸媒體發送資料至其他系統。舉例而言,藉由電腦系統330判定之一整合量測模型或一樣品參數340可通信且儲存於一外部記憶體中。在此方面,量測結果可輸出至另一系統。 The computer system 330 of the integrated measurement system 300 can be configured to receive and/or retrieve data or information from other systems (eg, measurement results, modeled inputs, etc.) by transmitting media over one of the wired and/or wireless portions. Modeling results, etc.). In this manner, the transmission medium can serve as a data link between computer system 330 and other systems (eg, on-memory measurement system 300, external memory, reference measurement source 320, or other external system). For example, computer system 330 can be configured to receive measurement data from a storage medium (ie, memory 332 or an external memory) via a data link. For example, spectral results obtained using spectrometer 304 can be stored in a permanent or semi-permanent memory device (eg, memory 332 or an external memory). In this regard, the spectral results can be input from on-board memory or from an external memory system. Moreover, computer system 330 can transmit data to other systems via a transmission medium. For example, one of the integrated measurement models or a sample parameter 340 is determined by computer system 330 to be communicative and stored in an external memory. In this regard, the measurement results can be output to another system.

計算系統330可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或該技術中已知之任何其他裝置。一般而言,可廣泛定義術語「電腦系統」以涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。 Computing system 330 can include, but is not limited to, a personal computer system, a host computer system, a workstation, an imaging computer, a parallel processor, or any other device known in the art. In general, the term "computer system" is broadly defined to encompass any device having one or more processors that execute instructions from a memory medium.

可透過諸如一有線、電纜或無線傳輸鏈結之一傳輸媒體傳輸實施方法(諸如本文中描述之該等方法)之程式指令334。舉例而言,如圖19中所繪示,透過匯流排333將儲存於記憶體332中之程式指令334傳輸至處理器331。程式指令334儲存於一電腦可讀媒體(例如,記憶體332)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁或光碟或一磁帶。 Program instructions 334 for transmitting media transport implementation methods, such as those described herein, may be transmitted through one of a wired, cable or wireless transmission link. For example, as shown in FIG. 19, the program instructions 334 stored in the memory 332 are transmitted to the processor 331 through the bus bar 333. Program instructions 334 are stored in a computer readable medium (e.g., memory 332). An exemplary computer readable medium includes read only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.

圖19繪示適合於藉由本發明之量測系統300實施之一方法400。在一態樣中,認知可經由藉由電腦系統330之一或多個處理器執行之一預程式化演算法而實施方法400之資料處理區塊。雖然在量測系統300之內容中呈現以下描述,但在本文中認知量測系統300之特定結構態樣不表示限制且應解釋為僅為闡釋性。 FIG. 19 illustrates one method 400 suitable for implementation by the metrology system 300 of the present invention. In one aspect, the cognition can implement the data processing block of method 400 via one of the pre-programmed algorithms executed by one or more processors of computer system 330. Although the following description is presented in the context of measurement system 300, the specific structural aspects of cognitive measurement system 300 herein are not meant to be limiting and should be construed as merely illustrative.

在區塊401中,藉由一電腦系統(例如,電腦系統330)接收一定量之量測資料。藉由一量測工具(例如,系統300)使量測資料與一目標結構之量測相關聯。 In block 401, a quantity of measurement data is received by a computer system (e.g., computer system 330). The measurement data is correlated with the measurement of a target structure by a metrology tool (eg, system 300).

在區塊402中,基於至該目標結構之一整合量測模型之該定量之量測資料之一適配判定特徵化該目標結構之一組參數值。該整合量測模型係基於一以製程為基礎之目標模型及一以量測為基礎之目標模型。 In block 402, one of the quantitative measurement data based on the integrated measurement model to one of the target structures is adapted to determine a set of parameter values that characterize the target structure. The integrated measurement model is based on a process-based target model and a measurement-based target model.

在區塊203中,將該組參數值儲存於記憶體中。可將該第二組參數值儲存於板上量測系統300(例如)記憶體332中或可將該第二組參數值(例如,經由輸出信號340)通信至一外部記憶體裝置。 In block 203, the set of parameter values is stored in memory. The second set of parameter values may be stored in onboard measurement system 300 (eg, memory 332) or the second set of parameter values (eg, via output signal 340) may be communicated to an external memory device.

圖20繪示適合於藉由本發明之量測系統300實施之一方法500。 在一態樣中,認知可經由藉由電腦系統330之一或多個處理器執行之一預程式化演算法而實施方法500之資料處理區塊。雖然在量測系統500之內容中呈現以下描述,但在本文中認知量測系統500之特定結構態樣不表示限制且應解釋為僅為闡釋性。 FIG. 20 illustrates one method 500 suitable for implementation by the metrology system 300 of the present invention. In one aspect, the cognition can implement the data processing block of method 500 via one of the pre-programmed algorithms executed by one or more processors of computer system 330. Although the following description is presented in the context of measurement system 500, the specific structural aspects of cognitive measurement system 500 herein are not meant to be limiting and should be construed as merely illustrative.

在區塊501中,藉由一電腦系統(例如,電腦系統330)接收一定量之量測資料。藉由一量測工具(例如,系統300)使量測資料與一目標結構之量測相關聯。 In block 501, a quantity of measurement data is received by a computer system (e.g., computer system 330). The measurement data is correlated with the measurement of a target structure by a metrology tool (eg, system 300).

在區塊502中,基於該定量之量測資料及該目標結構之一整合量測模型判定特徵化經採用以產生該目標結構之一製程之一或多個製程參數值。 In block 502, an integrated measurement model based on the quantitative measurement data and the target structure determines that the characterization is employed to generate one or more process parameter values for one of the target structures.

在區塊503中,將該一或多個製程參數值儲存於記憶體中。可將參數值儲存於板上量測系統300(例如)記憶體332中或可將參數值(例如,經由輸出信號340)通信至一外部記憶體裝置。 In block 503, the one or more process parameter values are stored in memory. The parameter values may be stored in onboard measurement system 300 (eg, memory 332) or may be communicated to an external memory device (eg, via output signal 340).

一般而言,本文中描述之系統及方法可作為為了離線或工具上量測準備一整合量測模型之程序之部分實施。另外,量測模型及任何預參數化量測模型兩者可描述一或多個目標結構及量測位置。 In general, the systems and methods described herein can be implemented as part of a process for preparing an integrated measurement model for offline or instrumental measurement. Additionally, both the measurement model and any of the pre-parametric measurement models can describe one or more target structures and measurement locations.

如本文中所描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中部臨界尺寸、頂部臨界尺寸、側壁角度、光柵高度等)、任何兩個或兩個以上結構之間之一臨界尺寸(例如,兩個結構之間之距離)及兩個或兩個以上結構之間之一移位(例如,重疊光柵結構之間之重疊移位等)。結構可包含三維結構、圖案化結構、重疊結構等。 As described herein, the term "critical dimension" encompasses any critical dimension of a structure (eg, bottom critical dimension, central critical dimension, top critical dimension, sidewall angle, grating height, etc.), any two or more structures One of the critical dimensions (eg, the distance between the two structures) and one of the two or more structures (eg, overlapping shifts between overlapping grating structures, etc.). The structure may include a three-dimensional structure, a patterned structure, an overlapping structure, and the like.

如本文中所描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。 As described herein, the terms "critical dimension application" or "critical dimension measurement application" encompass any critical dimension measurement.

如本文中所描述,術語「量測系統」包含經採用至少部分以在包含諸如臨界尺寸量測、重疊量測、聚焦/劑量量測及組成量測之量 測應用之任何態樣中特徵化一樣品之任何系統。然而,此等技術術語不限制如本文中描述之術語「量測系統」之範疇。另外,量測系統100可經組態以用於圖案化晶圓及/或未圖案化晶圓之量測。量測系統可經組態為一LED檢驗工具、邊緣檢驗工具、背側檢驗工具、巨觀檢驗工具或多模式檢驗工具(涉及同時來自一或多個平台之資料)及基於臨界尺寸資料自系統參數之校準獲益之任何其他量測或檢驗工具。 As described herein, the term "measurement system" includes employed at least in part to include quantities such as critical dimension measurements, overlap measurements, focus/dose measurements, and composition measurements. Any system that characterizes a sample in any aspect of the application. However, such technical terms do not limit the scope of the term "measurement system" as described herein. Additionally, measurement system 100 can be configured for measurement of patterned wafers and/or unpatterned wafers. The measurement system can be configured as an LED inspection tool, an edge inspection tool, a backside inspection tool, a giant inspection tool or a multi-mode inspection tool (involving data from one or more platforms at the same time) and a critical dimension based self-system Any other measurement or inspection tool that benefits the calibration of the parameters.

針對可用於處理一樣品之一半導體處理系統(例如,一檢驗系統或一微影系統)在本文中描述多種實施例。在本文中使用術語「樣品」以指一晶圓、一主光罩或可藉由該技術中已知之方法處理(例如,印刷或檢驗缺陷)之任何其他樣品。 Various embodiments are described herein for a semiconductor processing system (eg, an inspection system or a lithography system) that can be used to process a sample. The term "sample" is used herein to mean a wafer, a master mask, or any other sample that can be processed (e.g., printed or inspected for defects) by methods known in the art.

如本文中所使用,術語「晶圓」一般指由一半導體或非半導體形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。通常可在半導體製造設施中發現及/或製造此等基板。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多層不同材料。形成於一晶圓上之一或多層可係「圖案化」或「未圖案化」。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。 As used herein, the term "wafer" generally refers to a substrate formed from a semiconductor or non-semiconductor. Examples include, but are not limited to, single crystal germanium, gallium arsenide, and indium phosphide. Such substrates are typically found and/or fabricated in semiconductor fabrication facilities. In some cases, a wafer may only comprise a substrate (ie, a bare wafer). Alternatively, a wafer may comprise one or more layers of different materials formed on a substrate. One or more of the layers formed on a wafer may be "patterned" or "unpatterned." For example, a wafer can include a plurality of dies having repeatable pattern features.

一「主光罩」可係在一主光罩製造程序之任何階段處之一主光罩或可或不可經釋放以用於一半導體製造設施內之一經完成主光罩。一主光罩或一「遮罩」一般經定義為具有形成於其上且在一圖案中經組態之實質上非透明區域之一實質上透明基板。基板可包含(例如)諸如非晶SiO2之一玻璃材料。一主光罩可在一微影製程之一曝光步驟期間安置於一光阻覆蓋晶圓上方使得主光罩上之圖案可傳送至光阻。 A "main reticle" can be attached to one of the main reticle manufacturing processes at one stage of the master reticle manufacturing process or can be or can not be released for use in one of the semiconductor fabrication facilities to complete the main reticle. A primary reticle or "mask" is generally defined as having substantially transparent substrate formed on one of the substantially non-transparent regions formed thereon and configured in a pattern. The substrate may comprise, for example, a glass material such as amorphous SiO 2 . A primary reticle can be placed over a photoresist-covered wafer during one of the exposure steps of a lithography process such that the pattern on the primary reticle can be transferred to the photoresist.

形成於一晶圓上之一或多層可係圖案化或未圖案化。舉例而言,一晶圓可包含複數個晶粒,各個晶粒具有可重複圖案特徵。此等材料層之形成及處理可最終導致經完成裝置。許多不同類型之裝置可形 成於一晶圓上,且如本文中使用之術語晶圓意欲涵蓋一晶圓,在該技術中已知之任何類型之裝置在該晶圓上經製造。 One or more of the layers formed on a wafer may be patterned or unpatterned. For example, a wafer can include a plurality of dies, each having repeatable pattern features. The formation and processing of such material layers can ultimately result in a completed device. Many different types of devices can be shaped The term wafer is formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is fabricated.

在一或多個例示性實施例中,可在硬體、軟體、韌體或其等之任何組合中實施經描述之函數。若實施於軟體中,函數可作為一或多個指令或代碼儲存於一電腦可讀媒體中或透過一電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及包含促進一電腦程式自一位置至另一位置之傳輸之任何媒體之通信媒體兩者。一儲存媒體可係可由一通用或專用電腦存取之任何可用媒體。藉由實例且非限制,此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光學磁碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於傳送或儲存呈指令或資料結構之形式之所要程式代碼且可由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。另外,將任何連接適當稱為一電腦可讀媒體。舉例而言,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或諸如紅外線、無線電及微波之無線技術之其他遠端源自一網站傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或諸如紅外線、無線電及微波之無線技術包含於媒體之定義中。如本文中所使用之磁碟及光碟包含光碟(CD)、雷射光碟、光學碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性複製資料而光碟使用雷射光學複製資料。上文之組合亦應包含於電腦可讀媒體之範疇中。 In one or more exemplary embodiments, the functions described can be implemented in any combination of hardware, software, firmware, or the like. If implemented in software, the functions may be stored as one or more instructions or code in a computer readable medium or transmitted through a computer readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transmission of a computer program from one location to another. A storage medium can be any available media that can be accessed by a general purpose or special purpose computer. By way of example and not limitation, such computer-readable media may comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, disk storage or other magnetic storage device or may be used for transmitting or storing instructions or The desired program code in the form of a data structure and any other medium that can be accessed by a general purpose or special purpose computer or a general purpose or special purpose processor. In addition, any connection is appropriately referred to as a computer readable medium. For example, if a coaxial cable, fiber optic cable, twisted pair cable, digital subscriber line (DSL), or other remote technologies such as infrared, radio, and microwave technologies are used to transmit software from a website, coaxial cable, fiber optic cable. , twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of the media. Disks and optical discs as used herein include compact discs (CDs), laser discs, optical discs, digital versatile discs (DVDs), floppy discs, and Blu-ray discs, where the discs are usually magnetically replicated and the discs are laser optics. Copy the data. Combinations of the above should also be included in the scope of computer readable media.

雖然為了指示性目的在上文中描述某些特定實施例,但此專利文獻之教示具有一般可應用性且不限於上文中描述之特定實施例中。因此,可進行所描述之實施例之多種特徵之多種修改、調適及組合而不脫離如申請專利範圍中提出之本發明之範疇。 Although certain specific embodiments are described above for illustrative purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Therefore, various modifications, adaptations and combinations of the various features of the described embodiments can be made without departing from the scope of the invention as set forth in the appended claims.

300‧‧‧系統/量測系統 300‧‧‧System/Measuring System

301‧‧‧樣品 301‧‧‧sample

302‧‧‧照明器 302‧‧‧ illuminators

304‧‧‧光譜儀 304‧‧‧ Spectrometer

306‧‧‧偏光照明光束 306‧‧‧Polarized illumination beam

307‧‧‧偏光狀態產生器 307‧‧‧Polarized state generator

308‧‧‧光束 308‧‧‧ Beam

309‧‧‧偏光狀態分析器 309‧‧‧Polarized state analyzer

311‧‧‧光譜 311‧‧‧Spectrum

330‧‧‧計算系統 330‧‧‧Computation System

331‧‧‧處理器 331‧‧‧ processor

332‧‧‧記憶體 332‧‧‧ memory

333‧‧‧匯流排 333‧‧‧ busbar

334‧‧‧程式指令 334‧‧‧Program Instructions

340‧‧‧樣品參數/輸出信號 340‧‧‧sample parameters/output signals

Claims (31)

一種方法,其包括:藉由一量測工具接收與一目標結構之量測相關聯之一定量之量測資料;基於至該目標結構之一整合量測模型之該定量之量測資料之一適配來判定特徵化該目標結構之一組參數值,其中該整合量測模型係基於一以製程為基礎之目標模型及一以量測為基礎之目標模型;及將該組參數值儲存於一記憶體中。 A method comprising: receiving, by a metrology tool, a quantitative measurement data associated with a measurement of a target structure; and integrating one of the quantitative measurement data of the measurement model based on one of the target structures Adapting to determine a set of parameter values that characterize the target structure, wherein the integrated measurement model is based on a process-based target model and a measurement-based target model; and storing the set of parameter values in In a memory. 如請求項1之方法,其中該以製程為基礎之目標模型係根據至少一製程變數來特徵化該目標結構。 The method of claim 1, wherein the process-based target model characterizes the target structure based on at least one process variable. 如請求項2之方法,進一步包括:基於該以製程為基礎之目標模型之一或多個參數與該以量測為基礎之目標模型之一或多個參數之間之一鏈結來產生該整合量測模型。 The method of claim 2, further comprising: generating the one based on one of a process-based target model or one of a plurality of parameters and one or more parameters of the measurement-based target model Integrate the measurement model. 如請求項3之方法,其中該鏈結係對基於製程模型參數值之一範圍判定之一量測模型參數之值之一範圍之一限制。 The method of claim 3, wherein the link is limited to one of a range of values of the one of the measurement model parameters based on a range of process model parameter values. 如請求項3之方法,其中該鏈結係對自該以製程為基礎之目標模型判定之該一或多個量測參數之一限制。 The method of claim 3, wherein the link is limited to one of the one or more measurement parameters determined from the process-based target model. 如請求項3之方法,其中該鏈結係自該以製程為基礎之目標模型判定之兩個或兩個以上量測模型參數之間之一函數關係。 The method of claim 3, wherein the link is a functional relationship between two or more of the measured model parameters determined by the process-based target model. 如請求項1之方法,進一步包括:基於至該目標結構之該整合量測模型之該定量之量測資料之一適配來判定一或多個製程參數值;及將該一或多個製程參數值儲存於一記憶體中。 The method of claim 1, further comprising: determining one or more process parameter values based on one of the quantitative measurement data of the integrated measurement model to the target structure; and the one or more processes The parameter values are stored in a memory. 如請求項7之方法,其中該一或多個製程參數係該目標結構之該整合量測模型之參數。 The method of claim 7, wherein the one or more process parameters are parameters of the integrated measurement model of the target structure. 如請求項7之方法,其中該一或多個製程參數僅係該目標結構之該整合量測模型之參數。 The method of claim 7, wherein the one or more process parameters are only parameters of the integrated measurement model of the target structure. 如請求項7之方法,其中該判定該一或多個製程參數值涉及基於至該整合量測模型之該定量之量測資料之該適配來判定一或多個量測參數值及基於該一或多個製程參數與該一或多個量測參數之間之一函數關係來判定該一或多個製程參數值。 The method of claim 7, wherein the determining the one or more process parameter values relates to determining the one or more measurement parameter values based on the adaptation of the quantitative measurement data to the integrated measurement model and based on the The one or more process parameter values are determined in a functional relationship with the one or more measurement parameters to determine the one or more process parameter values. 如請求項7之方法,其中該判定該一或多個製程參數值涉及將該量測資料轉換至主要成份及直接自該等主要成份判定該一或多個製程參數值。 The method of claim 7, wherein the determining the one or more process parameter values involves converting the measurement data to a primary component and determining the one or more process parameter values directly from the primary components. 如請求項7之方法,其中該一或多個製程參數值包含一聚焦深度值、一曝光值、一蝕刻時間及一沈積時間之任何者。 The method of claim 7, wherein the one or more process parameter values comprise any one of a depth of focus value, an exposure value, an etch time, and a deposition time. 一種方法,其包括:藉由一量測工具接收與一目標結構之量測相關聯之一定量的量測資料;判定特徵化一製程之一或多個製程參數值,該製程經採用以基於該定量之量測資料及該目標結構之一整合量測模型來產生該目標結構;及將該一或多個製程參數值儲存於一記憶體中。 A method comprising: receiving, by a metrology tool, a quantitative measurement data associated with a measurement of a target structure; determining one or more process parameter values of the characterization process, the process being employed to The quantitative measurement data and one of the target structures are integrated with the measurement model to generate the target structure; and the one or more process parameter values are stored in a memory. 如請求項13之方法,其中該判定該一或多個製程參數涉及基於至該以量測為基礎之目標模型之該定量之量測之一適配來判定至少一幾何參數,及基於該以製程為基礎之目標模型及該至少一幾何參數來判定該一或多個製程參數值。 The method of claim 13, wherein the determining the one or more process parameters relates to determining at least one geometric parameter based on the one of the quantitative measurements to the target model based on the measurement, and based on the The process-based target model and the at least one geometric parameter determine the one or more process parameter values. 如請求項14之方法,其中該以製程為基礎之目標模型係一神經 網路模型。 The method of claim 14, wherein the process-based target model is a nerve Network model. 如請求項15之方法,進一步包括:至少部分基於製程模型資料來訓練該神經網路模型。 The method of claim 15, further comprising: training the neural network model based at least in part on the process model data. 如請求項13之方法,其中該整合量測模型係一神經網路模型,且進一步包括:至少部分基於製程模型資料及對應於該製程模型資料之量測信號來訓練該神經網路模型。 The method of claim 13, wherein the integrated measurement model is a neural network model, and further comprising: training the neural network model based at least in part on the process model data and the measurement signals corresponding to the process model data. 如請求項13之方法,進一步包括:基於一以製程為基礎之目標模型之模擬結果來產生該整合量測模型。 The method of claim 13, further comprising: generating the integrated measurement model based on a simulation result of a process-based target model. 如請求項13之方法,其中該一或多個製程參數值包含一聚焦深度值、一曝光值、一蝕刻時間及一沈積時間之任何者。 The method of claim 13, wherein the one or more process parameter values comprise any one of a depth of focus value, an exposure value, an etch time, and a deposition time. 如請求項13之方法,其中該判定特徵化一製程之該一或多個製程參數值涉及將該量測資料轉換至主要成份及直接自該等主要成份判定該一或多個製程參數值。 The method of claim 13, wherein the determining the one or more process parameter values characterizing a process involves converting the measurement data to a primary component and determining the one or more process parameter values directly from the primary components. 一種系統,其包括:一光學量測工具,其包含一照明源及經組態以執行一目標結構之量測之一偵測器;及一電腦系統,其經組態以:藉由該量測工具接收與一目標結構之量測相關聯之一定量的量測資料;基於至該目標結構之一整合量測模型之該定量之量測資料之一適配來判定特徵化該目標結構之一組參數值,其中該整合量測模型係基於一以製程為基礎之目標模型及一以量測為基礎之目標模型;及將該組參數值儲存於一記憶體中。 A system comprising: an optical metrology tool comprising an illumination source and a detector configured to perform a measurement of a target structure; and a computer system configured to: by the amount The measuring tool receives a quantitative measurement data associated with the measurement of a target structure; determining, based on one of the quantitative measurement data of the integrated measurement model to the target structure, determining the characteristic structure of the target structure A set of parameter values, wherein the integrated measurement model is based on a process-based target model and a measurement-based target model; and storing the set of parameter values in a memory. 如請求項21之系統,其中該以製程為基礎之目標模型根據至少一製程變數來特徵化該目標結構。 The system of claim 21, wherein the process-based target model characterizes the target structure based on at least one process variable. 如請求項22之系統,其中該整合量測模型係基於該以製程為基礎之目標模型之一或多個參數與該以量測為基礎之目標模型之一或多個參數之間之一鏈結。 The system of claim 22, wherein the integrated measurement model is based on a chain between one or more parameters of the process-based target model and one or more parameters of the measurement-based target model Knot. 如請求項21之系統,其中該整合量測模型係基於一以製程為基礎之目標模型的模擬結果。 The system of claim 21, wherein the integrated measurement model is based on a simulation result of a process-based target model. 如請求項21之系統,進一步包括:基於至該目標結構之該整合量測模型之該定量之量測資料之一適配來判定一或多個製程參數值;及將該一或多個製程參數值儲存於一記憶體中。 The system of claim 21, further comprising: determining one or more process parameter values based on one of the quantitative measurement data of the integrated measurement model to the target structure; and the one or more processes The parameter values are stored in a memory. 如請求項25之系統,其中該判定該一或多個製程參數值涉及將該量測資料轉換至主要成份及直接自該等主要成份判定該一或多個製程參數值。 The system of claim 25, wherein the determining the one or more process parameter values involves converting the measurement data to a primary component and determining the one or more process parameter values directly from the primary components. 如請求項25之系統,其中該一或多個製程參數值包含一聚焦深度值、一曝光值、一蝕刻時間及一沈積時間之任何者。 The system of claim 25, wherein the one or more process parameter values comprise any one of a depth of focus value, an exposure value, an etch time, and a deposition time. 一種系統,其包括:一量測工具,其包含一照明源及經組態以執行一目標結構之量測之一偵測器;及一電腦系統,其經組態以:藉由該量測工具接收與一目標結構之量測相關聯之一定量的量測資料;判定特徵化一製程之一或多個製程參數值,該製程經採用以基於該定量之量測資料及該目標結構之一整合量測模型來產生該目標結構;及 將該一或多個製程參數值儲存於一記憶體中。 A system comprising: a metrology tool comprising an illumination source and a detector configured to perform a measurement of a target structure; and a computer system configured to: use the measurement The tool receives a quantitative measurement data associated with the measurement of a target structure; determining one or more process parameter values of the characterization process, the process being employed to measure the data and the target structure based on the quantitative An integrated measurement model to generate the target structure; and The one or more process parameter values are stored in a memory. 如請求項28之系統,其中該判定該一或多個製程參數涉及基於至該以量測為基礎之目標模型之該定量之量測之一適配來判定至少一幾何參數,及基於該以製程為基礎之目標模型及該至少一幾何參數來判定該一或多個製程參數值。 The system of claim 28, wherein the determining the one or more process parameters relates to determining at least one geometric parameter based on the one of the quantitative measurements to the target model based on the measurement, and based on the The process-based target model and the at least one geometric parameter determine the one or more process parameter values. 如請求項28之系統,其中該判定該一或多個製程參數值涉及將該量測資料轉換至主要成份及直接自該等主要成份判定該一或多個製程參數值。 The system of claim 28, wherein the determining the one or more process parameter values relates to converting the measurement data to a primary component and determining the one or more process parameter values directly from the primary components. 如請求項28之系統,其中該一或多個製程參數值包含一聚焦深度值、一曝光值、一蝕刻時間及一沈積時間之任何者。 The system of claim 28, wherein the one or more process parameter values comprise any one of a depth of focus value, an exposure value, an etch time, and a deposition time.
TW102147330A 2013-12-16 2013-12-19 Integrated use of model-based metrology and a process model TWI631636B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/107,850 US10769320B2 (en) 2012-12-18 2013-12-16 Integrated use of model-based metrology and a process model
US14/107,850 2013-12-16

Publications (2)

Publication Number Publication Date
TW201526130A true TW201526130A (en) 2015-07-01
TWI631636B TWI631636B (en) 2018-08-01

Family

ID=54198724

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102147330A TWI631636B (en) 2013-12-16 2013-12-19 Integrated use of model-based metrology and a process model

Country Status (1)

Country Link
TW (1) TWI631636B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI629574B (en) * 2016-02-22 2018-07-11 荷蘭商Asml荷蘭公司 Separation of contributions to metrology data
TWI677770B (en) * 2017-08-31 2019-11-21 日商日立製作所股份有限公司 Computer, method for determining processing control parameter, substitute sample, measurement system, and measurement method
TWI753030B (en) * 2016-10-18 2022-01-21 美商克萊譚克公司 Full beam metrology for x-ray scatterometry systems
CN114830141B (en) * 2019-12-02 2023-11-03 科磊股份有限公司 Measurement recipe optimization based on probabilistic domain knowledge and physical realization

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7009704B1 (en) * 2000-10-26 2006-03-07 Kla-Tencor Technologies Corporation Overlay error detection
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7642100B2 (en) * 2006-09-13 2010-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for yield and productivity improvements in semiconductor processing
KR101475967B1 (en) * 2007-03-30 2014-12-23 도쿄엘렉트론가부시키가이샤 In-line lithography and etch system
US20110246400A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited System for optical metrology optimization using ray tracing
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI629574B (en) * 2016-02-22 2018-07-11 荷蘭商Asml荷蘭公司 Separation of contributions to metrology data
TWI668524B (en) * 2016-02-22 2019-08-11 荷蘭商Asml荷蘭公司 Methods for processing metrology data, processing variables of a pattern of a substrate and predicting a contour, and computer program product
TWI721496B (en) * 2016-02-22 2021-03-11 荷蘭商Asml荷蘭公司 Methods for processing metrology data and computer program products
US11520239B2 (en) 2016-02-22 2022-12-06 Asml Netherlands B.V. Separation of contributions to metrology data
TWI810540B (en) * 2016-02-22 2023-08-01 荷蘭商Asml荷蘭公司 Methods for processing metrology data and computer program products
TWI753030B (en) * 2016-10-18 2022-01-21 美商克萊譚克公司 Full beam metrology for x-ray scatterometry systems
US11313816B2 (en) 2016-10-18 2022-04-26 Kla Corporation Full beam metrology for x-ray scatterometry systems
TWI677770B (en) * 2017-08-31 2019-11-21 日商日立製作所股份有限公司 Computer, method for determining processing control parameter, substitute sample, measurement system, and measurement method
CN114830141B (en) * 2019-12-02 2023-11-03 科磊股份有限公司 Measurement recipe optimization based on probabilistic domain knowledge and physical realization

Also Published As

Publication number Publication date
TWI631636B (en) 2018-08-01

Similar Documents

Publication Publication Date Title
KR102245695B1 (en) Integrated use of model-based metrology and a process model
TWI703653B (en) Model-based single parameter measurement
TWI686684B (en) Measurement systems and methods
TWI615909B (en) Method and system for creating a semiconductor measurement model
KR102137848B1 (en) Measurement recipe optimization based on spectral sensitivity and process variation
JP7316274B2 (en) Automatic optimization of measurement accuracy through advanced machine learning technology
CN107741207B (en) Measurement of multiple patterning parameters
US9721055B2 (en) Measurement model optimization based on parameter variations across a wafer
KR102013483B1 (en) Metrology system optimization for parameter tracking
US20150058813A1 (en) Multi-model metrology
KR102644768B1 (en) Measurement recipe optimization and physical realization based on probability domain knowledge
TWI631636B (en) Integrated use of model-based metrology and a process model