TW201515097A - Etch process for reducing directed self assembly pattern defectivity using direct current superpositioning - Google Patents

Etch process for reducing directed self assembly pattern defectivity using direct current superpositioning Download PDF

Info

Publication number
TW201515097A
TW201515097A TW103129001A TW103129001A TW201515097A TW 201515097 A TW201515097 A TW 201515097A TW 103129001 A TW103129001 A TW 103129001A TW 103129001 A TW103129001 A TW 103129001A TW 201515097 A TW201515097 A TW 201515097A
Authority
TW
Taiwan
Prior art keywords
substrate
pattern
block copolymer
layer
etching process
Prior art date
Application number
TW103129001A
Other languages
Chinese (zh)
Other versions
TWI536450B (en
Inventor
Vidhya Chakrapani
Akiteru Ko
Kaushik Kumar
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/018,329 external-priority patent/US9153457B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201515097A publication Critical patent/TW201515097A/en
Application granted granted Critical
Publication of TWI536450B publication Critical patent/TWI536450B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A method for preparing a patterned directed self-assembly layer for reducing directed self-assembly pattern defectivity using direct current superpositioning is provided. A substrate having a block copolymer layer overlying a first intermediate layer, said block copolymer layer comprising a first phase-separated polymer defining a first pattern and a second phase-separated polymer defining a second pattern in said block copolymer layer is provided. A first plasma etching process using plasma formed of a first process composition to remove said second phase-separated polymer while leaving behind said first pattern of said first phase-separated polymer is performed. A second plasma etching process to transfer said first pattern into said first intermediate layer using plasma formed of a second process composition is performed. In an embodiment, said first phase-separated polymer is exposed to an electron beam preceding, during, or following said first plasma etching process, or preceding or during said second plasma etching process.

Description

用以降低使用直流重疊之定向自組裝圖樣瑕疵狀態的蝕刻程序Etching procedure to reduce the state of the self-assembled pattern using DC overlap

本發明係相關於在在層狀物件中形成圖案的方法、及其所形成的層狀物件;且更具體而言,係與使用直流重疊在定向自組裝應用中減少圖案崩塌和其他圖案缺陷的方法相關。The present invention relates to a method of forming a pattern in a layered article, and a layered article formed thereof; and more particularly, to reducing pattern collapse and other pattern defects in a directed self-assembly application using direct current overlap. Method related.

在半導體元件生產上,保持成本與性能競爭性的需求已造成積體電路的元件密度持續提升。為在半導體積體電路中達成較高整合與微型化,亦須達成於半導體晶圓上所形成的電路圖案微型化。In the production of semiconductor components, the need to maintain cost and performance competitiveness has resulted in a continuous increase in component density of integrated circuits. In order to achieve higher integration and miniaturization in semiconductor integrated circuits, it is also necessary to achieve miniaturization of circuit patterns formed on semiconductor wafers.

光微影係一種標準技術,用於藉由將遮罩上的幾何形狀和圖案轉移至半導體晶圓表面以製造半導體積體電路。然而, 目前最先進的光微影工具允許最小特徵部尺寸下降至約25nm。因此,需要新的方法形成更小的特徵部。Light lithography is a standard technique used to fabricate semiconductor integrated circuits by transferring the geometry and pattern on the mask to the surface of the semiconductor wafer. However, the most advanced photolithography tools currently allow the minimum feature size to drop to approximately 25 nm. Therefore, new methods are needed to form smaller features.

嵌段共聚物( BCPs)自組裝已被視為是一種有潛力的工具,其能將解析度提升至優於單獨使用習知微影技術所獲得數值之更佳數值。嵌段共聚物在奈米製造中係有用的化合物,這是因為它們可在冷卻至低於特定溫度(有序-無序轉變溫度TOD)時進行有序-無序轉變,如此會造成不同化學性質之共聚物嵌段的相分離, 而形成數十奈米或甚至小於10nm尺寸的有序、化性不同區域。可藉由操控共聚物之不同嵌段類型的分子量和組成,而控制區域的尺寸和形狀。區域之間的介面可具有1 nm至5nm等級的寬度 ,並且可藉由共聚物嵌段之化學組成的調整而加以操控。Self-assembly of block copolymers (BCPs) has been recognized as a potential tool that can increase the resolution to better values than those obtained using conventional lithography techniques alone. Block copolymers are useful compounds in nanofabrication because they can undergo order-disorder transitions when cooled below a certain temperature (order-disorder transition temperature TOD), which can result in different chemistry The phase separation of the copolymer blocks of the nature forms different regions of order and chemistry which are tens of nanometers or even less than 10 nm in size. The size and shape of the regions can be controlled by manipulating the molecular weight and composition of the different block types of the copolymer. The interface between the regions may have a width on the order of 1 nm to 5 nm and can be manipulated by adjustment of the chemical composition of the copolymer block.

嵌段共聚物可在自組裝時形成的諸多不同的相,其係取決於嵌段的體積分率、每一嵌段類型的聚合程度(即:每個個別嵌段中之每個個別類型的單體數目)、溶劑的選用性使用、及表面交互作用。當應用於薄膜中時,幾何上的限制約束可能造成額外的邊界條件,其可能會限制相的數目。一般來說 ,實際上在自組裝嵌段共聚物的薄膜中會觀察到球形(例如:立方)、圓柱形(例如:四方或六方)、和層狀相(即: 具有立方、六方、或層狀空間填充對稱的自組裝相),且所觀察到的相類型可能係取決於不同聚合物嵌段的相對體積分率。自組裝聚合物相可以平行或垂直基板的對稱軸定向,而層狀和圓柱狀相對微影應用而言係引人注目,這是因為它們分別可以形成線與間隔的圖案、以及孔洞陣列,並可於一個區域類型隨後蝕刻時形成良好的對比度。The many different phases that a block copolymer can form upon self-assembly, depending on the volume fraction of the block, the degree of polymerization of each block type (ie: each individual type of each individual block) The number of monomers), the selective use of solvents, and surface interactions. When applied to a film, geometric constraints can create additional boundary conditions that may limit the number of phases. In general, spherical (eg, cubic), cylindrical (eg, tetragonal or hexagonal), and lamellar phases (ie, having cubic, hexagonal, or layer) are actually observed in the film of the self-assembling block copolymer. The space fills the symmetrical self-assembled phase, and the phase types observed may depend on the relative volume fraction of the different polymer blocks. Self-assembled polymer phases can be oriented parallel or perpendicular to the axis of symmetry of the substrate, while layered and cylindrical shapes are compelling for lithographic applications because they can form lines and spaces, and arrays of holes, respectively. Good contrast can be formed when a region type is subsequently etched.

用於將嵌段共聚物的自組裝指引或引導至表面上的二種方法係製圖磊晶法及化學預圖案方法(亦稱為化學磊晶法)。在製圖磊晶法中,嵌段共聚物的自我組織(self-organization)係受到基板表面形貌(topographical)預圖案化引導。自我對準的嵌段共聚物可以形成平行線性圖案,具有在由圖案化基板所界定的溝槽中之不同聚合物嵌段區域的相鄰線。例如,倘若嵌段共聚物係在聚合物鏈中為「帶有A及B嵌段的雙嵌段共聚物」,其中在本質上A係親水性而B係疏水性,則A嵌段可組裝成「鄰近溝槽側壁形成的區域」(倘若該側壁本質上亦係親水性)。藉由細分基板上之預圖案間隔的嵌段共聚物圖案,將解析度提高到優於圖案化基板的解析度。Two methods for directing or directing the self-assembly of the block copolymer onto the surface are the patterning epitaxy method and the chemical pre-patterning method (also known as chemical epitaxy). In the pattern epitaxy process, the self-organization of the block copolymer is guided by a topographical pre-patterning of the substrate. The self-aligned block copolymers can form a parallel linear pattern with adjacent lines of different polymer block regions in the trench defined by the patterned substrate. For example, if the block copolymer is a "diblock copolymer with A and B blocks" in the polymer chain, in which A is hydrophilic in nature and B is hydrophobic, then A block can be assembled. It is "a region formed adjacent to the sidewall of the trench" (provided the sidewall is also hydrophilic in nature). The resolution is improved to better than the resolution of the patterned substrate by subdividing the pre-pattern spaced block copolymer pattern on the substrate.

在化學磊晶法,嵌段共聚物區域的自組裝係受到基板上的化學圖案(即:化學模板)引導。化學圖案與嵌段共聚物鏈中之共聚物嵌段類型的至少一者之間的化學親和力(affinity)可造成將區域類型其中一者精確放置(此處亦稱為針紮(pinning))於基板上的化學圖案之一對應區域之上。例如,倘若嵌段共聚物係具有A及B嵌段的雙嵌段共聚物,其中本質上A係親水性而B係疏水性,且化學圖案係由具有疏水性區域(該區域鄰近對A及B而言為中性之區域)的表面構成,則B區域可能會優先組裝至疏水性區域上,而因此促使隨後A及B嵌段在中性區域上的對準。如同製圖磊晶的對準方法,可藉由細分基板上之預圖案化特徵部間隔的嵌段共聚物圖案(亦稱為密度或頻率倍增),將解析度提高到優於圖案化基板的解析度。然而,化學磊晶法不限制於線性的預圖案;例如,預圖案可為適合作為與「形成圓柱狀相的嵌段共聚物」一同使用之圖案的2-D點陣列型式。例如,製圖磊晶法及化學磊晶法可用於引導層狀或圓柱狀相的自我組織,其中不同區域的類型在基板表面上並排地排列。In chemical epitaxy, the self-assembly of the block copolymer regions is guided by chemical patterns on the substrate (ie, chemical templates). The chemical affinity between the chemical pattern and at least one of the copolymer block types in the block copolymer chain can result in the precise placement of one of the region types (also referred to herein as pinning). One of the chemical patterns on the substrate corresponds to a region above it. For example, if the block copolymer is a diblock copolymer having A and B blocks, wherein A is hydrophilic in nature and B is hydrophobic, and the chemical pattern is composed of a hydrophobic region (the region is adjacent to A and The surface composition of the region of B, which is neutral, may be preferentially assembled onto the hydrophobic region, thus facilitating the alignment of the subsequent A and B blocks on the neutral region. As with the alignment method of the pattern epitaxy, the resolution can be improved to be better than that of the patterned substrate by subdividing the block copolymer pattern (also referred to as density or frequency multiplication) of the pre-patterned features on the substrate. degree. However, the chemical epitaxy method is not limited to a linear pre-pattern; for example, the pre-pattern may be a 2-D dot array pattern suitable as a pattern for use with the "block copolymer forming a cylindrical phase". For example, the patterning epitaxy method and the chemical epitaxial method can be used to guide the self-organization of a layered or cylindrical phase in which the types of different regions are arranged side by side on the surface of the substrate.

因此,為了利用由嵌段共聚物的製圖磊晶法和化學磊晶法所提供的優點,須利用新的微影圖案化和定向自組裝技術。然而,當自相分離(phase-separated)PMMA層移除聚苯乙烯-b-聚(甲基丙烯酸甲酯)(PMMA),而留下聚苯乙烯(PS)圖案時,習知的蝕刻技術會產生圖案缺陷,例如:線邊緣粗糙度/線寬粗糙度 (LER / LWR),如此係無法接受。在極端的情況中,由於圖案崩塌,PS之缺陷會是災難性的,這將於下文更詳細地討論。需要受控蝕刻技術及製程,產生可接受的結果。Therefore, in order to take advantage of the advantages provided by the patterned epitaxial and chemical epitaxial methods of block copolymers, new lithographic patterning and directed self-assembly techniques must be utilized. However, conventional etching techniques are used when the phase-separated PMMA layer removes polystyrene-b-poly(methyl methacrylate) (PMMA) leaving a polystyrene (PS) pattern. Pattern defects, such as line edge roughness/line width roughness (LER / LWR), are unacceptable. In extreme cases, the defects of the PS can be catastrophic due to pattern collapse, which will be discussed in more detail below. Controlled etching techniques and processes are required to produce acceptable results.

本發明提供一種用以製備圖案化定向自組裝層的方法,其使用直流重疊降低定向自組裝圖案缺陷度。設置具有一嵌段共聚物層的基板,該嵌段共聚物層覆蓋一中間層,該嵌段共聚物層包含:一第一相分離聚合物,其在該嵌段共聚物層中界定出一第一圖案;及一第二相分離聚合物,其在該嵌段共聚物層中界定出一第二圖案。使用由第一製程組成物所形成的電漿進行第一電漿蝕刻,以選擇性地移除該第二相分離聚合物,而於該基板的表面上留下該第一相分離聚合物的該第一圖案;及使用由含有含鹵素氣體的第二製程組成物所形成的電漿進行第二電漿蝕刻製程,以將該第一圖案至少部分地轉移至該中間層。在一實施例中,將該第一相分離聚合物暴露於電子束。該第一相分離聚合物對電子束的暴露係進行於第一電漿蝕刻製程之前、期間、或之後,或進行於第二電漿蝕刻製程之前、或期間。The present invention provides a method for preparing a patterned oriented self-assembled layer that uses DC overlap to reduce the degree of defect in the oriented self-assembled pattern. Providing a substrate having a block copolymer layer covering an intermediate layer, the block copolymer layer comprising: a first phase separation polymer defining a layer in the block copolymer layer a first pattern; and a second phase separated polymer defining a second pattern in the layer of the block copolymer. Performing a first plasma etch using a plasma formed from the first process composition to selectively remove the second phase separated polymer leaving the first phase separated polymer on the surface of the substrate The first pattern; and performing a second plasma etching process using a plasma formed of a second process composition containing a halogen-containing gas to at least partially transfer the first pattern to the intermediate layer. In an embodiment, the first phase separated polymer is exposed to an electron beam. The exposure of the first phase separation polymer to the electron beam is performed before, during, or after the first plasma etching process, or before, or during, the second plasma etching process.

在不同的實施例中所揭露的是用於形成包含自組裝材料之層狀基板的材料及方法。然而,熟習相關技藝者可了解,在不具一或多個特定細節,或者運用其他代替物和/或額外的方法、材料、或構件的情況下,仍可實行各種實施例。另一方面,此處不詳細顯示或描述眾所周知的結構、材料、或操作,以避免混淆本發明的各種實施例態樣。Disclosed in various embodiments are materials and methods for forming a layered substrate comprising a self-assembling material. It will be appreciated by those skilled in the art, however, that various embodiments may be practiced without one or more specific details, or other alternatives and/or additional methods, materials, or components. On the other hand, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring the various embodiments of the present invention.

同樣地,為了解釋之目的,闡述具體的數量、材料、以及構造,以對本發明徹底地瞭解。儘管如此,本發明可在沒有這些特定細節的情況下加以實施。再者,吾人應當了解,圖式中所示之各種實施例係說明性的表示,且不必然按比例繪製。參考圖式中,各處相同的數字表示相同的部件。Also, the specific quantities, materials, and configurations are set forth in order to explain the invention. Nevertheless, the invention may be practiced without these specific details. In addition, the various embodiments shown in the drawings are intended to be illustrative and not necessarily to scale. In the drawings, like numerals indicate like parts throughout.

整個此說明書所提及的「一實施例」或「一個實施例」或其變化係指就該實施例所述之特定的特徵部、結構、材料、或特性係包含在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,出現在整個此說明書之各種位置的用語,像是「在一實施例中」或「在一個實施例中」未必指的是本發明之相同實施例。又,特定的特徵部、結構、材料、或特性可以任一適當的方式結合在一個以上的實施例中。在其它實施例中可包含各種額外的層及/或結構,且/或可省略所述之特徵。The phrase "an embodiment" or "an embodiment" or variations thereof as used throughout this specification means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one implementation of the invention. In the examples, it is not meant to be present in every embodiment. Thus, the appearances of the various aspects of the present invention, such as "in an embodiment" or "in an embodiment" are not necessarily referring to the same embodiments of the invention. Further, the particular features, structures, materials, or characteristics may be combined in any of the various embodiments in any suitable manner. Various additional layers and/or structures may be included in other embodiments, and/or features may be omitted.

除此之外,吾人理解除非另外明確聲明,否則「一」或「一個」可意指「一個以上」。Apart from this, we understand that "one" or "one" may mean "one or more" unless expressly stated otherwise.

各種不同操作將以最有助於理解本發明的方式描述成依次的複數個別操作。然而,不應將所述之順序理解成暗示該等操作必定為順序相依。具體而言,該等操作不需以敘述的順序進行。所述之操作可以不同於所述之實施例的順序執行。在額外的實施例中可進行各種不同的額外操作及/或可省略所述之操作。Various different operations will be described as sequential multiple individual operations in a manner that is most helpful in understanding the invention. However, the order of the description should not be construed as implying that the operations must be in the order. In particular, such operations need not be performed in the order recited. The operations described may be performed in a different order than the described embodiments. Various additional operations may be performed in additional embodiments and/or the operations described may be omitted.

當使用於此處,用語「輻射敏感性材料」表示及包含光敏感性材料,例如:光阻。As used herein, the term "radiation-sensitive material" means and includes a light-sensitive material such as a photoresist.

當使用於此處,用語「聚合物嵌段」表示及包含將單一類型(即:均聚物嵌段)或複數類型(即:共聚物嵌段)構成單元之複數單體單元聚合成為具有若干長度之連續聚合物鏈,該連續聚合物鏈形成長度再更長之更大聚合物的一部分並與其它不同單體類型的聚合物嵌段展現出足以讓相分離發生之cN值。c為Flory-Huggins交互作用參數,而N為嵌段共聚物之總聚合度。根據本發明之實施例,較大共聚物中的一聚合物嵌段與至少一其它聚合物嵌段的cN值可能大於等於約10.5。As used herein, the term "polymer block" means and encompasses a plurality of monomer units comprising a single type (ie, a homopolymer block) or a complex type (ie, a copolymer block) as a unit. A continuous polymer chain of length that forms part of a larger polymer of longer length and exhibits a cN value sufficient for phase separation to occur with polymer blocks of other different monomer types. c is the Flory-Huggins interaction parameter and N is the total degree of polymerization of the block copolymer. According to an embodiment of the invention, the cN value of one polymer block and at least one other polymer block in the larger copolymer may be greater than or equal to about 10.5.

當使用於此處,用語「嵌段共聚物」表示及包含由複數鏈所構成之聚合物,其中每一鏈包含二個以上如以上所定義之聚合物嵌段,且該等嵌段之至少二者具有足以讓該等嵌段相分離之分離強度(例如:cN>10.5)。在此設想到許多不同的嵌段聚合物,包含雙嵌段共聚物(即:包含二聚合物嵌段之聚合物(AB))、三嵌段共聚物(即:包含三聚合物嵌段之聚合物(ABA或ABC))、多嵌段共聚物(即:包含大於三聚合物嵌段之聚合物(ABCD等))、及以上之組合。As used herein, the term "block copolymer" means and encompasses a polymer composed of a plurality of chains, wherein each chain comprises two or more polymer blocks as defined above, and at least the blocks Both have a separation strength sufficient to separate the blocks (e.g., cN > 10.5). Many different block polymers are contemplated herein, comprising a diblock copolymer (ie, a polymer (AB) comprising a dipolymer block), a triblock copolymer (ie, comprising a tripolymer block) Polymer (ABA or ABC)), multi-block copolymer (ie, a polymer comprising more than three polymer blocks (ABCD, etc.)), and combinations thereof.

當使用於此處,用語「基板」表示及包含材料形成於其上的基材或結構。吾人能理解基板可包含:單一材料、複數層不同材料、其中具有不同材料或不同結構之區域的一層或複數層等。該等材料可包含:半導體、絕緣體、導體、或以上之組合。例如,基板可為半導體基板;支持結構上的基底半導體層;具有形成於其上之一或多層、結構或區域的金屬電極或半導體基板。基板可為習知之矽基板或包含半導電性材料層之其它的主體基板。當使用於此處,用語「主體基板(bulk substrate)」不僅僅表示及包含矽晶圓,亦表示及包含矽絕緣體(SOI)基板(例如:矽藍寶石(SOS)基板、及矽玻璃(SOG)基板)、基底半導體基部上的矽磊晶層、及其他的半導體或光電材料(例如:矽-鍺、鍺、砷化鎵、氮化鎵、和磷化銦)。基板可經摻雜或未摻雜。As used herein, the term "substrate" means and includes a substrate or structure on which a material is formed. It can be understood that the substrate may comprise: a single material, a plurality of layers of different materials, one or more layers of regions having different materials or different structures, and the like. The materials may comprise: a semiconductor, an insulator, a conductor, or a combination of the above. For example, the substrate can be a semiconductor substrate; a base semiconductor layer on the support structure; a metal electrode or a semiconductor substrate having one or more layers, structures or regions formed thereon. The substrate can be a conventional germanium substrate or other host substrate comprising a layer of semiconducting material. As used herein, the term "bulk substrate" means not only and includes germanium wafers, but also includes and includes germanium insulator (SOI) substrates (eg, sapphire (SOS) substrates, and germanium glass (SOG). a substrate, a germanium epitaxial layer on the base of the base semiconductor, and other semiconductor or optoelectronic materials (eg, germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide). The substrate can be doped or undoped.

當使用於此處,用語「微相分離」及「微相分隔」表示及包含嵌段共聚物之均質嵌段藉以互相聚集而異質嵌段藉以分離成不同區域之性質。在主體中,嵌段共聚物可自組裝成具有球狀、圓柱狀、層狀、雙連續螺旋二十四面體、或雜臂星形微域狀(miktoarm star microdomain)的有序形態,其中嵌段共聚物之分子量決定所形成之微區域的尺寸。As used herein, the terms "microphase separation" and "microphase separation" mean and include the property of a homogeneous block comprising a block copolymer to agglomerate with each other and a heteroblock to separate into different regions. In the host, the block copolymer can self-assemble into an ordered morphology having a spherical, cylindrical, layered, bicontinuous helical tetrahedron, or miktoarm star microdomain, wherein The molecular weight of the block copolymer determines the size of the microdomains formed.

自組裝嵌段共聚物形態的區域尺寸或間距週期(L 0 )可用作用設計圖案化結構之關鍵尺寸之基礎。同樣地,結構週期(L s )可用作用設計圖案化結構之關鍵尺寸之基礎,結構週期係於選擇性地將嵌段共聚物的聚合物嵌段之一者蝕去後所留下之特徵部的尺寸。對於這些嵌段共聚物之聚合物嵌段所形成區域之尺寸而言,組成嵌段共聚物之每一聚合物嵌段之長度可為本質上的限制。例如,每一聚合物嵌段可經選擇成具有促進自組裝成期望之區域圖案的長度,而更短及/或更長的共聚物可能無法依所期望地自組裝。The area size or pitch period (L 0 ) of the self-assembled block copolymer morphology can be used as a basis for designing the critical dimensions of the patterned structure. Similarly, the structural period (L s ) can be used to design the basis of the critical dimensions of the patterned structure, the structural period being the characteristic portion left after selectively etching one of the polymer blocks of the block copolymer. size of. For the size of the regions formed by the polymer blocks of these block copolymers, the length of each polymer block constituting the block copolymer can be an inherent limitation. For example, each polymer block can be selected to have a length that promotes self-assembly into a desired pattern of regions, while shorter and/or longer copolymers may not self-assemble as desired.

在此所用的用語「退火步驟」或「退火」表示及包含嵌段共聚物之處理,以促使該嵌段共聚物之二個以上不同聚合性嵌段成分之間的足夠微相分離,而形成藉由重複該等聚合物嵌段所形成之結構性單元所定義之有序的圖案。本發明中的嵌段共聚物之退火可以各種不同的習知技術之方法達成,該等方法包含但非僅限於:(在真空或在例如氮或氬之惰性氛圍中的)熱退火、(在室溫或室溫之上的)溶劑蒸氣輔助退火、超臨界流體輔助退火、或以吸收為基礎(absorption-based)的退火(如:光學烘烤)。作為一具體的範例,可藉由將嵌段共聚物暴露至一升高的溫度來進行嵌段共聚物的熱退火,該升高的溫度係高於嵌段共聚物的玻璃轉換溫度(Tg ),但低於嵌段共聚物的降解溫度(Td ),這將在下文中更詳細地討論。亦可使用本文無描述的其他習知退火方法。The term "annealing step" or "annealing" as used herein means and the treatment comprising a block copolymer to promote sufficient microphase separation between two or more different polymerizable block components of the block copolymer to form An ordered pattern defined by repeating the structural units formed by the polymer blocks. Annealing of the block copolymers of the present invention can be accomplished by a variety of different methods of the prior art, including but not limited to: thermal annealing (in vacuum or in an inert atmosphere such as nitrogen or argon), Solvent vapor assisted annealing, supercritical fluid assisted annealing, or absorption-based annealing (eg, optical baking) at room temperature or above room temperature. As a specific example, thermal annealing of the block copolymer can be carried out by exposing the block copolymer to an elevated temperature, which is higher than the glass transition temperature of the block copolymer ( Tg ), but below the degradation temperature (T d ) of the block copolymer, which will be discussed in more detail below. Other conventional annealing methods not described herein may also be used.

嵌段共聚物的自我組織能力可用於形成遮罩圖案。嵌段共聚物係由二個以上之化性不同的嵌段形成。例如,每個嵌段可由不同的單體形成。該等嵌段為不混溶的或熱力學不相容的,如:一個嵌段可為極性,而其他嵌段可為非極性。由於熱力學效應,共聚物會在溶液中自我組織,以最小化系統整體能量;一般而言,如此情形造成共聚物相對於彼此移動,使得例如類似嵌段聚集在一起,從而形成含有每個嵌段類型或物種之交替區域。例如,倘若共聚物係由極性嵌段(如:含有機金屬的聚合物)及非極性嵌段(如:碳氫聚合物)形成,該等嵌段則會分離,使得非極性嵌段與其他非極性嵌段聚集,而極性嵌段與其他極性嵌段聚集。因嵌段可在沒有主動施加外力以引導特定個別分子移動的情況下移動而形成圖案(雖可施加熱,以增加分子群體整體的移動速率),故吾人能理解可將嵌段共聚物描述成自組裝材料。The self-organization ability of the block copolymer can be used to form a mask pattern. The block copolymer is formed of two or more different blocks. For example, each block can be formed from a different monomer. The blocks are immiscible or thermodynamically incompatible, such as: one block can be polar and the other blocks can be non-polar. Due to thermodynamic effects, the copolymer will self-organize in solution to minimize the overall energy of the system; in general, the situation causes the copolymers to move relative to each other such that, for example, similar blocks are brought together to form a block containing each An alternate region of type or species. For example, if the copolymer is formed from polar blocks (eg, organic metal-containing polymers) and non-polar blocks (eg, hydrocarbon polymers), the blocks are separated such that the non-polar blocks are Non-polar blocks aggregate while polar blocks aggregate with other polar blocks. Since the block can be patterned by moving without actively applying an external force to guide the movement of a particular individual molecule (although heat can be applied to increase the overall rate of movement of the molecular population), it is understood that the block copolymer can be described as Self-assembling materials.

除了聚合物嵌段物種之間的交互作用,嵌段共聚物的自組裝亦可受到地貌特徵(如:從嵌段共聚物沉積於其上之水平表面垂直延伸的階梯或導部)的影響。例如,雙嵌段共聚物(其係由二個不同的聚合物嵌段物種所形成之共聚物)可形成複數個交替區域(或區),該等區域係各自由實質不同的聚合物嵌段物種形成。當聚合物嵌段物種之自組裝發生在階梯或導部之垂直壁之間的區域時,該等階梯或導部可與聚合物嵌段交互作用,使得例如由該等嵌段所形成之每個交替區域形成具有定向成大致平行於壁及水平表面之特徵部的規律間隔圖案。In addition to the interaction between polymer block species, the self-assembly of the block copolymer can also be affected by topographical features (e.g., steps or guides extending perpendicularly from the horizontal surface on which the block copolymer is deposited). For example, a diblock copolymer (which is a copolymer formed from two different polymer block species) can form a plurality of alternating regions (or regions) each having substantially different polymer blocks. Species formation. When the self-assembly of the polymer block species occurs in the region between the vertical walls of the step or the guide, the steps or guides can interact with the polymer block such that, for example, each of the blocks is formed The alternating regions form a regular spacing pattern having features oriented substantially parallel to the walls and the horizontal surface.

如此的自組裝對於形成在半導體製造製程期間圖案化特徵部的遮罩可係有用。例如,可將交替區域之一者移除,藉此留下形成其他區之材料以用作遮罩。該遮罩可用於將像是下方半導體基板中之電子元件的特徵部圖案化。在美國專利案第7,579,278號、美國專利案第7,723,009號、及在西元2013年3月14日為Sommervell等人申請之美國專利申請案第13/830,859,號(名稱為「Chemi-Epitaxy in directed self-assembly applications Using Photo-Decomposable AGENTS」)中揭露形成嵌段共聚物遮罩之方法,其內容於此藉由參照整體納入本案揭示內容。Such self-assembly can be useful for forming a mask that patterns features during a semiconductor fabrication process. For example, one of the alternating regions can be removed, thereby leaving material forming other regions to act as a mask. The mask can be used to pattern features such as electronic components in the underlying semiconductor substrate. U.S. Patent No. 7, 579, 278, U.S. Patent No. 7, 723, 009, and U.S. Patent Application Serial No. 13/830,859, entitled "Chemi-Epitaxy in directed self", filed on March 14, 2013, to Sommervell et al. A method of forming a block copolymer mask is disclosed in -assembly applications Using Photo-Decomposable AGENTS", the contents of which are incorporated herein by reference in its entirety.

圖1顯示具有使用定向自組裝(DSA)技術來圖案化之嵌段共聚物層的基板。參考圖1,塗佈一層嵌段共聚物180,並允許該層嵌段共聚物在暴露的第一材料層120及輻射敏感性材料160的交聯部份上自組裝成一遮罩圖案。該嵌段共聚物包含至少二個聚合物嵌段,該二個聚合物嵌段可相對於彼此選擇性地被蝕刻,即:該嵌段共聚物在第一組的蝕刻條件下具有大於2的蝕刻選擇性。又,該嵌段共聚物可以期望及可預測的方式自我組織,例如:該聚合物嵌段在適當的條件下係不互溶的並會分離,而形成主要包含單一嵌段物種的區域。Figure 1 shows a substrate having a layer of block copolymer patterned using directed self-assembly (DSA) techniques. Referring to Figure 1, a layer of block copolymer 180 is applied and allowed to self-assemble into a mask pattern on the exposed portions of exposed first material layer 120 and radiation sensitive material 160. The block copolymer comprises at least two polymer blocks which are selectively etchable with respect to each other, ie the block copolymer has a number greater than 2 under the first set of etching conditions Etching selectivity. Again, the block copolymer can self-organize in a desired and predictable manner, for example, the polymer block is immiscible under appropriate conditions and will separate to form a region comprising predominantly single block species.

可藉由各種不同的方法,包含例如旋轉塗覆、旋轉澆鑄(spin casting)、刷塗、或氣相沉積,沉積嵌段共聚物。例如,嵌段共聚物可用作例如有機溶劑(如:甲苯)的載體溶劑中之溶液。可將該嵌段共聚物溶液塗佈於該層狀基板,並接著移除該載體溶劑,而形成該嵌段共聚物180層。雖然本發明不侷限於理論,但吾人能理解在類似於材料的相分離的製程中,由於熱力學考量,不同的嵌段物種應被理解成會自我聚集。自我組織係受到遮罩特徵部的物理界面、及在下方第一材料層120的化學物種與該嵌段共聚物鏈中之聚合物嵌段的至少一者之間的化學親和力引導。因此,嵌段共聚物的組成嵌段可因介面的交互作用及化學親和力而延著輻射敏感性材料160交聯部份之長度自我定向。The block copolymer can be deposited by a variety of different methods including, for example, spin coating, spin casting, brush coating, or vapor deposition. For example, the block copolymer can be used as a solution in a carrier solvent such as an organic solvent such as toluene. The block copolymer solution can be applied to the layered substrate, and then the carrier solvent is removed to form a 180 layer of the block copolymer. While the invention is not limited by theory, it will be understood that in a process similar to phase separation of materials, different block species should be understood to self-aggregate due to thermodynamic considerations. The self-organization is guided by the physical interface of the mask feature and the chemical affinity between at least one of the chemical species of the first material layer 120 and the polymer block in the block copolymer chain. Thus, the constituent blocks of the block copolymer can self-orient along the length of the cross-linking portion of the radiation-sensitive material 160 due to interface interaction and chemical affinity.

持續參考圖1,將該層嵌段共聚物180暴露於退火條件,以促進嵌段共聚物自組裝成複數個交替區域190、195,該交替區域190、195在輻射敏感性材料160間隔交聯部份之間並排對準。在圖1中所示的此示例性實施例中,該層自組裝嵌段共聚物180具有受到排列的區域190、195,其中第一材料層120對於包含區域195之共聚物嵌段具有化學親和力。因此,在該嵌段共聚物之聚合物嵌段的一者與第一材料層120之間的化學親和力會進行將區域195針紮到特徵部。相反地,倘若在輻射敏感性材料160交聯部份與嵌段共聚物之聚合物嵌段之間的化學親和力係中性的,區域190、195則可能會在整個中性表面自我組織,如此會有利形成頻率倍增。在圖1所示的實施例中,顯示3X的頻率倍增。吾人應理解可獲得其他在1X-10X範圍的頻率倍增。在1X的頻率倍增情況下,亦可使中性層對包含區域190的嵌段有化學吸引力,因而進一步地增加用於組裝的化學驅動力。With continued reference to FIG. 1, the layer block copolymer 180 is exposed to annealing conditions to promote self-assembly of the block copolymer into a plurality of alternating regions 190, 195 that are cross-linked in the radiation-sensitive material 160. The parts are aligned side by side. In this exemplary embodiment shown in FIG. 1, the layer of self-assembling block copolymer 180 has regions 190, 195 that are aligned, wherein the first material layer 120 has chemical affinity for the copolymer block comprising region 195. . Thus, the chemical affinity between one of the polymer blocks of the block copolymer and the first material layer 120 will cause the region 195 to be pinned to the features. Conversely, if the chemical affinity between the cross-linking portion of the radiation-sensitive material 160 and the polymer block of the block copolymer is neutral, the regions 190, 195 may self-organize throughout the neutral surface, such It will be advantageous to form a frequency multiplication. In the embodiment shown in Figure 1, the frequency multiplication of 3X is shown. We should understand that other frequency doublings in the 1X-10X range are available. In the case of a frequency multiplication of 1X, the neutral layer can also be made chemically attractive to the block containing the region 190, thus further increasing the chemical driving force for assembly.

吾人應理解針紮區的尺寸(即:在此實施例中的特徵部尺寸)可設計成與自組裝嵌段共聚物形貌的L 0 相關。倘若針紮區係約L 0 /2,其將會有效地匹配嵌段共聚物嵌段其中一者的尺寸。約3L 0 /2的針紮區亦係有效地用於針紮嵌段共聚物嵌段其中一者。因此,根據本發明之一實施態樣,此方法亦包含製備具有在約0.30 L 0 至約0.9 L 0 範圍、或約1.25 L 0 至約1.6 L 0 範圍之尺寸的特徵部。It should be appreciated that the size of the needle zone (i.e.: Example feature size in this embodiment) may be designed with a self-assembled block copolymer morphology associated L 0. If the pinning zone is about L 0 /2, it will effectively match the size of one of the block copolymer blocks. A needled zone of about 3 L 0 /2 is also effective for use in one of the pinned block copolymer blocks. Thus, in accordance with an embodiment of the present invention, the method also includes preparing a feature having a size ranging from about 0.30 L 0 to about 0.9 L 0 , or from about 1.25 L 0 to about 1.6 L 0 .

可藉由將圖1中所示的層狀結構105退火而加速或促進自我組織。可選擇足夠低的退火製程溫度,以避免不利地影響此嵌段共聚物或層狀結構。在一些實施例中,可在小於約150℃、小於約300℃、小於約250℃、小於約200℃或約180℃的溫度下進行退火。根據另一實施例,退火製程可包含:溶劑退火,其實質上會降低退火的溫度。可使用傳統的溶劑退火方法,及例如在西元2013年3月15日申請之美國專利申請案第13/843,122,號中所揭露的較新技術,此申請案專利名稱為「SOLVENT ANNEAL PROCESSING FOR DIRECTED-SELF ASSEMBLY APPLICATIONS」,代理人參考編號:CT-107,其內容於此藉由參照整體納入本案揭示內容。Self-organization can be accelerated or promoted by annealing the layered structure 105 shown in FIG. A sufficiently low annealing process temperature can be selected to avoid adversely affecting the block copolymer or layered structure. In some embodiments, the annealing can be performed at a temperature of less than about 150 ° C, less than about 300 ° C, less than about 250 ° C, less than about 200 ° C, or about 180 ° C. According to another embodiment, the annealing process can include a solvent anneal that substantially reduces the temperature of the anneal. A conventional solvent annealing method can be used, and the newer technology disclosed in, for example, U.S. Patent Application Serial No. 13/843,122, filed on Mar. -SELF ASSEMBLY APPLICATIONS", attorney reference number: CT-107, the contents of which are incorporated herein by reference in its entirety.

根據一實施態樣,為了在不會氧化或燃燒嵌段共聚物的有機聚合物嵌段的情況下促進較快的退火時間,可在小於約1小時的退火時間內在大於約250℃的退火溫度下於低氧的氛圍中進行退火。當使用於此處,低氧氛圍包含小於約50ppm的氧。例如,低氧氛圍可包含:小於約45ppm的氧、小於約40ppm的氧、小於約35ppm的氧、小於約30ppm的氧、小於約25ppm的氧、小於約20ppm的氧、或在以上濃度之間範圍的氧。此外,低氧氛圍退火方法可伴隨著熱淬火方法。在西元2013年3月15日申請之美國專利申請案第61/793,204,號中揭露示例性的低氧氛圍退火及熱淬火退火方法,此申請案專利名稱為「MULTI-STEP BAKE APPARATUS AND METHOD FOR DIRECTED SELF-ASSEMBLY LITHOGRAPHY CONTROL」,代理人參考編號:CT-106,其內容於此藉由參照整體納入本案揭示內容。According to one embodiment, in order to promote a faster annealing time without oxidizing or burning the organic polymer block of the block copolymer, an annealing temperature of greater than about 250 ° C can be achieved in an annealing time of less than about 1 hour. Annealing is carried out in a low oxygen atmosphere. When used herein, the low oxygen atmosphere contains less than about 50 ppm oxygen. For example, the low oxygen atmosphere can comprise: less than about 45 ppm oxygen, less than about 40 ppm oxygen, less than about 35 ppm oxygen, less than about 30 ppm oxygen, less than about 25 ppm oxygen, less than about 20 ppm oxygen, or between the above concentrations. Range of oxygen. In addition, the low oxygen atmosphere annealing method can be accompanied by a thermal quenching method. An exemplary hypoxic atmosphere annealing and thermal quenching annealing method is disclosed in U.S. Patent Application Serial No. 61/793,204, the entire disclosure of which is incorporated herein by reference. DIRECTED SELF-ASSEMBLY LITHOGRAPHY CONTROL, attorney reference number: CT-106, the contents of which are incorporated herein by reference in its entirety.

退火時間的範圍可由約數小時至約1分鐘。例如,高於溫度250℃的退火時間範圍可由約1小時至約2分鐘,由約30分鐘至約2分鐘、或由約5分鐘至約2分鐘。根據一實施例,退火溫度範圍可在約260℃至約350℃間,其中低氧氛圍包含小於約40ppm的氧。例如,該層嵌段共聚物180可在小於約40ppm的氧中,於310℃的退火條件下暴露約2分鐘至約5分鐘。The annealing time can range from about several hours to about one minute. For example, the annealing time above 250 ° C can range from about 1 hour to about 2 minutes, from about 30 minutes to about 2 minutes, or from about 5 minutes to about 2 minutes. According to an embodiment, the annealing temperature may range from about 260 °C to about 350 °C, wherein the low oxygen atmosphere comprises less than about 40 ppm oxygen. For example, the layer block copolymer 180 can be exposed to less than about 40 ppm oxygen in an annealing condition at 310 ° C for about 2 minutes to about 5 minutes.

因此,該層嵌段共聚物的退火步驟會形成具有一第一區域190的一層自組裝嵌段聚合物180,該第一區域係由一個聚合物嵌段形成,且夾在由另一嵌段聚合物形成的區域195之間。又,根據由適當聚合物嵌段選擇所提供的內在蝕刻選擇性,吾人理解該等區域之一者可選擇性地藉由單一蝕刻化學品以單一步驟移除,或可藉由不同的蝕刻化學品使用多個蝕刻移除。Thus, the annealing step of the layer block copolymer forms a layer of self-assembling block polymer 180 having a first region 190 formed by one polymer block and sandwiched by another block Between the regions 195 where the polymer is formed. Again, based on the intrinsic etch selectivity provided by the appropriate polymer block selection, it is understood that one of the regions can be selectively removed in a single step by a single etch chemistry, or by different etch chemistries. The article is removed using multiple etches.

例如,在區域190係由聚苯乙烯(PS)形成,而區域195係由聚甲基丙烯酸甲酯(PMMA)形成的情況下,可藉由進行選擇性氧電漿蝕刻移除PMMA區域195,如此亦部分地氧化留下的PS區域190特徵部。吾人理解根據所使用的共聚物尺寸及製程條件,產生的特徵部尺寸可能會改變。 應進一步理解的是亦可設想除了圖1中所示的層狀相之外的區域相,而因此本發明不限於此。For example, in the case where the region 190 is formed of polystyrene (PS) and the region 195 is formed of polymethyl methacrylate (PMMA), the PMMA region 195 can be removed by performing selective oxygen plasma etching. This also partially oxidizes the remaining PS region 190 features. It is understood that the size of the features produced may vary depending on the size of the copolymer used and the process conditions. It is to be further understood that a phase phase other than the lamellar phase shown in Fig. 1 can also be envisaged, and thus the invention is not limited thereto.

如以上所述,習知的蝕刻技術會產生無法接受的圖案缺陷(例如:線邊緣粗糙度/線寬粗糙度(LER / LWR)),而在極端的情況下,由於圖案崩塌,PS的缺陷係災難性的。圖2A 描繪了以習知技術的蝕刻製程產生的有結構缺陷的圖案化DSA層的簡化示意圖200和220。圖2A包含在PS 定向自組裝圖案的習知蝕刻製程之後的側視圖200和頂視圖220。側視圖200描繪基板208,其中一些特徵部216顯示相當大的線邊緣粗糙度,及其中相鄰特徵部212係互相接觸,因而顯示會對特徵部212的側壁造成更多的損害。該圖案的頂視圖220描繪兩個在虛線圓圈224中的點處接觸的相鄰特徵部212;二個以上相鄰特徵部的接觸亦稱為橋接(bridging)。As described above, conventional etching techniques can produce unacceptable pattern defects (eg, line edge roughness/line width roughness (LER / LWR)), and in extreme cases, due to pattern collapse, PS defects It is catastrophic. 2A depicts simplified schematic diagrams 200 and 220 of a patterned DSA layer with structural defects produced by prior art etching processes. 2A includes a side view 200 and a top view 220 after a conventional etching process for a PS oriented self-assembly pattern. The side view 200 depicts the substrate 208 with some features 216 showing a substantial line edge roughness, and wherein adjacent features 212 are in contact with each other, and thus the display causes more damage to the sidewalls of the features 212. The top view 220 of the pattern depicts two adjacent features 212 that are in contact at points in the dashed circle 224; the contact of two or more adjacent features is also referred to as bridging.

圖2B顯示以蝕刻製程習知先前技術方法產生的有結構圖案崩塌的一圖案化DSA層的簡化示意圖240和260。側視圖240描繪基板246,其中兩個以上相鄰特徵部242係受損的,且該等特徵部係無法個別區分的(即:圖案崩塌)。頂視圖260描繪特徵部以一種會造成圖案無法用於其預定目的方式混在一起。2B shows a simplified schematic 240 and 260 of a patterned DSA layer with structural pattern collapses produced by prior art methods of the etching process. Side view 240 depicts substrate 246 in which two or more adjacent features 242 are damaged and the features are not individually distinguishable (ie, the pattern collapses). The top view 260 depicts the features mixed together in a manner that would render the pattern unusable for its intended purpose.

圖 3係一流程圖300,其說明根據本發明實施例之用以降低嵌段共聚物層​​定向自組裝圖案缺陷的示例性方法 。在操作310中,設置一基板,該基板在其表面上具有一嵌段共聚物層 ​​,該嵌段共聚物層包含:一第一相分離聚合物,其會在該嵌段共聚物層中界定一​​第一圖案;及一第二相分離聚合物,其會在該嵌段共聚物層中界定一​​第二圖案。基板可以與圖1相關所述的製程製造。 該嵌段共聚物可包含:雙嵌段共聚物、三嵌段共聚物、或四嵌段共聚物。如以上所述,在一實施例中,該嵌段共聚物層包含聚苯乙烯-b-聚(甲基丙烯酸甲酯)。亦可以使用其它的嵌段共聚物層。3 is a flow diagram 300 illustrating an exemplary method for reducing defects in a block copolymer layer oriented self-assembly pattern in accordance with an embodiment of the present invention. In operation 310, a substrate is provided having a block copolymer layer on a surface thereof, the block copolymer layer comprising: a first phase separation polymer which will be in the block copolymer layer Defining a first pattern; and a second phase separation polymer defining a second pattern in the block copolymer layer. The substrate can be fabricated in accordance with the process described in relation to FIG. The block copolymer may comprise: a diblock copolymer, a triblock copolymer, or a tetrablock copolymer. As described above, in one embodiment, the block copolymer layer comprises polystyrene-b-poly(methyl methacrylate). Other block copolymer layers can also be used.

仍然參照圖3,在操作310中,該第一相分離聚合物可係聚苯乙烯(PS),及該第二相分離聚合物可係聚(甲基丙烯酸甲酯)(PMMA)。亦可使用其它的聚合物。該第一相分離聚合物的玻璃轉換溫度和基板溫度對控制蝕刻製程結果而言係關鍵變因。在一實施例中,第一相分離聚合物包含聚苯乙烯,及第二相分離聚合物包括聚(甲基丙烯酸甲酯)。可以藉由控制一個以上用於製造共聚物的操作參數調整玻璃轉換溫度,如此會產生在目標玻璃轉換溫度範圍內的玻璃轉換溫度,其中一個以上的操作參數係選自於包含以下之群組:基板的冷卻速率或加熱速率、交聯度、共聚的程度、共聚物分子尺寸、共聚物中增塑劑的百分比、退火溫度、或共聚物製造中所使用的壓力。控制一個以上的玻璃轉換溫度參數,以製造具有可接受玻璃轉換溫度的數值或數值範圍的基板,以供共聚物應用。在一實施例中,第一相分離聚合物具有:大於50℃、在約50℃至約100℃範圍、或在約80℃至約100℃的玻璃轉換溫度。Still referring to FIG. 3, in operation 310, the first phase separation polymer can be polystyrene (PS), and the second phase separation polymer can be poly(methyl methacrylate) (PMMA). Other polymers can also be used. The glass transition temperature and substrate temperature of the first phase separated polymer are key factors in controlling the etching process results. In one embodiment, the first phase separation polymer comprises polystyrene and the second phase separation polymer comprises poly(methyl methacrylate). The glass transition temperature can be adjusted by controlling more than one operating parameter used to fabricate the copolymer, such that a glass transition temperature within the target glass transition temperature range is generated, wherein more than one of the operating parameters is selected from the group consisting of: The cooling rate or heating rate of the substrate, the degree of crosslinking, the degree of copolymerization, the molecular size of the copolymer, the percentage of plasticizer in the copolymer, the annealing temperature, or the pressure used in the manufacture of the copolymer. Controlling more than one glass transition temperature parameter to produce a substrate having a numerical or numerical range of acceptable glass transition temperatures for copolymer application. In one embodiment, the first phase separation polymer has a glass transition temperature greater than 50 ° C, in the range of from about 50 ° C to about 100 ° C, or from about 80 ° C to about 100 ° C.

在操作320中,進行蝕刻製程,以選擇性地移除第二相分離聚合物,同時在基板表面上留下第一相分離聚合物的第一圖案,此蝕刻製程係在小於等於約20℃的基板溫度下進執行。在一實施例中,基板溫度可小於等於約10℃。在另一實施例中,進行該蝕刻製程的步驟包含自含有含氧氣體和稀有氣體的製程組成物形成電漿。在又一實施例中,自含有含氧氣體和氬的製程組成物形成電漿​​。可以約0.08至約0.10的O2 對Ar的流率比提供含有O2 和Ar的製程組成物。In operation 320, an etching process is performed to selectively remove the second phase separated polymer while leaving a first pattern of the first phase separated polymer on the surface of the substrate, the etching process being at about 20 ° C or less. The substrate temperature is advanced. In an embodiment, the substrate temperature may be less than or equal to about 10 °C. In another embodiment, the step of performing the etching process comprises forming a plasma from a process composition comprising an oxygen-containing gas and a noble gas. In yet another embodiment, a plasma is formed from a process composition comprising an oxygen-containing gas and argon. A process composition comprising O 2 and Ar can be provided at a flow rate ratio of O 2 to Ar of from about 0.08 to about 0.10.

仍然參照操作320,蝕刻製程方法更包含:將該第一相分離聚合物暴露於電子束 。在另一實施例中,進行該蝕刻製程方法,其中電子束暴露的進行係在蝕刻製程進行期間,或在蝕刻製程進行之後,或以上二者。 在另一實施例中,蝕刻製程的進行包含:在基板放置於其上的下部電極以及與相對於下部電極設置的上部電極之間形成電漿;及將負直流電壓耦合至上部電極。Still referring to operation 320, the etching process further includes exposing the first phase separated polymer to an electron beam. In another embodiment, the etching process is performed wherein the electron beam exposure is performed during the etching process, or after the etching process, or both. In another embodiment, the etching process includes: forming a plasma between the lower electrode on which the substrate is placed and the upper electrode disposed opposite the lower electrode; and coupling a negative DC voltage to the upper electrode.

缺陷度係就圖案崩塌度量和圖案粗糙度度量加以測量。可使用光學量測工具及/或製程量測工具在蝕刻製程之後或期間進行圖案崩塌度量和圖案粗糙度度量的測量。圖案崩塌度量可以是一測量區域中崩塌特徵部的百分比。圖案缺陷度亦可包含:圖案中一特徵部之一個以上邊緣的線邊緣粗糙度。可使用例如反射儀、橢圓儀、掃描電子顯微鏡(SEM)等的光學量測工具測量一第一邊緣的線邊緣粗糙度(例如:右-線邊緣粗糙度(line edge roughness-right,LERR)及左-線邊緣粗糙度(line edge roughness-left,LERL))。操作320可以包含一製程步驟 ,其中蝕刻製程的進行包含圖案缺陷度的控制,該圖案缺陷度包含第一圖案的圖案粗糙度的度量。如此的測量結果可以是圖案粗糙度的度量,其可以包含:線寬粗糙度的平均值、第一圖案之第一邊緣的線寬粗糙度、及第一圖案之第二邊緣的線邊緣粗糙度。例如, 線寬粗糙度的平均值可係3.0nm以下、左-線寬粗糙度可係3.5 nm以下、及右-線寬粗糙度可係3.5 nm以下。The degree of defect is measured in terms of pattern collapse metrics and pattern roughness metrics. Measurements of pattern collapse metrics and pattern roughness metrics may be performed after or during the etch process using optical metrology tools and/or process metrology tools. The pattern collapse metric can be a percentage of the collapse feature in a measurement area. The pattern defect degree may also include a line edge roughness of one or more edges of a feature in the pattern. The line edge roughness of a first edge can be measured using an optical metrology tool such as a reflectometer, ellipsometer, scanning electron microscope (SEM), etc. (eg, line edge roughness-right (LERR) and Line edge roughness-left (LERL). Operation 320 can include a process step wherein the proceeding of the etch process includes control of pattern defectivity, the pattern defect including a measure of the pattern roughness of the first pattern. Such a measurement may be a measure of the roughness of the pattern, which may include: an average of the line width roughness, a line width roughness of the first edge of the first pattern, and a line edge roughness of the second edge of the first pattern . For example, the average value of the line width roughness may be 3.0 nm or less, the left-line width roughness may be 3.5 nm or less, and the right-line width roughness may be 3.5 nm or less.

圖4係一流程圖,其示例說明:根據本發明實施例用以降低嵌段共聚物層的定向自組裝圖案缺陷度的另一種方法。在圖3中的操作310和320之後,在圖4的操作430中,設置用以支撐基板的基板固持器,其中基板固持器具有:用以控制基板中心區域處之第一溫度的第一溫度控制元件,及用以控制基板邊緣區域處之第二溫度的第二溫度控制元件。在操作440,在一實施例中,第一溫度可以係在約20℃,或低於20℃,而第二溫度可設定在約10℃,或低於10℃。在另一實施例,第一溫度控制元件係控制在基板中心區域處的的第一溫度,及第二溫度控制元件係控制在基板邊緣區域處的第二溫度;將該第一溫度的目標值設定在約10℃或低於約10℃;將該第二溫度的目標值設定在約0℃或低於約0℃。4 is a flow chart illustrating another method for reducing the defectivity of a directed self-assembled pattern of a block copolymer layer in accordance with an embodiment of the present invention. After operations 310 and 320 in FIG. 3, in operation 430 of FIG. 4, a substrate holder for supporting a substrate is provided, wherein the substrate holder has a first temperature for controlling a first temperature at a central region of the substrate a control element and a second temperature control element for controlling a second temperature at an edge region of the substrate. In operation 440, in an embodiment, the first temperature may be at about 20 ° C, or below 20 ° C, and the second temperature may be set at about 10 ° C, or below 10 ° C. In another embodiment, the first temperature control element controls the first temperature at the central region of the substrate, and the second temperature control element controls the second temperature at the edge region of the substrate; the target value of the first temperature Set at about 10 ° C or below about 10 ° C; set the target value for the second temperature to about 0 ° C or less than about 0 ° C.

圖5係根據本發明實施例之基板固持器500的簡化示意圖。請參照圖5, 用於蝕刻系統中的溫控基板固持器500係設置成:使用在上述圖4的操作430中。基板固持器500包括:一基板支撐部530,具有第一溫度,用以支撐基板510;一溫控支撐基座520,位於基板支撐部530下方,設定在低於第一溫度的第二溫度下(例如:低於基板510的期望溫度);及一熱絕緣部540,設置在基板支撐部530與溫控支撐基座520之間。此外,基板支撐部530包含一中心加熱元件533(位在基板510下方的實質中心區域處)、及一邊緣加熱元件531(位在基板510下方的實質邊緣或周圍區域處),該等加熱元件係耦接至基板支撐部530,用以提升基板支撐部530的溫度。此外,該支撐基座520包含一個以上的冷卻元件521,此冷卻元件521係耦接至該支撐基座520,用以藉由將熱由基板支撐部530透過熱絕緣部540而移除,以降低基板支撐部530的溫度。FIG. 5 is a simplified schematic diagram of a substrate holder 500 in accordance with an embodiment of the present invention. Referring to FIG. 5, the temperature controlled substrate holder 500 for use in an etching system is configured to be used in operation 430 of FIG. 4 described above. The substrate holder 500 includes a substrate support portion 530 having a first temperature for supporting the substrate 510, and a temperature control support base 520 located below the substrate support portion 530 and set at a second temperature lower than the first temperature. (for example, lower than the desired temperature of the substrate 510); and a thermal insulating portion 540 disposed between the substrate supporting portion 530 and the temperature-controlled support base 520. In addition, the substrate support portion 530 includes a central heating element 533 (located at a substantial central region below the substrate 510) and an edge heating element 531 (located at a substantial edge or surrounding area below the substrate 510), the heating elements The system is coupled to the substrate supporting portion 530 for raising the temperature of the substrate supporting portion 530. In addition, the support base 520 includes one or more cooling elements 521 coupled to the support base 520 for removing heat from the substrate support portion 530 through the thermal insulation portion 540. The temperature of the substrate supporting portion 530 is lowered.

如圖5所示,中心加熱元件533和邊緣加熱元件531係耦接至加熱元件控制單元532。加熱元件控制單元532係用以提供每一加熱元件之依存或獨立控制,且與控制器550交換資訊。中心加熱元件533和邊緣加熱元件531可包含加熱流體通道、電阻式加熱元件、或受偏壓以將熱傳向晶圓的熱電元件其中至少一者。As shown in FIG. 5, central heating element 533 and edge heating element 531 are coupled to heating element control unit 532. Heating element control unit 532 is used to provide dependency or independent control of each heating element and to exchange information with controller 550. Central heating element 533 and edge heating element 531 can include at least one of a heating fluid channel, a resistive heating element, or a thermoelectric element that is biased to transfer heat to the wafer.

例如,中心加熱元件533與邊緣加熱元件531可包含:一個以上的加熱通道,此加熱通道可允許例如水、FLUORINERT、GALDEN HT-135等的流體流動通過其中,以提供傳導式-對流式加熱,其中流體溫度經由熱交換器加以升高。例如,可藉由加熱元件控制單元532設定、監測、調整及控制流體流率與流體溫度。For example, central heating element 533 and edge heating element 531 can include: more than one heating channel that can allow fluids such as water, FLUORINERT, GALDEN HT-135, etc. to flow therethrough to provide conductive-convection heating, Wherein the fluid temperature is raised via a heat exchanger. For example, fluid flow rate and fluid temperature can be set, monitored, adjusted, and controlled by heating element control unit 532.

或者,例如,中心加熱元件533與邊緣加熱元件531可包含:一個以上的電阻式加熱元件,例如:鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等的燈絲。用以製造電阻式加熱元件的市售材料例子包含:Kanthal、Nikrothal、Akrothal,其係由Bethel, CT之Kanthal Corporation所生產之金屬合金的註冊商標名稱。Kanthal家族包含:鐵素體(ferritic)合金(FeCrAl),而Nikrothal家族包含:沃斯田(austenitic)合金(NiCr、NiCrFe)。例如,此加熱元件可包含:鑄件加熱器(cast-in heater),其係從Watlow(1310 Kingsland Dr., Batavia, IL, 60510)購得,且最大操作溫度可為400到450℃;或包含氮化鋁材料的膜加熱器,其亦可從Watlow購得,且操作溫度能夠高達300℃,而功率密度能夠高達23.25 W/cm2 。此外,例如,此加熱元件可包含:功率可為1400W(或功率密度可為5 W/in2 )的矽酮橡膠加熱器(1.0 mm厚)。當電流流過此燈絲時,功率會耗散成為熱,而因此,加熱元件控制單元532可例如包含可控制直流電源。另一種適用於較低溫度與功率密度的加熱器選擇為Kapton加熱器,其係由嵌入在Kapton(例如:聚醯亞胺)板材中的燈絲所構成,且係由Minneapolis, MN之Minco, Inc., 銷售。Alternatively, for example, central heating element 533 and edge heating element 531 can comprise: more than one resistive heating element, such as a filament of tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, or the like. Examples of commercially available materials for making resistive heating elements include: Kanthal, Nikrothal, Akrothal, which is a registered trade name of a metal alloy produced by Kanthal Corporation of Bethel, CT. The Kanthal family contains: ferrite alloys (FeCrAl), while the Nikrothal family contains: austenitic alloys (NiCr, NiCrFe). For example, the heating element can comprise: a cast-in heater available from Watlow (1310 Kingsland Dr., Batavia, IL, 60510) and having a maximum operating temperature of 400 to 450 ° C; or A film heater for aluminum nitride material, also available from Watlow, can operate at temperatures up to 300 ° C and power densities up to 23.25 W/cm 2 . Further, for example, the heating element can comprise an anthrone rubber heater (1.0 mm thick) having a power of 1400 W (or a power density of 5 W/in 2 ). When current flows through the filament, the power is dissipated as heat, and thus, the heating element control unit 532 can, for example, include a controllable DC power source. Another heater suitable for lower temperature and power density is selected as a Kapton heater consisting of a filament embedded in a Kapton (eg, polyimine) sheet and by Minneapolis, MN Minco, Inc. ., Sales.

或者,例如,中心加熱元件533與邊緣加熱元件531可包含:熱電元件陣列,其可根據流過各元件的電流之方向來加熱或冷卻基板。因此,雖然中心加熱元件533與邊緣加熱元件531係簡稱為「加熱元件」,但這些元件可包含冷卻功能,以提供溫度間的迅速轉變。又,加熱與冷卻功能可由基板支撐部530內的獨立元件提供。一例示熱電元件可為從Advanced Thermoelectric所購得者, Model ST-127-1.4-8.5M(40 mm × 40 mm × 3.4 mm的熱-電裝置,其最大熱傳功率可為72 W)。因此,加熱元件控制單元532可例如包含可控制電流源。Alternatively, for example, central heating element 533 and edge heating element 531 can comprise an array of thermoelectric elements that can heat or cool the substrate in accordance with the direction of current flow through the various elements. Thus, although the central heating element 533 and the edge heating element 531 are referred to simply as "heating elements," these elements may include a cooling function to provide a rapid transition between temperatures. Again, the heating and cooling functions may be provided by separate components within the substrate support 530. An example of a thermoelectric element is available from Advanced Thermoelectric, Model ST-127-1.4-8.5M (40 mm × 40 mm × 3.4 mm thermoelectric unit, which has a maximum heat transfer power of 72 W). Thus, heating element control unit 532 can, for example, comprise a controllable current source.

一個以上的冷卻元件521可包含冷卻通道或熱電元件其中至少一者。此外,一個以上的冷卻元件521係耦接至冷卻元件控制單元522。冷卻元件控制單元522係用以提供每一冷卻元件521的依存或獨立控制,並會與控制器550交換資訊。More than one cooling element 521 can include at least one of a cooling passage or a thermoelectric element. Further, more than one cooling element 521 is coupled to the cooling element control unit 522. Cooling element control unit 522 is used to provide dependent or independent control of each cooling element 521 and to exchange information with controller 550.

例如,一個以上的冷卻元件521可包含一個以上的冷卻通道,此冷卻通道可允許例如水、FLUORINERT、GALDEN HT-135等的流體流過其中,以提供傳導式-對流式冷卻,其中經由熱交換器將流體溫度降低。例如,可藉由冷卻元件控制單元522設定、監測、調整及控制流體流率與流體溫度。或者,例如在加熱期間,可藉由中心加熱元件533及邊緣加熱元件531,增加流過一個以上冷卻元件521的流體之溫度,以輔助加熱步驟。又或者,例如在冷卻期間,可降低流過一個以上冷卻元件521的流體之溫度。For example, more than one cooling element 521 can include more than one cooling passage that can allow fluids such as water, FLUORINERT, GALDEN HT-135, etc. to flow therethrough to provide conduction-convection cooling, via heat exchange The device lowers the temperature of the fluid. For example, the fluid flow rate and fluid temperature can be set, monitored, adjusted, and controlled by the cooling element control unit 522. Alternatively, for example, during heating, the temperature of the fluid flowing through more than one cooling element 521 may be increased by the central heating element 533 and the edge heating element 531 to assist in the heating step. Still alternatively, the temperature of the fluid flowing through more than one cooling element 521 can be reduced, for example during cooling.

或者,例如,一個以上的冷卻元件521可包含:熱電元件陣列,其可根據流過各元件的電流之方向來加熱或冷卻基板。因此,雖然元件521係稱為「冷卻元件」,但這些元件可包含加熱的功能,以提供溫度間的迅速轉變。又,加熱與冷卻功能可由溫控支撐基座520內的獨立元件所提供。一例示熱電元件係可從Advanced Thermoelectric所購得者 Model ST-127-1.4-8.5M(40 mm × 40 mm × 3.4 mm的熱-電裝置,其最大熱傳功率可為72 W)。因此,冷卻元件控制單元522可例如包含可控制電流源。Alternatively, for example, more than one cooling element 521 can include an array of thermoelectric elements that can heat or cool the substrate in accordance with the direction of current flow through the elements. Thus, although elements 521 are referred to as "cooling elements," these elements may include a heating function to provide a rapid transition between temperatures. Again, the heating and cooling functions can be provided by separate components within the temperature controlled support pedestal 520. An example of a thermoelectric element is available from Advanced Thermoelectric Model Model ST-127-1.4-8.5M (40 mm × 40 mm × 3.4 mm thermoelectric unit with a maximum heat transfer power of 72 W). Thus, cooling element control unit 522 can, for example, comprise a controllable current source.

此外,如圖5所示,基板固持器500可更包含:靜電夾(ESC),其包含嵌入在基板支撐部530內的一個以上夾持電極535。該ESC更包含:高電壓(HV)直流電壓源534,其經由電性連接耦接至夾持電極535。對熟習靜電夾持系統者而言,這種夾持設計與實施係眾所周知。此外,高電壓直流電壓源534係耦接至控制器550,並係用以與控制器550交換資訊。In addition, as shown in FIG. 5 , the substrate holder 500 may further include an electrostatic chuck (ESC) including one or more clamping electrodes 535 embedded in the substrate supporting portion 530 . The ESC further includes a high voltage (HV) DC voltage source 534 coupled to the clamping electrode 535 via an electrical connection. This type of clamping design and implementation is well known to those skilled in the art of electrostatic clamping systems. In addition, the high voltage DC voltage source 534 is coupled to the controller 550 and is used to exchange information with the controller 550.

此外,基板固夾器500可更包含:背側氣體供應系統536,其經由二個氣體供應線路、及複數孔口與通道(圖未顯示)至少其中兩個將熱傳氣體(例如包含:氦、氬、氙、氪的惰性氣體)、製程氣體、或其他氣體(包含:氧、氮、或氫)供應至基板510的背側中心區域與邊緣區域。如所示,背側氣體供應系統536包含:兩區段(中心/邊緣)系統,其中背側壓力可在從中心到邊緣的徑向上變化。此外,背側氣體供應系統536係耦接至控制器550,並係用以與控制器550交換資訊。In addition, the substrate holder 500 may further include: a back side gas supply system 536 that transmits heat to the gas via at least two of the two gas supply lines and the plurality of orifices and channels (not shown) (eg, including: An inert gas of argon, helium or neon, a process gas, or other gas (including oxygen, nitrogen, or hydrogen) is supplied to the back side central region and the edge region of the substrate 510. As shown, the backside gas supply system 536 includes a two-segment (center/edge) system in which the backside pressure can vary radially from the center to the edge. In addition, the backside gas supply system 536 is coupled to the controller 550 and is used to exchange information with the controller 550.

又,如圖5所示,基板固持具500更包含:中心溫度感測器562,用以測量在基板510下方之實質中心區域處的溫度;及邊緣溫度感測器564,用以測量在基板510下方之實質邊緣區域處的溫度。中心與邊緣溫度感測器562、564係耦接至溫度監視系統560。Moreover, as shown in FIG. 5, the substrate holder 500 further includes: a center temperature sensor 562 for measuring a temperature at a substantial central region below the substrate 510; and an edge temperature sensor 564 for measuring the substrate The temperature at the substantial edge region below 510. Center and edge temperature sensors 562, 564 are coupled to temperature monitoring system 560.

此溫度感測器可包含:光學纖維溫度計、光學高溫計(pyrometer)、如美國專利第6,891,124號中所述之能帶-邊緣(band-edge)溫度量測系統(該案內容藉由參照整體納入本案揭示內容)、或例如K-型熱電偶的熱電偶(如虛線所標示)。光學溫度計之例包含:購自Advanced Energies, Inc.的光學纖維溫度計,型號OR2000F;購自Luxtron Corporation的光學纖維溫度計,型號M600;或購自Takaoka Electric Mfg.的光學纖維溫度計,型號FT-1420。The temperature sensor can include: an optical fiber thermometer, an optical pyrometer, a band-edge temperature measurement system as described in U.S. Patent No. 6,891,124, the disclosure of which is incorporated herein by reference. Incorporate the disclosure of this case, or a thermocouple such as a K-type thermocouple (as indicated by the dashed line). Examples of optical thermometers include: optical fiber thermometers available from Advanced Energies, Inc., model OR2000F; optical fiber thermometers available from Luxtron Corporation, model M600; or optical fiber thermometers available from Takaoka Electric Mfg., model number FT-1420.

溫度監視系統560可將感測器資訊提供至控制器550,以在處理之前、期間、或之後調整加熱元件、冷卻元件、背側氣體供應系統、或ESC之高電壓直流電壓源之至少一者。Temperature monitoring system 560 can provide sensor information to controller 550 to adjust at least one of a heating element, a cooling element, a backside gas supply system, or an ESC high voltage DC voltage source before, during, or after processing .

控制器550包含:微處理器、記憶體、及數位I/O埠(可能包含D/A及/或A/D轉換器),其可產生控制電壓,此控制電壓足以傳輸及啟動至基板固持器500的輸入,並監測來自基板固持器500的輸出。如圖5所示,控制器550可耦接至加熱元件控制單元532、冷卻元件控制單元522、高電壓直流電壓源534、背側氣體供應系統536、以及溫度監視系統560,並與上述者交換資訊。儲存於記憶體中的程式,用以根據一儲存的製程配方,與上述基板固持器500的各構件相互作用。The controller 550 includes: a microprocessor, a memory, and a digital I/O port (which may include a D/A and/or an A/D converter) that generates a control voltage sufficient for transmission and activation to substrate holding The input of the device 500 and monitors the output from the substrate holder 500. As shown in FIG. 5, the controller 550 can be coupled to and exchanged with the heating element control unit 532, the cooling element control unit 522, the high voltage DC voltage source 534, the back side gas supply system 536, and the temperature monitoring system 560. News. A program stored in the memory for interacting with the components of the substrate holder 500 in accordance with a stored process recipe.

控制器550可藉由通用電腦、處理器、數位信號處理器等加以實現,其可使基板固持器進行本發明之部分或全部的處理步驟,以回應執行電腦可讀取媒體中所包含的一個以上指令的一個以上順序的控制器550。電腦可讀取媒體或記憶體係用以容納根據本發明教示而程式化的指令,並且可包含:本文所述之資料結構、表、記錄、或其他資料。電腦可讀取媒體的範例為:光碟、硬碟、軟碟、磁帶、磁光碟、PROM(EPROM、EEPROM、快閃EPROM)、DRAM、SRAM、SDRAM、或任何其他的磁性媒體、光碟(如CD-ROM)、或任何其他的光學媒體、打孔卡片(punch card)、紙帶、或其他具有孔洞圖案的物理媒體、載波、或電腦可從其進行讀取的任何其他媒體。The controller 550 can be implemented by a general purpose computer, a processor, a digital signal processor, etc., which can cause the substrate holder to perform some or all of the processing steps of the present invention in response to executing one of the computer readable media One or more sequences of controllers 550 of the above instructions. A computer readable medium or memory system for accommodating instructions programmed in accordance with the teachings of the present invention, and may include: a data structure, table, record, or other material as described herein. Examples of computer readable media are: CD, hard drive, floppy disk, magnetic tape, magneto-optical disk, PROM (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic media, CD (such as CD -ROM), or any other optical medium, punch card, tape, or other physical medium with a hole pattern, carrier wave, or any other medium from which a computer can read.

可將控制器550相對於基板固持器500就近地設置,或可將其經由網際網路或網內網路相對於基板固持器500遠端地設置。因此,控制器550可使用直接連接、網內網路、或網際網路的至少一者與基板固持器500交換資訊。控制器550可耦接至於客戶位置(即:裝置製造商等)的網內網路,或可耦接至於供應商位置(即:設備製造者)的網內網路。此外,另一電腦(即:控制器、伺服器等)可經由直接連接、網內網路、或網際網路的至少一者存取控制器550而交換資料。The controller 550 can be placed in close proximity relative to the substrate holder 500, or it can be placed remotely relative to the substrate holder 500 via an internet or intranet. Thus, controller 550 can exchange information with substrate holder 500 using at least one of a direct connection, an intranet, or an internet. The controller 550 can be coupled to an intranet of a customer location (ie, device manufacturer, etc.) or can be coupled to an intranet of a vendor location (ie, device manufacturer). In addition, another computer (ie, controller, server, etc.) can exchange data via at least one of the direct connection, the intranet, or the Internet access controller 550.

選用性地,基板固持器500可包含:一電極,射頻功率係經由該電極耦合至基板510上方處理區域中的電漿。例如,可藉由將來自射頻產生器的射頻功率經由阻抗匹配網路傳輸至基板固持器500,而將支撐基座520電偏壓於一射頻電壓。此射頻偏壓可用於加熱電子以形成並維持電漿,或用於使基板510偏壓以控制入射在基板510上的離子能,或用於兩者。在此構造中,此系統可運作為反應性離子蝕刻(RIE)反應器,其中腔室與上部氣體注入電極係用作接地表面。射頻偏壓的典型頻率可在1 MHz至100 MHz之範圍,且較佳是13.56 MHz。Optionally, the substrate holder 500 can include an electrode via which the RF power is coupled to the plasma in the processing region above the substrate 510. For example, support base 520 can be electrically biased to a radio frequency voltage by transmitting RF power from the RF generator to substrate holder 500 via an impedance matching network. This RF bias can be used to heat electrons to form and maintain plasma, or to bias substrate 510 to control ion energy incident on substrate 510, or both. In this configuration, the system can operate as a reactive ion etching (RIE) reactor in which the chamber and the upper gas injection electrode system serve as a grounded surface. Typical frequencies for RF bias can range from 1 MHz to 100 MHz, and preferably 13.56 MHz.

或者,可以多個頻率將射頻功率施加至此基板固持器電極。此外,阻抗匹配網路可用以藉由將反射功率最小化,而將傳輸至處理腔室中之電漿的射頻功率最大化。可利用各種匹配網路拓樸(例如:L-型、pi-型、T-型等)和自動控制方法。Alternatively, RF power can be applied to the substrate holder electrode at multiple frequencies. In addition, an impedance matching network can be used to maximize the RF power delivered to the plasma in the processing chamber by minimizing the reflected power. Various matching network topologies (eg, L-type, pi-type, T-type, etc.) and automatic control methods are available.

在美國專利申請公開號第2008/0083723號、美國專利申請公開號第2010/0078424號、美國專利申請公開號第2008/0083724號、美國專利申請公開號第2008/0073335號、美國專利第7,297,894號、美國專利第7,557,328號、以及美國專利申請公開號第2009/0266809號中提供了用於快速及均勻控制基板溫度的溫控基板固持器之設計的額外細節。U.S. Patent Application Publication No. 2008/0083723, U.S. Patent Application Publication No. 2010/0078424, U.S. Patent Application Publication No. 2008/0083724, U.S. Patent Application Publication No. 2008/0073335, U.S. Patent No. 7,297,894 Additional details of the design of a temperature controlled substrate holder for fast and uniform control of substrate temperature are provided in U.S. Patent No. 7,557,328, and U.S. Patent Application Publication No. 2009/0266809.

在一實施例中,第一、第二、及/或第三蝕刻製程可包含一製程參數空間,其包含:高達約1000mtorr(毫托)的腔室壓力(例如:高達約100mTorr、或高達約10至30mTorr);高達約2000sccm(每分鐘標準立方公分)的製程氣體流率(例如:高達約1000sccm、或約1sccm到約100sccm、或約1sccm到約20sccm、或約15sccm);高達約2000 sccm的添加氣體製程氣體流率(例如:高達約1000sccm、或約1 sccm到約20 sccm、或約10 sccm);高達約2000 W(瓦特)的上部電極射頻偏壓(例如:高達約1000 W、或高達約500 W);以及高達約1000 W的下部電極射頻偏壓(例如:高達約600 W)。又,上部電極偏壓頻率可在約0.1 MHz至約200 MHz之範圍,例如約60 MHz。此外,下部電極偏壓頻率可在約0.1 MHz至約100 MHz之範圍,例如約2 MHz。In an embodiment, the first, second, and/or third etch process can include a process parameter space comprising: a chamber pressure of up to about 1000 mtorr (eg, up to about 100 mTorr, or up to about 10 to 30 mTorr); process gas flow rate up to about 2000 sccm (standard cubic centimeters per minute) (eg, up to about 1000 sccm, or about 1 sccm to about 100 sccm, or about 1 sccm to about 20 sccm, or about 15 sccm); up to about 2000 sccm Add gas process gas flow rate (eg, up to about 1000 sccm, or about 1 sccm to about 20 sccm, or about 10 sccm); up to about 2000 W (watts) of upper electrode RF bias (eg, up to about 1000 W, Or up to about 500 W); and a lower electrode RF bias of up to about 1000 W (eg, up to about 600 W). Also, the upper electrode bias frequency can range from about 0.1 MHz to about 200 MHz, such as about 60 MHz. Additionally, the lower electrode bias frequency can range from about 0.1 MHz to about 100 MHz, such as about 2 MHz.

在另一替代實施例中,將射頻功率供應至上部電極而非下部電極。在另一替代實施例中,將射頻功率供應至下部電極而非上部電極。 可使用實驗設計(DOE)技術或先前的經驗決定用於進行特定蝕刻製程的持續時間;然而,其亦可使用終點偵測加以決定。一種可行的終點偵測方法為監視來自電漿區域的發射光光譜一部分,此光譜可指出何時發生電漿化學品的改變,該改變係由於特定材料層的變化或大致上自基板完全移除並與下層薄膜接觸所造成。在對應於監視波長的發射位準越過特定閾值(例如:降至實質上為零、降至特定位準以下、或增至特定位準以上)之後,可視為達到終點。可針對正被使用的蝕刻化學品,及正被蝕刻的材料層,使用各種波長。此外,可將蝕刻時間延長到包含過蝕刻時期,其中該過蝕刻時期會構成在該蝕刻製程之開始以及關於終點偵測時間之間的時間之一分率(即:1到100%)。In another alternative embodiment, RF power is supplied to the upper electrode instead of the lower electrode. In another alternative embodiment, RF power is supplied to the lower electrode instead of the upper electrode. The duration of the particular etching process can be determined using experimental design (DOE) techniques or prior experience; however, it can also be determined using endpoint detection. One possible endpoint detection method is to monitor a portion of the emitted light spectrum from the plasma region that indicates when a change in the plasma chemical occurs due to a change in a particular material layer or substantially completely removed from the substrate. Caused by contact with the underlying film. After the emission level corresponding to the monitored wavelength crosses a certain threshold (eg, drops to substantially zero, falls below a certain level, or increases above a certain level), it can be considered as reaching the end point. Various wavelengths can be used for the etch chemistry being used, and the layer of material being etched. In addition, the etch time can be extended to include an over etch period that constitutes a fraction of the time between the beginning of the etch process and the end point detection time (ie, 1 to 100%).

可利用一電漿蝕刻系統進行一個以上的蝕刻製程。此外,可利用一電漿蝕刻系統(例如圖5所示者)中的溫控基板固持具進行一個以上的蝕刻製程。然而,所討論之方法並不限於此示例性呈現內容的範圍。More than one etching process can be performed using a plasma etching system. In addition, more than one etch process can be performed using a temperature controlled substrate holder in a plasma etch system (such as that shown in FIG. 5). However, the methods discussed are not limited in scope to this exemplary presentation.

圖6係與本發明實施例中之製造順序相關的製造過程之示例性的簡化架構圖600。如以上討論並參考圖6,設置具有嵌段共聚物層之基板的處理可以在二個不同的處理順序中進行,亦即是,以嵌段共聚物塗覆基板的第一處理順序604,以及將基板退火的第二處理順序608,如圖3操作310相關的討論。第一處理順序604包含:塗佈第一相分離聚合物(其在該嵌段共聚物層中界定第一圖案)、及第二相分離的聚合物(其在該嵌段共聚物層中界定一第二圖案)。第二處理順序608包含:將該嵌段共聚物暴露於退火條件,以促進該嵌段共聚物自組裝成在輻射敏感性材料的間隔交聯部份之間並排對準的複數個交替區域190、195,如圖1相關說明。第三處理順序612包含:進行一蝕刻製程,以選擇性地移除第二相分離聚合物,同時在基板表面上留下第一相分離聚合物的第一圖案,該蝕刻製程係使用例如圖5所述的基板固持器而在選定的低溫範圍下進行。6 is an exemplary simplified architectural diagram 600 of a manufacturing process associated with a manufacturing sequence in an embodiment of the present invention. As discussed above and with reference to Figure 6, the process of providing a substrate having a block copolymer layer can be performed in two different processing sequences, i.e., a first processing sequence 604 of coating the substrate with a block copolymer, and A second processing sequence 608 of annealing the substrate is discussed in relation to operation 310 of FIG. The first processing sequence 604 includes coating a first phase separation polymer (which defines a first pattern in the block copolymer layer), and a second phase separated polymer (which is defined in the block copolymer layer) a second pattern). A second processing sequence 608 includes exposing the block copolymer to annealing conditions to promote self-assembly of the block copolymer into a plurality of alternating regions 190 aligned side by side between spaced apart cross-linking portions of the radiation-sensitive material. 195, as shown in Figure 1. The third processing sequence 612 includes: performing an etching process to selectively remove the second phase separated polymer while leaving a first pattern of the first phase separated polymer on the surface of the substrate, the etching process using, for example, a pattern The substrate holder of 5 is carried out at a selected low temperature range.

圖7係在本發明實施例中蝕刻製程後之基板的簡化示意圖700,此蝕刻製程利用的技術能降低嵌段共聚物層的​​缺陷度。圖 7包含:基板的簡化側視圖 700、及簡化頂視圖720,其中在蝕刻製程期間使用降低嵌段共聚物層​​缺陷度的技術。根據使用在蝕刻製程期間用以控制基板704溫度的這些技術所完成的試驗 ,本案發明人發現嵌段共聚物層結構708並無經歷圖案崩塌 。如以上所述,圖案崩塌係一種典型會對經蝕刻的基板造成非常嚴重的影響,且基本上會使該基板無法使用的情況。Figure 7 is a simplified schematic diagram 700 of a substrate after an etching process in accordance with an embodiment of the present invention. The etching process utilizes techniques to reduce the defectivity of the block copolymer layer. Figure 7 includes a simplified side view 700 of the substrate, and a simplified top view 720 in which techniques for reducing the defectivity of the block copolymer layer are used during the etching process. The inventors have found that the block copolymer layer structure 708 does not undergo pattern collapse, based on tests performed using these techniques to control the temperature of the substrate 704 during the etching process. As described above, pattern collapse is a typical situation that would have a very severe effect on the etched substrate and would substantially render the substrate unusable.

使用例如橢圓儀、反射儀、干涉儀、掃描電子顯微鏡(SEM)等的光學量測工具的測試期間所進行的測量指出:在特徵部左及右上邊緣的線邊緣粗糙度的若干增加。 然而,隨著將基板進一步冷卻至相當低的溫度,並使用圖5所示的基板固持器、及/或圖8 所示的控制系統更嚴格地控制基板的溫度範圍,線邊緣粗糙度的增加會在較少的3.5nm以下的可接受目標範圍內。此外,本案明人進一步發現,玻璃化轉換溫度(Tg )對圖案缺陷度的相關性 。在50至100℃的Tg 範圍內,且較佳是在80至100℃的Tg 範圍內,不會有圖案崩塌的情況,且線邊緣粗糙度會在較少的3.5nm以下的可接受目標範圍內。可藉由控制用於製造共聚物的一個以上操作參數調整玻璃轉換溫度,因而造成玻璃轉換溫度係在目標的玻璃轉換溫度範圍內,其中一個以上的操作參數係選自包含以下之群組:基板的冷卻速率或加熱速率、交聯度、共聚的程度、共聚物的分子尺寸、共聚物中的增塑劑百分比、退火溫度、或共聚物製造中所使用的壓力。Measurements made during testing using an optical metrology tool such as an ellipsometer, reflectometer, interferometer, scanning electron microscope (SEM), etc. indicate a number of increases in line edge roughness at the left and right upper edges of the feature. However, as the substrate is further cooled to a relatively low temperature, and the temperature range of the substrate is more strictly controlled using the substrate holder shown in FIG. 5 and/or the control system shown in FIG. 8, the line edge roughness is increased. Will be within the acceptable target range of less than 3.5nm. In addition, the present inventors further found that the glass transition temperature (T g ) is related to the degree of pattern defect. T g in the range from 50 to 100 deg.] C, and preferably the T g is in the range of 80 to 100 deg.] C, the case where no pattern collapse, and the line edge roughness of 3.5nm or less less acceptable Within the target range. The glass transition temperature can be adjusted by controlling more than one operating parameter used to make the copolymer, thereby causing the glass transition temperature to be within the target glass transition temperature range, wherein one or more of the operating parameters are selected from the group consisting of: The rate of cooling or heating, the degree of crosslinking, the degree of copolymerization, the molecular size of the copolymer, the percentage of plasticizer in the copolymer, the annealing temperature, or the pressure used in the manufacture of the copolymer.

圖8係用在處理順序中所使用之一控制系統的簡化示意圖,在本發明實施例中,該系統係用以降低嵌段共聚物層的缺陷度,並在一個以上的處理順序中控制一個以上的操作參數。圖8中顯示用於進行上述確定之製程條件的蝕刻處理系統800,該系統包含:一電漿處理腔室810;基板固持器820,待處理之基板825係固持於其上;及真空泵系統850。基板825可為半導體基板、晶圓、平板顯示裝置、或液晶顯示裝置。電漿處理腔室810可建構成用以促進在基板825表面的鄰近區域中的電漿處理區域845中電漿的產生。經由氣體分配系統840將可離子化氣體或製程氣體混合物導入。對特定的製程氣體流,利用真空泵系統850調整製程壓力。可利用電漿,以產生針對預定材料製程的材料,及/或幫助自基板825的暴露面移除材料。電漿處理系統800可用以處理任何所欲尺寸的基板,例如:200 mm基板、300 mm基板、或更大者。Figure 8 is a simplified schematic diagram of one of the control systems used in the processing sequence, in the embodiment of the invention, the system is used to reduce the defect level of the block copolymer layer and control one in more than one processing sequence. The above operating parameters. An etching processing system 800 for performing the above determined process conditions is shown in FIG. 8, the system comprising: a plasma processing chamber 810; a substrate holder 820 to which the substrate 825 to be processed is held; and a vacuum pump system 850 . The substrate 825 can be a semiconductor substrate, a wafer, a flat panel display device, or a liquid crystal display device. The plasma processing chamber 810 can be configured to facilitate the generation of plasma in the plasma processing region 845 in an adjacent region of the surface of the substrate 825. The ionizable gas or process gas mixture is introduced via a gas distribution system 840. The process pressure is adjusted using a vacuum pump system 850 for a particular process gas stream. The plasma can be utilized to create a material for a predetermined material process and/or to help remove material from the exposed side of the substrate 825. The plasma processing system 800 can be used to process substrates of any desired size, such as a 200 mm substrate, a 300 mm substrate, or larger.

基板825可藉由例如機械式夾持系統或電性夾持系統(例如:靜電夾持系統)之夾持系統828,固定於該基板固持器820。此外,基板固持器820可包含:加熱系統(圖未顯示)或冷卻系統(圖未顯示),其用以調整及/或控制基板固持器820和基板825的溫度。該加熱系統或冷卻系統可包含:傳熱流體的迴流,其在冷卻時自基板固持器820接受熱並將熱傳送至熱交換器系統(圖未顯示),或在加熱時將熱由熱交換器系統傳送至基板固持器820。在其他實施例中,可將例如電阻式加熱元件或熱電加熱器/冷卻器之加熱/冷卻元件,包含於基板固持器820、及電漿處理腔室810的腔室壁、及在電漿處理系統800內的任何其他構件之中。The substrate 825 can be secured to the substrate holder 820 by a clamping system 828, such as a mechanical clamping system or an electrical clamping system (eg, an electrostatic clamping system). In addition, the substrate holder 820 can include a heating system (not shown) or a cooling system (not shown) for adjusting and/or controlling the temperature of the substrate holder 820 and the substrate 825. The heating system or cooling system can include a reflow of heat transfer fluid that receives heat from the substrate holder 820 and transfers the heat to the heat exchanger system (not shown) upon cooling, or heat exchange by heat upon heating The system is transferred to the substrate holder 820. In other embodiments, heating/cooling elements such as resistive heating elements or thermoelectric heaters/coolers may be included in the substrate holder 820, and the chamber walls of the plasma processing chamber 810, and in plasma processing Among any other components within system 800.

此外,可將傳熱氣體經由背側氣體供給系統826傳送至基板825的背側,以增進基板825和基板固持器820間的氣體間隙熱傳導。如此的系統可於需要在升高的或降低的溫度下之基板的溫度控制時加以利用。譬如,背側氣體供給系統可包含:二區氣體分配系統,其中氦氣體間隙壓力可獨立地在基板825的中心及邊緣間改變。Additionally, heat transfer gas may be delivered to the back side of substrate 825 via backside gas supply system 826 to enhance gas gap heat transfer between substrate 825 and substrate holder 820. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas supply system can include a two-zone gas distribution system in which the helium gas gap pressure can be independently varied between the center and the edge of the substrate 825.

在圖8所示實施例中,基板固持器820可包含:電極822,射頻功率經由該電極耦合至電漿處理區域845中的處理電漿。譬如,可藉由將來自射頻產生器830的射頻功率經由一選用性阻抗匹配網路832傳送至基板固持器820,而電偏壓基板固持器820於一射頻電壓。該射頻偏壓可用以加熱電子以形成和維持電漿。在這個構造中,該系統可運作為反應性離子蝕刻(RIE)反應器,其中腔室和上部氣體注入電極係用作接地表面。射頻偏壓典型的頻率可在約0.1 MHz到約100 MHz的範圍。電漿處理之RF系統係熟習此技術者所熟知。In the embodiment shown in FIG. 8, substrate holder 820 can include an electrode 822 via which RF power is coupled to the processing plasma in plasma processing region 845. For example, the substrate holder 820 can be electrically biased to a RF voltage by transmitting RF power from the RF generator 830 to the substrate holder 820 via an optional impedance matching network 832. The RF bias can be used to heat electrons to form and maintain plasma. In this configuration, the system can operate as a reactive ion etching (RIE) reactor in which the chamber and the upper gas injection electrode system serve as a grounded surface. The typical frequency of the RF bias can range from about 0.1 MHz to about 100 MHz. Plasma systems for plasma processing are well known to those skilled in the art.

此外,電極822於一射頻電壓的電偏壓可利用脈衝偏壓訊號控制器831脈衝供應。譬如,來自射頻產生器830的射頻功率輸出可在一關閉狀態和一開啟狀態之間脈衝供應。或者,可以多個頻率施加射頻功率至基板固持器電極。此外,阻抗匹配網路832可藉由降低反射功率增進射頻功率傳送至電漿處理腔室810之中的電漿。匹配網路拓樸(例如:L型、pi型、T型等)和自動控制方法係熟習此技術者所熟知。Additionally, the electrical bias of the electrode 822 at a radio frequency voltage can be pulsed by the pulsed bias signal controller 831. For example, the RF power output from the RF generator 830 can be pulsed between an off state and an on state. Alternatively, RF power can be applied to the substrate holder electrodes at multiple frequencies. In addition, the impedance matching network 832 can enhance the transfer of radio frequency power to the plasma in the plasma processing chamber 810 by reducing the reflected power. Matching network topologies (e.g., L-type, pi-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.

氣體分配系統840可包含:用以導入製程氣體混合物的噴淋頭設計。或者,氣體分配系統840可包含:用以導入製程氣體混合物和調整在基板825上方的製程氣體混合物分布的多區噴淋頭設計。譬如,多區噴淋頭設計可以相對於流向基板825上方之實質上中心區域的製程氣體流或組成物的量,而調整流向基板825之上之實質上周圍區域的製程氣體流或組成物。Gas distribution system 840 can include a showerhead design for introducing a process gas mixture. Alternatively, gas distribution system 840 can include a multi-zone showerhead design for introducing a process gas mixture and adjusting a process gas mixture distribution over substrate 825. For example, the multi-zone showerhead design can adjust the process gas flow or composition flowing to substantially the surrounding area above the substrate 825 relative to the amount of process gas flow or composition flowing to a substantially central region above the substrate 825.

真空泵系統850可包含:能夠高達約每秒8000公升(或更大)泵速度之渦輪分子真空泵(TMP)、及用以調節腔室壓力的閘閥。在用於乾式電漿蝕刻的習知電漿處理裝置中,可使用每秒1000至3000公升的TMP。對於一般小於約50 mTorr的低壓處理而言,TMP係有用的。對於高壓處理(即:大於約100 mTorr)而言,可使用機械升壓泵和乾式粗抽泵。此外,可將用於監視腔室壓力的裝置(圖未顯示)耦接至電漿處理腔室810。The vacuum pump system 850 can include a turbomolecular vacuum pump (TMP) capable of pump speeds up to about 8,000 liters per second (or greater), and a gate valve to regulate chamber pressure. In a conventional plasma processing apparatus for dry plasma etching, TMP of 1000 to 3000 liters per second can be used. TMP is useful for low pressure processing typically less than about 50 mTorr. For high pressure processing (ie, greater than about 100 mTorr), mechanical boost pumps and dry rough pumps can be used. Additionally, means for monitoring chamber pressure (not shown) may be coupled to the plasma processing chamber 810.

如以上所述,控制器855可包含:微處理器、記憶體、及數位I/O埠,其能夠產生控制電壓,此控制電壓足以傳輸及啟動至電漿處理系統800的輸入,並監控來自電漿處理系統800的輸出。此外,控制器855可耦接至射頻產生器830、脈衝偏壓訊號控制器831、阻抗匹配網路832、氣體分配系統840、真空泵系統850、以及基板加熱/冷卻系統(圖未顯示)、背側氣體供給系統826、及/或靜電夾持系統828,並與以上交換資訊。譬如,儲存於記憶體中的程式可用以根據一製程配方而啟動電漿處理系統800的前述元件之輸入,以在基板825之上執行一電漿輔助製程,例如電漿蝕刻製程。As noted above, the controller 855 can include a microprocessor, a memory, and a digital I/O port capable of generating a control voltage sufficient to transmit and initiate input to the plasma processing system 800, and to monitor from The output of the plasma processing system 800. In addition, the controller 855 can be coupled to the RF generator 830, the pulsed bias signal controller 831, the impedance matching network 832, the gas distribution system 840, the vacuum pump system 850, and the substrate heating/cooling system (not shown), back Side gas supply system 826, and/or electrostatic clamping system 828, and exchanges information with the above. For example, the program stored in the memory can be used to initiate the input of the aforementioned components of the plasma processing system 800 in accordance with a process recipe to perform a plasma assisted process, such as a plasma etch process, on the substrate 825.

其他的蝕刻處理系統可包含:固定式、或機械式或電性旋轉磁場系統,以能夠增加電漿密度及/或增進電漿處理均勻性,此系統包含:一上部電極,來自射頻產生器的射頻功率可經由選用性的阻抗匹配網路耦合至該上部電極;直流(DC)電源,其係耦合至與基板相對之上部電極;感應線圈,射頻功率經由選用性的阻抗匹配網路由射頻產生器耦合至該感應線圈,為「螺旋」線圈或「平繞」線圈的感應線圈,其如同在變壓器耦合式電漿(TCP)反應器中從上方與電漿處理區域連通;表面波電漿(SWP)源等等。為了更詳細地解釋電漿處理及蝕刻系統,請參照於於西元2012年8月18日申請之申請案第13/589,096,號,且藉由參照其整體納入本案揭示內容。Other etch processing systems may include: a fixed, or mechanical or electrical rotating magnetic field system to increase plasma density and/or improve plasma processing uniformity, the system comprising: an upper electrode from an RF generator RF power can be coupled to the upper electrode via an optional impedance matching network; a direct current (DC) power source coupled to the upper electrode opposite the substrate; an inductive coil, RF power routed to the RF generator via an optional impedance matching network An induction coil coupled to the induction coil as a "spiral" coil or a "flat wound" coil, which communicates with the plasma processing region from above in a transformer coupled plasma (TCP) reactor; surface wave plasma (SWP) ) Source and so on. In order to explain the plasma processing and etching system in more detail, please refer to the application No. 13/589,096, filed on Aug. 18, 2012, the disclosure of which is hereby incorporated by reference.

圖9係一示例性流程圖900,其說明一種使用直流重疊來降低嵌段共聚物層之DSA圖案缺陷度的示例性方法。在操作910中,設置具有嵌段共聚物層的基板,該嵌段共聚物層覆蓋於基板表面上的第一中間層,該嵌段共聚物層包含:一第一相分離聚合物,其在該嵌段共聚物層中界定出第一圖案;及一第二相分離聚合物,其在該嵌段共聚物層中界定出第二圖案。如以上所述,該嵌段共聚物可包括:二嵌段共聚物、三嵌段共聚物、或四嵌段共聚物。該嵌段共聚物層可以包括聚苯乙烯-b-聚(甲基丙烯酸甲酯)。亦可以使用其它的嵌段共聚物層。第一相分離聚合物可以是聚苯乙烯(PS)及第二相分離聚合物可以是聚(甲基丙烯酸甲酯)(PMMA)。亦可使用其它的聚合物。第一相分離聚合物的玻璃轉換溫度及基板溫度對控制蝕刻製程的結果而言係關鍵變因。在一實施例中,第一相分離聚合物包括聚苯乙烯,及第二相分離聚合物包括聚(甲基丙烯酸甲酯)。亦如以上所述,對此應用而言,可控制共聚物的玻璃轉換溫度參數之一者以上,以製造帶有可接受的玻璃轉換溫度數值或玻璃轉換溫度數值範圍的基板。9 is an exemplary flow diagram 900 illustrating an exemplary method of reducing DCA pattern defectivity of a block copolymer layer using DC overlap. In operation 910, a substrate having a block copolymer layer covering a first intermediate layer on a surface of the substrate, the block copolymer layer comprising: a first phase separation polymer, A first pattern is defined in the block copolymer layer; and a second phase separated polymer defining a second pattern in the block copolymer layer. As described above, the block copolymer may include a diblock copolymer, a triblock copolymer, or a tetrablock copolymer. The block copolymer layer may comprise polystyrene-b-poly(methyl methacrylate). Other block copolymer layers can also be used. The first phase separation polymer may be polystyrene (PS) and the second phase separation polymer may be poly(methyl methacrylate) (PMMA). Other polymers can also be used. The glass transition temperature and substrate temperature of the first phase separated polymer are key factors in controlling the results of the etching process. In one embodiment, the first phase separation polymer comprises polystyrene and the second phase separation polymer comprises poly(methyl methacrylate). As also noted above, for this application, one or more of the glass transition temperature parameters of the copolymer can be controlled to produce a substrate having an acceptable range of glass transition temperature values or glass transition temperature values.

在一實施例中,第一相分離聚合物具有大於50℃、約50℃至約100℃、或約80℃至約100℃的玻璃轉換溫度。嵌段共聚物層可包括二嵌段共聚物、三嵌段共聚物、或四嵌段共聚物等。該嵌段共聚物層可以包括聚苯乙烯-b-聚(甲基丙烯酸甲酯)。第一中間層可包括一抗反射塗層,及該第一中間層包括一含矽的抗反射塗層。基板可以進一步包括:一第二中間層,其位在該第一中間層之下,其中該第二中間層可以包括一有機的平坦化層。第一相分離聚合物可以包括聚苯乙烯及其它的聚合物。In one embodiment, the first phase separation polymer has a glass transition temperature of greater than 50 °C, from about 50 °C to about 100 °C, or from about 80 °C to about 100 °C. The block copolymer layer may include a diblock copolymer, a triblock copolymer, or a tetrablock copolymer or the like. The block copolymer layer may comprise polystyrene-b-poly(methyl methacrylate). The first intermediate layer can include an anti-reflective coating, and the first intermediate layer includes a ruthenium-containing anti-reflective coating. The substrate may further include: a second intermediate layer positioned below the first intermediate layer, wherein the second intermediate layer may include an organic planarization layer. The first phase separation polymer may comprise polystyrene and other polymers.

在操作920中,使用由第一製程組成物形成的電漿進行第一電漿蝕刻製程,以選擇性地移除該第二相分離聚合物,而在該基板表面上留下該第一相分離聚合物的該第一圖案。在操作930中,使用由含有鹵素之氣體的第二製程組成物形成的電漿進行第二電漿蝕刻製程,以將該第一圖案至少部分地轉移至該第一中間層。在一實施例中,第一電漿蝕刻製程係在小於等於約20℃的基板溫度下進行。在另一實施例中,該含有鹵素之氣體包含:SF6 。在又一實施例中,該第二製程組成物更包含:含有CxFyHz的氣體、含有CxFy的氣體;或第二製程組成物更包含C4 F8 、或SF6 、或C4 F8 及一選用性的惰性氣體。在另一實施例中,該第二電漿蝕刻製程的進行包含:自含有含氧氣體及惰性氣體的製程組成物形成電漿。在又一實施例中,該第二電漿蝕刻製程的進行包含:自含有O2 及Ar的製程組成物形成電漿。將於以下以及與圖12相關之討論中詳細敘述第二電漿蝕刻製程的過程。In operation 920, a first plasma etching process is performed using a plasma formed from the first process composition to selectively remove the second phase separated polymer leaving the first phase on the surface of the substrate The first pattern of the polymer is separated. In operation 930, a second plasma etch process is performed using a plasma formed from a second process composition containing a halogen-containing gas to at least partially transfer the first pattern to the first intermediate layer. In one embodiment, the first plasma etch process is performed at a substrate temperature of about 20 ° C or less. In another embodiment, the halogen-containing gas comprises: SF 6 . In still another embodiment, the second process composition further comprises: a gas containing CxFyHz, a gas containing CxFy; or the second process composition further comprises C 4 F 8 , or SF 6 , or C 4 F 8 and Optional inert gas. In another embodiment, the second plasma etching process comprises: forming a plasma from a process composition comprising an oxygen-containing gas and an inert gas. In still another embodiment, the performing of the second plasma etching process comprises: forming a plasma from a process composition comprising O 2 and Ar. The process of the second plasma etch process will be described in detail below and in connection with FIG.

圖10係另一示例性流程圖1000,其說明使用直流重疊來降低嵌段共聚物層之DSA圖案缺陷的進一步示例性方法操作。圖10之設置基板(1010)、進行第一電漿蝕刻製程(1020)、及進行第二電漿蝕刻製程(1030)的方法之操作,係與圖9對應之方法操作相似:設置基板(910)、進行第一電漿蝕刻製程(920)、及進行第二電漿蝕刻製程(930)。 在操作1040中,將該第一相分離聚合物暴露於電子束。在先前第一或第二電漿蝕刻製程順序中所產生之電漿存在的情況下,該電子束可為由直流電力供應器產生之彈道電子束。對該電子束的暴露可於該第一電漿蝕刻製程的進行期間進行,或在該第一電漿蝕刻製程之後及該第二電漿蝕刻製程之前進行,或在該第二電漿蝕刻製程進行期間進行。如以上所述,該第二電漿蝕刻製程的進行包括:在該基板放置於其上的下部電極以及與相對於下部電極設置的上部電極之間形成電漿;及將負直流電壓耦合至該上部電極。10 is another exemplary flow diagram 1000 illustrating further exemplary method operations for reducing DCA pattern defects of a block copolymer layer using DC overlap. The operation of the method of setting the substrate (1010), performing the first plasma etching process (1020), and performing the second plasma etching process (1030) of FIG. 10 is similar to the method of FIG. 9: setting the substrate (910) And performing a first plasma etching process (920) and performing a second plasma etching process (930). In operation 1040, the first phase separated polymer is exposed to an electron beam. In the presence of the plasma generated in the previous first or second plasma etching process sequence, the electron beam may be a ballistic electron beam generated by a DC power supply. The exposing of the electron beam may be performed during the first plasma etching process, or after the first plasma etching process and before the second plasma etching process, or in the second plasma etching process It is carried out during the period. As described above, the second plasma etching process includes: forming a plasma between a lower electrode on which the substrate is placed and an upper electrode disposed opposite to the lower electrode; and coupling a negative DC voltage to the Upper electrode.

本案發明人發現藉由使用上述方法操作及將於以下描述之製程順序,基板的定向自組裝缺陷度可受到控制並於所尋求之容限內。例如,達成在第一電漿蝕刻製程之後小於2.60的第一圖案的線寬粗糙度(LWR),達成小於3.00之第一圖案第一邊緣(例如:左側邊緣)上的線邊緣粗糙度(LERL),及達成小於3.10之第一圖案第二邊緣(例如:右側邊緣)上的線邊緣粗糙度(LERR)。The inventors have found that by operating using the above methods and in the process sequence described below, the directional self-assembly defect of the substrate can be controlled and within the tolerances sought. For example, achieving a line width roughness (LWR) of the first pattern less than 2.60 after the first plasma etch process, achieving a line edge roughness (LERL) on the first edge (eg, the left edge) of the first pattern of less than 3.00 And achieving a line edge roughness (LERR) on the second edge of the first pattern (eg, the right edge) of less than 3.10.

圖11係製造過程的一示例性結構圖1100,此製造過程包含於用以降低圖案缺陷度之包括直流重疊的製造順序。處理順序的第一分支包括設置具有嵌段共聚物層之基板的處理,其可以在兩個不同的處理順序中進行,亦即是,以嵌段共聚物塗覆基板的第一處理順序1104,以及將基板退火的第二處理順序1108,如圖3操作310相關的討論。第三處理順序1112包含:進行蝕刻製程,以選擇性地移除第二相分離聚合物,而在基板表面上留下第一相分離聚合物的第一圖案,該蝕刻製程係使用例如圖5所述的基板固持器而在選定的低溫範圍下進行。處理順序1104、1108、及1112係與圖6相關描述的處理順序相似。11 is an exemplary structural diagram 1100 of a fabrication process that includes a fabrication sequence including DC overlap to reduce pattern defects. The first branch of the processing sequence includes a process of providing a substrate having a block copolymer layer, which can be performed in two different processing sequences, that is, a first processing sequence 1104 of coating the substrate with a block copolymer, And a second processing sequence 1108 of annealing the substrate, as discussed in connection with operation 310 of FIG. The third processing sequence 1112 includes: performing an etching process to selectively remove the second phase separated polymer leaving a first pattern of the first phase separated polymer on the surface of the substrate, the etching process using, for example, FIG. The substrate holder is operated at a selected low temperature range. Processing sequences 1104, 1108, and 1112 are similar to the processing sequence described in relation to FIG.

處理順序的第二分支包含:(1120)設置一基板,其具有嵌段共聚物層覆蓋在該基板表面上的一中間層,該嵌段共聚物層包含第一相分離聚合物(其在該嵌段共聚物層中界定出第一圖案)及第二相分離聚合物(其在該嵌段共聚物層中界定出第二圖案);(1124)使用由第一製程組成物形成之電漿進行第一電漿蝕刻製程,以選擇性地移除該第二相分離聚合物,而在該基板表面上留下該第一相分離聚合物的該第一圖案;(1128)使用由含有鹵素之氣體的第二製程組成物形成的電漿進行第二電漿蝕刻製程,以將該第一圖案至少部分地轉移至該中間層;及(1132)將該第一相分離聚合物暴露於電子束。如以上所述,對電子束暴露(1132)可在該第一電漿蝕刻製程進行期間進行,或在該第一電漿蝕刻製程之後及在該第二電漿蝕刻製程之前進行,或在該第二電漿蝕刻製程進行期間進行。The second branch of the processing sequence comprises: (1120) arranging a substrate having a block copolymer layer covering an intermediate layer on the surface of the substrate, the block copolymer layer comprising a first phase separation polymer (which is a first pattern is defined in the block copolymer layer) and a second phase separated polymer (which defines a second pattern in the block copolymer layer); (1124) using a plasma formed from the first process composition Performing a first plasma etching process to selectively remove the second phase separation polymer leaving the first pattern of the first phase separation polymer on the surface of the substrate; (1128) used by containing halogen a plasma formed by the second process composition of the gas is subjected to a second plasma etching process to at least partially transfer the first pattern to the intermediate layer; and (1132) exposing the first phase separation polymer to electrons bundle. As described above, the electron beam exposure (1132) may be performed during the first plasma etching process, or after the first plasma etching process and before the second plasma etching process, or The second plasma etching process is performed during the process.

圖12係另一控制系統的示例性簡化示意圖,該系統係用在處理順序中以降低嵌段共聚物層的缺陷度,且使用直流重疊控制一個以上處理順序中之一個以上的操作參數。在圖12中所示的實施例中,電漿處理系統1200可類似於圖7中所描述之實施例,且可以進一步地包含一直流(DC)電力供應器1290,其耦接至與基板1225對向的上部電極1270。該上部電極1270可包含一電極板。該電極板可包含一含矽的電極板。此外,該電極板可含經摻雜的矽電極板。直流電力供應器1290可包含可變直流電力供應器。此外,直流電力供應器1290可包含雙極直流電力供應器。直流電力供應器1290可更包含用於進行監控、調整、或控制直流電力供應器1290之極性、電流、電壓、或開啟/關閉狀態之至少一者的系統。一旦電漿形成,直流電力供應器1290會促進彈道電子束的形成。可利用電子過濾器(圖未顯示),以自直流電力供應器1290來去耦合RF功率。12 is an exemplary simplified schematic diagram of another control system for use in a processing sequence to reduce the defectivity of a block copolymer layer and to control one or more operating parameters of more than one processing sequence using DC overlap. In the embodiment shown in FIG. 12, the plasma processing system 1200 can be similar to the embodiment depicted in FIG. 7, and can further include a DC (DC) power supply 1290 coupled to the substrate 1225 The upper electrode 1270 is opposed. The upper electrode 1270 can include an electrode plate. The electrode plate may comprise a ruthenium containing electrode plate. Further, the electrode plate may contain a doped ytterbium electrode plate. The DC power supply 1290 can include a variable DC power supply. Additionally, the DC power supply 1290 can include a bipolar DC power supply. The DC power supply 1290 can further include a system for monitoring, adjusting, or controlling at least one of the polarity, current, voltage, or on/off state of the DC power supply 1290. Once the plasma is formed, the DC power supply 1290 promotes the formation of a ballistic electron beam. An electronic filter (not shown) may be utilized to decouple the RF power from the DC power supply 1290.

例如,直流電力供應器1290施加於上部電極1270的直流電壓可自約-2000伏特(V)到約1000V。直流電壓的絕對值較佳是具有大於等於約100V的值,而直流電壓的絕對值更佳是具有大於等於約1300V的值。此外,吾人期望直流電壓具有負極性。此外,吾人期望直流電壓係負電壓,該負電壓具有大於在上部電極1270表面上產生的自偏壓的絕對值。面向基板固持器1220的上部電極表面可由含矽材料構成。For example, the DC voltage applied by the DC power supply 1290 to the upper electrode 1270 can range from about -2000 volts (V) to about 1000V. The absolute value of the direct current voltage preferably has a value of about 100 V or more, and the absolute value of the direct current voltage is more preferably a value of about 1300 V or more. In addition, we expect the DC voltage to have a negative polarity. Furthermore, it is desirable for the DC voltage to be a negative voltage having an absolute value greater than the self-bias generated on the surface of the upper electrode 1270. The upper electrode surface facing the substrate holder 1220 may be composed of a ruthenium-containing material.

雖然已藉由描述一個以上實施例說明本發明,且將該等實施例相當詳細地描述,但以上說明描述並無意圖將隨附專利申請範圍之範疇限制或以任何方面限定於此等細節。熟習此技術者可無困難地明瞭其他的優點和變更。此外,根據由適當聚合物嵌段選擇所提供的內在蝕刻選擇性,吾人理解該等區域之一者可選擇性地使用單一蝕刻化學品以單一步驟移除,或可藉由不同的蝕刻化學品使用多個蝕刻移除。因此本發明在其更廣義的實施態樣中不限定於這些具體細節(顯示和描述之代表性裝置和方法與例示範例)。因此,在不偏離一般發明觀念的範疇下可自該等細節加以變更。The present invention has been described by way of a description of the embodiments of the invention, and the description of the invention is not limited by the scope of the appended claims. Those skilled in the art will be able to clarify other advantages and modifications without difficulty. Moreover, based on the intrinsic etch selectivity provided by the appropriate polymer block selection, it is understood that one of the regions can be selectively removed in a single step using a single etch chemistry, or by different etch chemistries. Remove using multiple etches. The invention in its broader aspects is therefore not limited to the specific details of Therefore, changes may be made from such details without departing from the general inventive concept.

105‧‧‧層狀結構
120‧‧‧材料層
160‧‧‧輻射敏感性材料
180‧‧‧嵌段共聚物(嵌段聚合物)
190‧‧‧區域
195‧‧‧區域
200‧‧‧示意圖
208‧‧‧基板
212‧‧‧特徵部
216‧‧‧特徵部
220‧‧‧示意圖
224‧‧‧虛線圓圈
240‧‧‧示意圖(側視圖)
242‧‧‧特徵部
246‧‧‧基板
260‧‧‧示意圖(頂視圖)
300‧‧‧流程圖
310‧‧‧操作
320‧‧‧操作
430‧‧‧操作
440‧‧‧操作
500‧‧‧基板固持器
510‧‧‧基板
520‧‧‧支撐基座
521‧‧‧冷卻元件(元件)
522‧‧‧控制單元
530‧‧‧基板支撐部
531‧‧‧邊緣加熱元件
532‧‧‧加熱元件控制單元
533‧‧‧中心加熱元件
534‧‧‧直流電壓源
535‧‧‧夾持電極
536‧‧‧背側氣體供應系統
540‧‧‧熱絕緣部
550‧‧‧控制器
560‧‧‧溫度監視系統
562‧‧‧中心溫度感測器
564‧‧‧邊緣溫度感測器
600‧‧‧架構圖
604‧‧‧第一處理順序
608‧‧‧第二處理順序
612‧‧‧第三處理順序
700‧‧‧側視圖
704‧‧‧基板
708‧‧‧嵌段共聚物層結構
720‧‧‧頂視圖
80‧‧‧處理系統
810‧‧‧電漿處理腔室
820‧‧‧基板固持器
822‧‧‧電極
825‧‧‧基板
826‧‧‧背側氣體供給系統
828‧‧‧夾持系統
830‧‧‧射頻產生器
831‧‧‧脈衝偏壓訊號控制器
832‧‧‧阻抗匹配網路
840‧‧‧氣體分配系統
845‧‧‧電漿處理區域
850‧‧‧真空泵系統
855‧‧‧控制器
900‧‧‧流程圖
910‧‧‧操作
920‧‧‧操作
930‧‧‧操作
1000‧‧‧流程圖
1010‧‧‧操作
1020‧‧‧操作
1030‧‧‧操作
1040‧‧‧操作
1100‧‧‧結構圖
1104‧‧‧處理順序
1108‧‧‧處理順序
1112‧‧‧處理順序
1120‧‧‧處理順序
1124‧‧‧處理順序
1128‧‧‧處理順序
1132‧‧‧處理順序
1200‧‧‧電漿處理系統
1220‧‧‧基板固持器
1225‧‧‧基板
1270‧‧‧上部電極
1290‧‧‧電力供應器
105‧‧‧Layered structure
120‧‧‧Material layer
160‧‧‧radiation sensitive materials
180‧‧‧ block copolymer (block polymer)
190‧‧‧ area
195‧‧‧Area
200‧‧‧ Schematic
208‧‧‧Substrate
212‧‧‧Characteristic Department
216‧‧‧ Characteristic Department
220‧‧‧ Schematic
224‧‧‧dotted circle
240‧‧‧ Schematic (side view)
242‧‧‧Characteristic Department
246‧‧‧Substrate
260‧‧‧ Schematic (top view)
300‧‧‧ Flowchart
310‧‧‧ operation
320‧‧‧ operations
430‧‧‧ operation
440‧‧‧ operation
500‧‧‧Substrate Holder
510‧‧‧Substrate
520‧‧‧Support base
521‧‧‧Cooling elements (components)
522‧‧‧Control unit
530‧‧‧Substrate support
531‧‧‧Edge heating element
532‧‧‧Heating element control unit
533‧‧‧Center heating element
534‧‧‧DC voltage source
535‧‧‧Clamping electrode
536‧‧‧Backside gas supply system
540‧‧‧ Thermal insulation
550‧‧‧ controller
560‧‧‧ Temperature Monitoring System
562‧‧‧Center temperature sensor
564‧‧‧Edge temperature sensor
600‧‧‧Architectural map
604‧‧‧First processing sequence
608‧‧‧second processing sequence
612‧‧‧ Third processing sequence
700‧‧‧ side view
704‧‧‧Substrate
708‧‧‧ block copolymer layer structure
720‧‧‧ top view
80‧‧‧Processing system
810‧‧‧ Plasma processing chamber
820‧‧‧Sheet holder
822‧‧‧electrode
825‧‧‧Substrate
826‧‧‧Backside gas supply system
828‧‧‧Clamping system
830‧‧‧RF generator
831‧‧‧pulse bias signal controller
832‧‧‧ impedance matching network
840‧‧‧Gas distribution system
845‧‧‧ Plasma processing area
850‧‧‧vacuum pump system
855‧‧‧ Controller
900‧‧‧Flowchart
910‧‧‧ operation
920‧‧‧ operations
930‧‧‧ operation
1000‧‧‧flow chart
1010‧‧‧ operation
1020‧‧‧ operation
1030‧‧‧ operation
1040‧‧‧ operation
1100‧‧‧Structure
1104‧‧‧Processing order
1108‧‧‧Processing order
1112‧‧‧Processing order
1120‧‧‧Processing order
1124‧‧‧Processing order
1128‧‧‧Processing order
1132‧‧‧Processing order
1200‧‧‧ Plasma Processing System
1220‧‧‧Substrate Holder
1225‧‧‧Substrate
1270‧‧‧ upper electrode
1290‧‧‧Power supply

併入並構成說明書一部份的隨附圖式說明本發明之實施例,且偕同上述給予之發明的一般描述及下文給予之詳細描述,用作為描述本發明。The present invention is described with reference to the accompanying drawings, and the claims

圖1顯示具有使用定向自組裝(DSA)技術來圖案化的嵌段共聚物層的基板;Figure 1 shows a substrate having a block copolymer layer patterned using directed self-assembly (DSA) techniques;

圖2A及2B係以習知技術的蝕刻製程所產生之有結構缺陷的圖案化共聚物層的簡化示意;2A and 2B are simplified schematic illustrations of a patterned copolymer layer having structural defects produced by an etching process of the prior art;

圖 3係一流程圖,其示例說明:用以根據本發明實施例降低嵌段共聚物層​​的定向自組裝圖案缺陷度的方法;3 is a flow chart illustrating an example of a method for reducing the defect of a directed self-assembly pattern of a block copolymer layer in accordance with an embodiment of the present invention;

圖4係一流程圖,其進一步示例說明:用以根據本發明實施例降低嵌段共聚物層​​的定向自組裝圖案缺陷度的方法操作;4 is a flow chart further illustrating a method operation for reducing the degree of defect in a directed self-assembly pattern of a block copolymer layer in accordance with an embodiment of the present invention;

圖5係根據本發明實施例之基板固持器的簡化示意圖;Figure 5 is a simplified schematic diagram of a substrate holder in accordance with an embodiment of the present invention;

圖6係包含於本發明實施例中之製造順序的製造過程的示例性架構圖;6 is an exemplary architectural diagram of a manufacturing process of a manufacturing sequence included in an embodiment of the present invention;

圖7係蝕刻製程後之基板的簡化示意圖,在本發明實施例中,此蝕刻製程所利用的技術能降低嵌段共聚物層的​​缺陷度;7 is a simplified schematic diagram of a substrate after an etching process, in which the technique utilized in the etching process can reduce the defect degree of the block copolymer layer;

圖8係用在處理順序中所使用之一控制系統的簡化示意圖,在本發明實施例中,該系統係用以降低嵌段共聚物層的缺陷度,並在一個以上的處理順序中控制一個以上的操作參數;Figure 8 is a simplified schematic diagram of one of the control systems used in the processing sequence, in the embodiment of the invention, the system is used to reduce the defect level of the block copolymer layer and control one in more than one processing sequence. The above operating parameters;

圖9係一流程圖,其進一步示例說明:使用直流疊加降低嵌段共聚物層之DSA圖案缺陷度的方法操作;Figure 9 is a flow chart further illustrating the method of operation for reducing the DSA pattern defect degree of the block copolymer layer using DC superposition;

圖10係另一流程圖,其進一步示例說明:使用直流疊加降低嵌段共聚物層之DSA圖案缺陷度的方法操作;Figure 10 is another flow diagram further illustrating the method of using a DC stack to reduce the DSA pattern defect of the block copolymer layer;

圖11係製造過程的一示例性結構圖,此製造過程包含於用以降低圖案缺陷度之包括直流重疊的製造順序。Figure 11 is an exemplary structural diagram of a fabrication process included in a fabrication sequence including DC overlap to reduce pattern defects.

圖12係用在處理順序中之另一控制系統的簡化示意圖,在本發明實施例中,該系統係用以降低嵌段共聚物層的缺陷度,並在一個以上的處理順序中控制一個以上的操作參數。Figure 12 is a simplified schematic diagram of another control system used in a processing sequence for reducing the defectivity of a block copolymer layer and controlling more than one of more than one processing sequence in an embodiment of the invention. Operating parameters.

300‧‧‧流程圖 300‧‧‧ Flowchart

310‧‧‧操作 310‧‧‧ operation

320‧‧‧操作 320‧‧‧ operations

Claims (20)

一種製備圖案化定向自組裝(DSA)層的方法,該方法包含:             基板設置步驟,設置具有一嵌段共聚物層的一基板,該嵌段共聚物層覆蓋於該基板之一表面上的一第一中間層,該嵌段共聚物層包含一第一相分離聚合物及一第二相分離聚合物,該第一相分離聚合物在該嵌段共聚物層中界定出一第一圖案,該第二相分離聚合物在該嵌段共聚物層中界定出一第二圖案;             第一電漿蝕刻製程執行步驟,使用由一第一製程組成物形成的電漿執行一第一電漿蝕刻製程,以選擇性地移除該第二相分離聚合物,而於該基板的該表面上留下該第一相分離聚合物的該第一圖案;及             暴露步驟,將該第一相分離聚合物暴露於電子束。A method of preparing a patterned directed self-assembled (DSA) layer, the method comprising: a substrate setting step of disposing a substrate having a block copolymer layer covering a surface of one of the substrates a first intermediate layer, the block copolymer layer comprising a first phase separation polymer and a second phase separation polymer, the first phase separation polymer defining a first pattern in the block copolymer layer, The second phase separation polymer defines a second pattern in the block copolymer layer; a first plasma etching process performing step of performing a first plasma etching using a plasma formed by a first process composition a process for selectively removing the second phase separation polymer leaving the first pattern of the first phase separation polymer on the surface of the substrate; and an exposing step of separately separating the first phase The object is exposed to an electron beam. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該暴露步驟係執行於該第一電漿蝕刻製程執行步驟之前、期間、或之後。A method of preparing a patterned directed self-assembly (DSA) layer according to claim 1, wherein the exposing step is performed before, during, or after the first plasma etching process execution step. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該暴露步驟包含:             在一下部電極及一上部電極之間形成電漿,該基板係放置於該下部電極之上,該上部電極係相對於該下部電極設置;及             將負直流電壓耦合至該上部電極。The method of preparing a patterned directed self-assembly (DSA) layer according to claim 1, wherein the exposing step comprises: forming a plasma between the lower electrode and an upper electrode, wherein the substrate is placed on the lower electrode Upper, the upper electrode is disposed relative to the lower electrode; and a negative DC voltage is coupled to the upper electrode. 如申請專利範圍第3項之製備圖案化定向自組裝(DSA)層的方法,其中在與該第一電漿蝕刻製程執行步驟的處理系統相同的處理系統中執行該暴露步驟,或其中在與該第一電漿蝕刻製程執行步驟的處理系統不同的處理系統中執行該暴露步驟。A method of preparing a patterned directed self-assembly (DSA) layer according to claim 3, wherein the exposing step is performed in a processing system identical to the processing system of the first plasma etching process execution step, or wherein The processing step of the first plasma etching process execution step performs the exposure step in a different processing system. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該第一電漿蝕刻製程執行步驟包含:             在一下部電極及一上部電極之間形成電漿,該基板係放置於該下部電極之上,該上部電極係相對於該下部電極設置;及             將負直流電壓耦合至該上部電極。The method for preparing a patterned directed self-assembly (DSA) layer according to claim 1, wherein the first plasma etching process execution step comprises: forming a plasma between the lower electrode and an upper electrode, the substrate system Placed on the lower electrode, the upper electrode is disposed relative to the lower electrode; and a negative DC voltage is coupled to the upper electrode. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,更包含:第二電漿蝕刻製程執行步驟,使用由一第二製程組成物形成之電漿執行一第二電漿蝕刻製程,以將該第一圖案至少部分地轉移至該第一中間層。The method for preparing a patterned directed self-assembly (DSA) layer according to claim 1, further comprising: a second plasma etching process execution step of performing a second electricity using a plasma formed by a second process composition A plasma etching process to at least partially transfer the first pattern to the first intermediate layer. 如申請專利範圍第6項之製備圖案化定向自組裝(DSA)層的方法,其中:              該暴露步驟係在該第一電漿蝕刻製程執行步驟期間執行;或              該暴露步驟係在該第一電漿蝕刻製程執行步驟期間之後及該第二電漿蝕刻製程執行步驟之前執行;或              該暴露步驟係在該第二電漿蝕刻製程執行步驟期間執行。A method of preparing a patterned directed self-assembly (DSA) layer according to claim 6 wherein: the exposing step is performed during the first plasma etching process execution step; or the exposing step is performed in the first electric Executing after the slurry etching process execution step and before the second plasma etching process execution step; or the exposing step is performed during the second plasma etching process execution step. 如申請專利範圍第6項之製備圖案化定向自組裝(DSA)層的方法,其中該第二電漿蝕刻製程執行步驟包含:             在一下部電極及一上部電極之間形成電漿,該基板係放置於該下部電極之上,該上部電極係相對於該下部電極設置;及              將負直流電壓耦合至該上部電極。The method for preparing a patterned directed self-assembly (DSA) layer according to claim 6, wherein the second plasma etching process execution step comprises: forming a plasma between the lower electrode and an upper electrode, the substrate system Placed on the lower electrode, the upper electrode is disposed relative to the lower electrode; and a negative DC voltage is coupled to the upper electrode. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該第一電漿蝕刻製程執行步驟係在小於等於約20℃的基板溫度下執行。A method of preparing a patterned directed self-assembly (DSA) layer according to claim 1, wherein the first plasma etching process execution step is performed at a substrate temperature of about 20 ° C or less. 如申請專利範圍第6項之製備圖案化定向自組裝(DSA)層的方法,其中該第二製程組成物包含:包含SF6 之含鹵素的氣體。The scope of the patent patterned orientation, Paragraph 6 Preparation of self - assembly (DSA) layer, wherein the second process composition comprising: a halogen-containing gas comprising the SF 6. 如申請專利範圍第10項之製備圖案化定向自組裝(DSA)層的方法,其中該第二製程組成物更包含含有CX FY HZ 的氣體,且其中x及y係大於0,且z係大於等於0。A method of preparing a patterned directed self-assembled (DSA) layer according to claim 10, wherein the second process composition further comprises a gas containing C X F Y H Z , and wherein x and y are greater than 0, and The z system is greater than or equal to zero. 如申請專利範圍第10項之製備圖案化定向自組裝(DSA)層的方法,其中該第二製程組成物更包含C4 F8A method of preparing a patterned directed self-assembled (DSA) layer according to claim 10, wherein the second process composition further comprises C 4 F 8 . 如申請專利範圍第10項之製備圖案化定向自組裝(DSA)層的方法,其中該第二製程組成物係由SF6 、C4 F8 、及選用性的稀有氣體組成。A method of preparing a patterned directed self-assembled (DSA) layer according to claim 10, wherein the second process composition consists of SF 6 , C 4 F 8 , and a selective rare gas. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該嵌段共聚物層包含:二嵌段共聚物、三嵌段共聚物、或四嵌段共聚物。A method of preparing a patterned oriented self-assembled (DSA) layer according to claim 1, wherein the block copolymer layer comprises: a diblock copolymer, a triblock copolymer, or a tetrablock copolymer. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該嵌段共聚物層包含:聚苯乙烯-b-聚(甲基丙烯酸甲酯)。A method of preparing a patterned directed self-assembled (DSA) layer according to claim 1, wherein the block copolymer layer comprises: polystyrene-b-poly(methyl methacrylate). 如申請專利範圍第15項之製備圖案化定向自組裝(DSA)層的方法,其中在該第一電漿蝕刻製程之後的該第一圖案的線寬粗糙度(LWR)係小於2.60,該第一圖案之第一邊緣上的線邊緣粗糙度(LERL)係小於3.00,且該第一圖案之第二邊緣上的線邊緣粗糙度(LERR)係小於3.10。The method of preparing a patterned directed self-assembled (DSA) layer according to claim 15 , wherein a line width roughness (LWR) of the first pattern after the first plasma etching process is less than 2.60, the first The line edge roughness (LERL) on the first edge of a pattern is less than 3.00, and the line edge roughness (LERR) on the second edge of the first pattern is less than 3.10. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該第一中間層包含:含矽的抗反射塗層。A method of preparing a patterned directed self-assembled (DSA) layer according to claim 1, wherein the first intermediate layer comprises: a antimony-containing antireflective coating. 如申請專利範圍第1項之製備圖案化定向自組裝(DSA)層的方法,其中該第一相分離聚合物包含:聚苯乙烯。A method of preparing a patterned directed self-assembled (DSA) layer according to claim 1, wherein the first phase separation polymer comprises: polystyrene. 一種製備圖案化定向自組裝(DSA)層的方法,該方法包含:             基板設置步驟,設置具有一嵌段共聚物層的一基板,該嵌段共聚物層覆蓋於該基板之一表面上的一中間層,該嵌段共聚物層包含一第一相分離聚合物及一第二相分離聚合物,該第一相分離聚合物在該嵌段共聚物層中界定出一第一圖案,且該第二相分離聚合物在該嵌段共聚物層中界定出一第二圖案;              第一電漿蝕刻製程執行步驟,使用由一第一製程組成物形成的電漿執行一第一電漿蝕刻,以選擇性地移除該第二相分離聚合物,而於該基板的該表面上留下該第一相分離聚合物的該第一圖案;及             第二電漿蝕刻製程執行步驟,使用由含有含鹵素氣體的一第二製程組成物形成的電漿執行一第二電漿蝕刻製程,以將該第一圖案至少部分地轉移至該中間層。A method of preparing a patterned directed self-assembled (DSA) layer, the method comprising: a substrate setting step of disposing a substrate having a block copolymer layer covering a surface of one of the substrates An intermediate layer, the block copolymer layer comprising a first phase separation polymer and a second phase separation polymer, the first phase separation polymer defining a first pattern in the block copolymer layer, and the The second phase separation polymer defines a second pattern in the block copolymer layer; the first plasma etching process performs the step of performing a first plasma etching using the plasma formed by the first process composition, Selectively removing the second phase separation polymer leaving the first pattern of the first phase separation polymer on the surface of the substrate; and the second plasma etching process execution step, using a plasma formed by a second process composition of a halogen-containing gas performs a second plasma etching process to at least partially transfer the first pattern to the plasma Floor. 如申請專利範圍第19項之製備圖案化定向自組裝(DSA)層的方法,其中該第二製程組成物係由SF6 、C4 F8 、及選用性的稀有氣體組成。A method of preparing a patterned directed self-assembled (DSA) layer according to claim 19, wherein the second process composition is composed of SF 6 , C 4 F 8 , and a selective rare gas.
TW103129001A 2013-09-04 2014-08-22 Etch process for reducing directed self assembly pattern defectivity using direct current superpositioning TWI536450B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/018,329 US9153457B2 (en) 2013-06-14 2013-09-04 Etch process for reducing directed self assembly pattern defectivity using direct current positioning

Publications (2)

Publication Number Publication Date
TW201515097A true TW201515097A (en) 2015-04-16
TWI536450B TWI536450B (en) 2016-06-01

Family

ID=52628836

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103129001A TWI536450B (en) 2013-09-04 2014-08-22 Etch process for reducing directed self assembly pattern defectivity using direct current superpositioning

Country Status (3)

Country Link
KR (1) KR101787299B1 (en)
TW (1) TWI536450B (en)
WO (1) WO2015034600A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3041119B1 (en) 2015-09-11 2017-09-29 Commissariat Energie Atomique METHOD FOR SELECTIVELY ENGRAVING A BLOCK COPOLYMER

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1978407A1 (en) * 2007-03-28 2008-10-08 CRF Societa'Consortile per Azioni Method for obtaining a transparent conductive film
US7828986B2 (en) * 2007-10-29 2010-11-09 International Business Machines Corporation Forming surface features using self-assembling masks
US20090117360A1 (en) * 2007-11-01 2009-05-07 International Business Machines Corporation Self-assembled material pattern transfer contrast enhancement
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
GR1006618B (en) * 2008-06-13 2009-12-03 Εθνικο Κεντρο Ερευνας Φυσικων Επιστημων (Εκεφε) "Δημοκριτος" Method for the fabrication of periodic structures on polymers using plasma processes
US7713753B2 (en) * 2008-09-04 2010-05-11 Seagate Technology Llc Dual-level self-assembled patterning method and apparatus fabricated using the method
US8815105B2 (en) * 2011-02-28 2014-08-26 HGST Netherlands B.V. Method using block copolymers for making a master mold for nanoimprinting patterned magnetic recording disks with chevron servo patterns
JP2013057012A (en) * 2011-09-08 2013-03-28 Japan Science & Technology Agency Phase-separated fine structure and method for producing the same

Also Published As

Publication number Publication date
TWI536450B (en) 2016-06-01
KR101787299B1 (en) 2017-10-18
KR20160048987A (en) 2016-05-04
WO2015034600A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
TWI570801B (en) Etch process for reducing directed self assembly pattern defectivity
US8945408B2 (en) Etch process for reducing directed self assembly pattern defectivity
KR101755869B1 (en) Method for selectivity enhancement during dry plasma etching
TWI621155B (en) Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN106154767B (en) Method for reducing extreme ultraviolet sensitivity using shrinkage and growth
US8389416B2 (en) Process for etching silicon with selectivity to silicon-germanium
US8268184B2 (en) Etch process for reducing silicon recess
TWI627673B (en) Etching method for a structure pattern layer having a first material and second material
KR102328025B1 (en) Method for patterning differing critical dimensions at sub-resolution scales
TWI458013B (en) Selective etch process for silicon nitride
JP6997923B6 (en) Plasma processing method to meet line edge roughness and other integration goals
US9947597B2 (en) Defectivity metrology during DSA patterning
TWI536450B (en) Etch process for reducing directed self assembly pattern defectivity using direct current superpositioning
KR20080082442A (en) Methods of forming mask patterns on semiconductor wafers that compensate for nonuniform center-to-edge etch rates during photolithographic processing