TW201503095A - High performance LCD local dimming method and system thereof - Google Patents

High performance LCD local dimming method and system thereof Download PDF

Info

Publication number
TW201503095A
TW201503095A TW102124586A TW102124586A TW201503095A TW 201503095 A TW201503095 A TW 201503095A TW 102124586 A TW102124586 A TW 102124586A TW 102124586 A TW102124586 A TW 102124586A TW 201503095 A TW201503095 A TW 201503095A
Authority
TW
Taiwan
Prior art keywords
block
local dimming
module
liquid crystal
average
Prior art date
Application number
TW102124586A
Other languages
Chinese (zh)
Other versions
TWI524323B (en
Inventor
Shih-Chang Hsia
Wei-Siang Fu
Original Assignee
Univ Nat Yunlin Sci & Tech
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Nat Yunlin Sci & Tech filed Critical Univ Nat Yunlin Sci & Tech
Priority to TW102124586A priority Critical patent/TWI524323B/en
Publication of TW201503095A publication Critical patent/TW201503095A/en
Application granted granted Critical
Publication of TWI524323B publication Critical patent/TWI524323B/en

Links

Landscapes

  • Liquid Crystal (AREA)
  • Liquid Crystal Display Device Control (AREA)

Abstract

The invention related to the high performance LCD local dimming method and system thereof. Firstly, an image is divided into M x N blocks, and each of which corresponds to one lighting source in the backlight. Then, to use the local block mean variance finds the edge blocks. Finally, to use the enhancement factor finds the block backlighting level of LED. According to the LCD local dimming method and the technology of commonality accumulation variance, the instant wafer processing system can be achieved with FPGA chipset. It comprises a LED backlight unit, a register, and a local dimming chip unit. Accordingly, it can effectively reduce the cost of the hardware expenditures and preserve the important image information in the dark edge and to achieve fully the purposes of energy saving 50% and contrast up to 100 times.

Description

高效能液晶局部調光方法及其系統 High-performance liquid crystal local dimming method and system thereof

本發明係有關於一種高效能液晶局部調光方法及其系統,尤其是指一種利用影像特徵值對液晶螢幕之背光光源進行局部調光(Local Dimming)之技術及其系統,用以保存暗部之重要影像邊緣資訊,有效達到節省平均電能與提升對比度者;本發明亦依此高效能局部調光方法,設計即時晶片處理,採用公用性累加變異度技術,大幅減少硬體之成本。 The invention relates to a high-performance liquid crystal local dimming method and a system thereof, in particular to a technique and system for locally dimming a backlight source of a liquid crystal screen by using image feature values, for storing a dark portion. The important image edge information can effectively save the average power and improve the contrast; the invention also uses the high-efficiency local dimming method to design the instant wafer processing, and adopts the common accumulation variability technology to greatly reduce the cost of the hardware.

隨著電子科技與行動通訊的快速發展,人們對於移動顯示的要求越來越高,傳統的陰極射線管顯示器因笨重不易攜帶等缺點已不符需求,液晶顯示器產品自西元1990年問世以來,即以平面直角而畫面顯示不變形、輕薄短小、以及低耗能與無汙染等優勢獲得廣大的歡迎,因而成為顯示科技上劃時代的產品之一,不論是在家電產品、資訊產品,或是行動通訊產品的市場上,隨著品質不斷提升與價格不斷下滑,同時融合突飛猛進之影像信號技術與半導體奈米製程,使液晶顯示器已成為市場主流的顯示產品。 With the rapid development of electronic technology and mobile communication, people are increasingly demanding mobile display. The traditional cathode ray tube display has been inconvenient due to the cumbersome and unbearable shortcomings. Since the introduction of liquid crystal display products in 1990, The right angle of the plane and the display of the screen are not deformed, light and short, and low energy consumption and pollution-free advantages have won the majority of the products, thus becoming one of the epoch-making products of display technology, whether in home appliances, information products, or mobile communication products. In the market, with the continuous improvement of quality and the continuous decline of prices, and the integration of the rapid advancement of image signal technology and semiconductor nano-process, LCD display has become the mainstream display product in the market.

由於液晶面板本身不具發光特性,必須仰賴背光模組提供均 勻的光源以達到其顯示的功能,並可透過液晶的旋轉角度將光線曲射或遮蔽,因此,背光模組的性能直接影響到液晶顯示器的品質,由於大部分的背光模組都是採用持續型的驅動方式,因此除非關閉供電電源,否則背光模組隨時都是處在發光狀態,並不會隨著畫面的明暗而對應變化,因此會有較高的功率損耗,隨著液晶顯示器朝高亮度、高畫質、輕薄,以及低價且環保的趨勢邁進,對於背光模組的性能要求也同步朝向高亮度、低耗電、廣色域、輕薄、細緻化、環保及低價發展。 Since the liquid crystal panel itself does not have a light-emitting property, it must rely on the backlight module to provide both The uniform light source can achieve the function of its display, and the light can be curved or shielded by the rotation angle of the liquid crystal. Therefore, the performance of the backlight module directly affects the quality of the liquid crystal display, since most of the backlight modules are continuous type The driving method, therefore, unless the power supply is turned off, the backlight module is always in a light-emitting state, and does not change correspondingly with the brightness of the picture, so there is a higher power loss, with the liquid crystal display facing high brightness The high-quality, light-weight, low-cost and environmentally-friendly trend is moving forward. The performance requirements of the backlight module are also synchronized toward high brightness, low power consumption, wide color gamut, light and thin, meticulous, environmentally friendly and low-cost development.

在液晶顯示器的運作中,背光光源一般皆處於全亮之狀態,當顯示暗部畫面時,則是以降低液晶層的透射率來達成,再者,由於液晶顯示器的影像質量也是依賴螢幕之對比度呈現,若僅透過調整液晶顯示器的液晶層透射率來改善對比度以達到清晰的暗部畫面是存有一定的限制;現行的液晶螢幕顯示器皆使用多個背光光源,藉此可調控液晶顯示器的多個顯示區域,進而達到省電的目的,其中,背光光源的局部調光技術係指液晶顯示器的多個背光光源會隨著顯示影像的亮度做調整,而非處於全亮的狀態,因此在顯示暗部畫面時,背光光源之亮度會隨之降低,可減少整體背光模組之耗電量,亦可有效改善液晶顯示器之畫質表現,提升其灰度級亮度值(Gray Level Luminance Value)。 In the operation of the liquid crystal display, the backlight source is generally in a state of full brightness. When the dark portion is displayed, the transmittance of the liquid crystal layer is lowered. Furthermore, since the image quality of the liquid crystal display is also dependent on the contrast of the screen. There is a certain limitation if the contrast of the liquid crystal layer of the liquid crystal display is adjusted to improve the contrast to achieve a clear dark portion. The current liquid crystal display uses a plurality of backlight sources, thereby regulating multiple displays of the liquid crystal display. The area, in order to achieve the purpose of power saving, wherein the local dimming technology of the backlight source means that the plurality of backlight sources of the liquid crystal display are adjusted according to the brightness of the displayed image, instead of being in a full bright state, so the dark portion is displayed. When the brightness of the backlight source is reduced, the power consumption of the entire backlight module can be reduced, and the image quality of the liquid crystal display can be effectively improved, and the Gray Level Luminance Value can be improved.

在藉由局部調光技術來驅動背光光源的方法中,係將液晶顯示器的顯示面板劃分成複數個區域,而背光光源可依照對應較亮影像的區域,增加其背光亮度,同時減少對應較暗影像的區域之背光亮度,因此,當顯示面板之分割區域數量增加時,則局部調光技術可以更精確地控制其背光光源,以有效改善液晶顯示器之畫質表現,然而,現行液晶顯示器之 背光模組所採用的影像局部調光技術,常會造成暗部重要的影像邊緣無法完整呈現,進而使液晶的解析度下降,使得欲達到保存暗部的重要影像邊緣,進而有效節省電源與提升液晶顯示器之對比度與解析度等,仍是液晶顯示器開發業者與研究學者需持續努力克服與解決之課題。 In the method of driving a backlight source by a local dimming technique, the display panel of the liquid crystal display is divided into a plurality of regions, and the backlight source can increase the brightness of the backlight according to the region corresponding to the brighter image, and reduce the corresponding darkness. The brightness of the backlight of the image area. Therefore, when the number of divided areas of the display panel is increased, the local dimming technology can more precisely control the backlight source to effectively improve the image quality of the liquid crystal display. However, the current liquid crystal display The image local dimming technology used in the backlight module often causes the important image edges of the dark portion to be completely unrepresented, thereby reducing the resolution of the liquid crystal, so that the important image edges of the dark portion are saved, thereby effectively saving power and improving the liquid crystal display. Contrast and resolution are still the issues that LCD developers and researchers need to continuously overcome and solve.

今,發明人即是鑑於上述現有的液晶顯示器之影像局部調光技術因存在部分缺失造成無法有效呈現暗部影像邊緣資訊等缺失,於是乃一本孜孜不倦之精神,並藉由其豐富之專業知識及多年之實務經驗所輔佐,而加以改善,並據此研創出本發明。 Nowadays, the inventor is in view of the fact that the image local dimming technology of the above-mentioned conventional liquid crystal display cannot effectively display the missing edge information of the dark image due to partial deletion, and thus is a tireless spirit and with its rich professional knowledge and The invention has been developed based on years of practical experience and has been developed.

本發明主要目的為提供一種高效能液晶局部調光方法及其系統,尤其是指一種利用影像特徵值對液晶螢幕之背光光源進行局部調光之技術與其系統,用以保存暗部之重要影像邊緣資訊,有效達到節省平均電能達50%與提升對比度達100倍者;此外,本發明利用局部影像變異度調整相對位置之背光強度,當變異度越大時,背光強度越強,用以保留影像解析度,當變異度越小時,背光強度轉弱,用以節省背光電能之損耗;再者,本發明亦依此高效能液晶局部調光方法,設計即時晶片處理模組,採用公用性累加變異度技術,大幅減少硬體之成本支出。 The main object of the present invention is to provide a high-performance liquid crystal local dimming method and system thereof, and more particularly to a technique and system for locally dimming a backlight source of a liquid crystal screen using image feature values, for storing important image edge information of a dark portion. Effectively achieves an average power saving of 50% and a contrast enhancement of 100 times; in addition, the present invention utilizes local image variability to adjust the relative position of the backlight intensity, and the greater the variability, the stronger the backlight intensity, to preserve image resolution. Degree, when the variability is smaller, the backlight intensity is weakened to save the loss of backlight power; further, the present invention also designs a real-time wafer processing module according to the high-efficiency liquid crystal local dimming method, using common cumulative variability Technology, which significantly reduces the cost of hardware.

為了達到上述實施目的,本發明人提出一種高效能液晶局部調光方法,係至少包括下列步驟:首先,分割一影像成M×N個方塊(Blocks),其中,每一方塊係對應一背光光源;然後,使用局部方塊均方差(Block Mean Variance,BMV)尋找位於邊緣之方塊,該方塊均方差係可以下列方程式計算: 其中,為減少計算量,mn皆採2之倍數;該F jk 係方塊中第(j,k)個像素之灰度級亮度值;最後,使用增強因子(Enhancement Factor,Ef)以求得LED背光單元之照明度(Lighting Level),該增強因子係可以下列方程式計算: 其中,BMV current 係正在運作之方塊的均方差,BMV max為最大方塊的均方差,採2之倍數,再用定值α做調整,則LED背光單元之照明度係可以下列方程式計算:Block light =Block meanlight +Ef×(Block max light -Block meanlight );其中,Block meanlight 係均值背光強度,Block max light 係最強背光強度,該Ef係介於0與1之間,當Ef=1,LED背光單元擁有最大之照明度,當Ef=0,則LED背光單元係於平均值之水準。 In order to achieve the above-mentioned implementation, the present inventors propose a high-performance liquid crystal local dimming method, which at least includes the following steps: First, dividing an image into M × N blocks, wherein each block corresponds to a backlight source. Then, use the Block Mean Variance (BMV) to find the square at the edge, which can be calculated by the following equation: In order to reduce the amount of calculation, both m and n are multiples of 2; the F jk is the gray level luminance value of the ( j , k )th pixel in the block; finally, the enhancement factor (Ef) is used to obtain The illumination level of the LED backlight unit, which can be calculated by the following equation: Among them, BMV current is the mean square error of the block in operation, BMV max is the mean square error of the largest square, taking multiples of 2, and then adjusting with the fixed value α, the illumination of the LED backlight unit can be calculated by the following equation: Block light = Block meanlight + Ef ×( Block max light - Block meanlight ); where Block meanlight is the average backlight intensity, Block max light is the strongest backlight intensity, the Ef is between 0 and 1, when Ef =1, LED backlight The unit has the highest illumination. When Ef =0, the LED backlight unit is at the average level.

如上所述的高效能液晶局部調光方法,其中係以公用性累加變異度方法,即時計算方塊之變異度,係至少包括下列步驟:首先,在第k行處理中,Rn個暫存器之第1行的64個像素係被累加與右位移,第k行的像素平均(ALk)係以下列方程式表示: 其中,F (j,k)是第k行中的第j個像素;再者,新的方塊均方差(BMV)係 可以下列方程式表示: 其中,A1(j)係第1行中第j個像素的單一像素變異度,可以下列方程式表示:A1(j)=|F (j,1)-AL 0|;其中,AL 0係第0行的像素平均值,其已經過64個循環計算;然後,以A2(j)定義第2行到第4行之第j個像素變異度,以下列方程式表示: 其中,前2行的平均係用來趨近方塊平均;之後,以上述相同概念,係以A3(j)定義第5行到第8行之變異度,以下列方程式表示: 其中,由於前4行已經處理,故可以使用較好的近似平均值;最後,依照此程序,依序以下列方程式表示A4(j)A6(j) 其中,以第33行到64行為例,前32行已被使用來估計較好的局部平均值,此可完成即時計算。 The high-performance liquid crystal local dimming method as described above, wherein the variability of the block is calculated by the common cumulative variability method, and the method includes at least the following steps: First, in the processing of the k-th row, R n registers The 64 pixels of the first row are accumulated and shifted to the right, and the pixel average (AL k ) of the kth row is expressed by the following equation: Where F ( j , k ) is the jth pixel in the kth row; further, the new square mean square error (BMV) can be expressed by the following equation: Wherein, A 1 ( j ) is the single pixel variability of the jth pixel in the first row, and can be expressed by the following equation: A 1 ( j ) =| F ( j ,1) - AL 0 |; wherein AL 0 is The pixel average of line 0, which has been calculated over 64 cycles; then, the jth pixel variability of line 2 to line 4 is defined by A 2 ( j ) , expressed by the following equation: Among them, the average of the first two lines is used to approximate the block average; after that, with the same concept as above, the variability of the fifth line to the eighth line is defined by A 3 ( j ) , expressed by the following equation: Among them, since the first 4 rows have been processed, a better approximate average value can be used; finally, according to this procedure, A 4 ( j ) to A 6 ( j ) are sequentially expressed by the following equation: Among them, in the 33rd to 64th behavior example, the first 32 lines have been used to estimate a better local average, which can be completed in real time.

藉此,本發明係利用影像特徵值對液晶顯示器之背光光源進行局部調光,用以保存暗部之重要影像邊緣資訊,有效達到節省平均電能 達50%與提升對比度達100倍之優點;此外,本發明亦利用局部影像變異度調整相對位置之背光強度,當變異度越大時,背光強度越強,用以保留影像之解析度,當變異度越小時,背光強度轉弱,用以節省背光光源消耗之電能;再者,本發明亦依上述之高效能液晶局部調光方法,以一現場可編輯邏輯閘陣列(Field Programmable Gate Array,簡稱FPGA)晶片組實現即時晶片處理系統,採用公用性累加變異度技術,大幅減少硬體支出之成本支出。 Therefore, the present invention utilizes image feature values to locally dim the backlight source of the liquid crystal display, and is used to store important image edge information of the dark portion, thereby effectively achieving average energy saving. Up to 50% and the contrast is up to 100 times; in addition, the present invention also uses the local image variability to adjust the relative position of the backlight intensity, when the variability is greater, the stronger the backlight intensity, to preserve the resolution of the image, when The smaller the variability is, the backlight intensity is weakened to save the power consumed by the backlight source. Furthermore, the present invention also uses a field programmable gate Array (Field Programmable Gate Array) according to the above-mentioned high-performance liquid crystal local dimming method. The FPGA) chipset implements an instant wafer processing system that uses a common additive variability technique to significantly reduce the cost of hardware expenditures.

因此,依據上述的高效能液晶局部調光方法,可利用硬體電路實現之一高效能液晶局部調光系統來加以達成;其中此高效能液晶局部調光系統包含一LED背光單元、一暫存器,以及一局部調光晶片單元;LED背光單元係由複數個背光光源所組成;暫存器係用以暫存一輸入影像訊號以待系統運作;局部調光晶片單元係由一顏色編碼器、一水平計數器、一垂直計數器、一輸入模組、一高效能局部調光模組,以及一嵌入式記憶體所組成;其中,顏色編碼器係將輸入影像訊號之三原色模式(RGB)轉換成明亮度與色度(Luminance & Chrominance,簡稱YUV)之資訊;水平計數器係藉由像素時脈(Pixel Clock,P-CK)確認當前像素位於水平線的位置;垂直計數器係藉由水平掃描輸入訊號(Horizontal Sync,簡稱HSYNC)計算當前水平線的位置;輸入模組係接收與整合上述該顏色編碼器、水平計數器,以及垂直計數器之訊號為一輸入訊號;高效能局部調光模組係以高效能液晶局部調光方法計算該輸入訊號之照明度,並輸出各種脈波寬度調變(Pulse Width Modulation,簡稱PWM) 訊號以控制該LED背光單元運作;其中,該高效能局部調光模組係為一管狀系統,至少包含有一方塊平均模組、一方塊變異模組、一增強因子模組,以及一脈波寬度調變模組;其中,方塊平均模組係用以計算方塊之平均值;方塊變異模組係電性連接該方塊平均模組,用以計算方塊變異度;增強因子模組係電性連接該方塊變異模組,用以計算其增強因子;脈波寬度調變模組係電性連接該增強因子模組,依脈波寬度調變訊號以獲得LED背光單元之照明度;嵌入式記憶體係用以暫存該高效能局部調光模組計算後之結果。 Therefore, according to the high-performance liquid crystal local dimming method described above, a high-performance liquid crystal local dimming system can be realized by using a hardware circuit; wherein the high-performance liquid crystal local dimming system comprises an LED backlight unit and a temporary storage And a partial dimming chip unit; the LED backlight unit is composed of a plurality of backlight sources; the temporary register is used for temporarily storing an input image signal to be operated by the system; the local dimming chip unit is composed of a color encoder a horizontal counter, a vertical counter, an input module, a high-performance local dimming module, and an embedded memory; wherein the color encoder converts the three primary color modes (RGB) of the input image signal into Luminance & Chrominance (YUV) information; the horizontal counter confirms the position of the current pixel on the horizontal line by Pixel Clock (P-CK); the vertical counter is input signal by horizontal scanning ( Horizontal Sync (HSYNC for short) calculates the position of the current horizontal line; the input module receives and integrates the color encoder and the horizontal counter described above. And a vertical counter of the input signal is a signal; high performance local dimming module-based high-performance liquid crystal in the local dimming method of calculating the illuminance of the input signal, and outputs various pulse width modulation (Pulse Width Modulation, referred to as PWM) The signal is used to control the operation of the LED backlight unit; wherein the high-performance local dimming module is a tubular system comprising at least one block average module, a block variation module, an enhancement factor module, and a pulse width The modulation module; wherein the block average module is used to calculate the average value of the block; the block variation module is electrically connected to the block average module to calculate the block variability; and the enhancement factor module is electrically connected. The block variation module is used for calculating the enhancement factor; the pulse width modulation module is electrically connected to the enhancement factor module, and the illumination width of the LED backlight unit is obtained according to the pulse width modulation signal; The result of the calculation is temporarily stored in the high-performance local dimming module.

在本發明的一實施例中,其中該局部調光晶片單元係可以一FPGA之晶片組實現,該FPGA係依照水平掃描輸入訊號(HSYNC)與垂直掃描輸入訊號(Vertical Sync,簡稱VSYNC)計算每個方塊的局部調光值,以X×Y像素之圖像,每個局部調光方塊之尺寸係對應m×n像素。 In an embodiment of the invention, the local dimming chip unit can be implemented by an FPGA chipset, and the FPGA is calculated according to a horizontal scanning input signal (HSYNC) and a vertical scanning input signal (Vertical Sync, referred to as VSYNC). The local dimming value of the squares is an image of X × Y pixels, and the size of each local dimming block corresponds to m × n pixels.

在本發明的一實施例中,其中該高效能局部調光模組係採用離散暫存器(Discrete Block-wise Refister)以平行計算方塊均值、變異度及背光強度等參數,減少線緩衝器數量,該離散暫存器係使用24個暫存器以減少記憶體需求,每一方塊之參數係以自己的暫存器計算,使用24個暫存器以計算一行的方塊,其參數亦被平行累加,暫存器數量隨液晶解析度增減,解析度愈大,則暫存器愈多,每一方塊計算係以2 m ×2 n 像素例如64×64像素以節省硬體資源,使用右位移方法以達到低花費之功效。 In an embodiment of the invention, the high-performance local dimming module uses a Discrete Block-wise Refister to calculate parameters such as block mean, variability, and backlight intensity in parallel to reduce the number of line buffers. The discrete register uses 24 registers to reduce memory requirements. The parameters of each block are calculated by its own register, and 24 registers are used to calculate a row of blocks. The parameters are also parallel. Accumulate, the number of scratchpads increases or decreases with the resolution of the liquid crystal. The higher the resolution, the more registers are stored. Each block is calculated by saving 2 m × 2 n pixels, for example 64 × 64 pixels, to save hardware resources. Displacement methods to achieve low cost.

(1)‧‧‧LED背光單元 (1)‧‧‧LED backlight unit

(2)‧‧‧暫存器 (2) ‧‧‧ register

(3)‧‧‧局部調光晶片單元 (3) ‧‧‧Local dimming wafer unit

(31)‧‧‧顏色編碼器 (31)‧‧‧Color Encoder

(32)‧‧‧水平計數器 (32)‧‧‧Horizontal counter

(33)‧‧‧垂直計數器 (33)‧‧‧Vertical counter

(34)‧‧‧輸入模組 (34)‧‧‧ Input Module

(35)‧‧‧高效能局部調光模組 (35) ‧‧‧High-performance local dimming module

(351)‧‧‧方塊平均模組 (351) ‧‧‧ Square Average Module

(352)‧‧‧方塊變異模組 (352)‧‧‧square variation module

(353)‧‧‧增強因子模組 (353)‧‧‧Enhanced Factor Module

(354)‧‧‧脈波寬度調變模組 (354)‧‧‧ Pulse width modulation module

(36)‧‧‧嵌入式記憶體 (36)‧‧‧ embedded memory

(S1)‧‧‧步驟一 (S1)‧‧‧Step one

(S2)‧‧‧步驟二 (S2)‧‧‧Step 2

(S3)‧‧‧步驟三 (S3) ‧ ‧ Step 3

(P1)‧‧‧步驟一 (P1) ‧ ‧ Step 1

(P2)‧‧‧步驟二 (P2) ‧ ‧ step two

(P3)‧‧‧步驟三 (P3)‧‧‧Step three

(P4)‧‧‧步驟四 (P4) ‧‧‧Step four

(P5)‧‧‧步驟五 (P5) ‧ ‧ step five

第一圖:本發明較佳實施例之高效能液晶局部調光方法其步驟流程圖 First: Flow chart of the steps of the high performance liquid crystal local dimming method of the preferred embodiment of the present invention

第二圖:本發明較佳實施例之公用性累加變異度方法其步驟流程圖 Second Figure: Flow chart of the steps of the common cumulative variability method of the preferred embodiment of the present invention

第三圖:本發明較佳實施例之公用性累加變異度示意圖 Third Figure: Schematic diagram of the common cumulative variability of a preferred embodiment of the present invention

第四圖:本發明較佳實施例之高效能液晶局部調光系統其配置方塊圖 Fourth: a block diagram of a high-performance liquid crystal local dimming system according to a preferred embodiment of the present invention

第五圖:本發明較佳實施例之離散暫存器示意圖 Figure 5 is a schematic diagram of a discrete register of a preferred embodiment of the present invention

第六圖:本發明較佳實施例之低花費方塊均值計算示意圖 Figure 6 is a schematic diagram of low cost square mean calculation in accordance with a preferred embodiment of the present invention

本發明之目的及其電路設計功能上的優點,將依據以下圖面所示之電路圖,配合具體實施例予以說明,俾使審查委員能對本發明有更深入且具體之瞭解。 The purpose of the present invention and the advantages of its circuit design function will be explained in conjunction with the specific embodiments according to the circuit diagram shown in the following drawings, so that the reviewing committee can have a more in-depth and specific understanding of the present invention.

首先,請參閱第一圖所示,為本發明高效能液晶局部調光方法其步驟流程圖,係包括有下述步驟:步驟一(S1):分割一影像成M×N個方塊,其中,每一方塊係對應一背光光源,一般而言,若一方塊包含有邊緣影像資訊,則背光光源會增強使方塊可以在液晶顯示器中清楚被看見,而在邊緣的方塊亦具有較高的變異度;步驟二(S2):使用局部方塊均方差尋找位於邊緣之方塊,該方塊均方差係可以下列方程式計算: 其中,為減少計算量,mn皆採2之倍數;該F jk 係方塊中第(j,k)個像素之灰度級亮度值;以及步驟三(S3):使用增強因子求得LED背光單元(1)之照明度,該增強因子可係以下列方程式計算: 其中,BMVV current 係正在運作之方塊的均方差,BMV max為最大方塊的均方差,採2之倍數,再用定值α做調整,則LED背光單元(1)之照明度係可以下列方程式計算:Block light =Block meanlight +Ef×(Block max light -Block meanlight );其中,Block meanlight 係均值背光強度,Block max light 係最強背光強度,Ef係介於0與1之間,當Ef=1,LED背光單元(1)擁有最大之照明度,當Ef=0,LED背光單元(1)係於平均值之水準。 First, referring to the first figure, the flow chart of the high-performance liquid crystal local dimming method of the present invention includes the following steps: Step 1 (S1): splitting an image into M × N squares, wherein Each block corresponds to a backlight source. Generally, if a block contains edge image information, the backlight source will be enhanced so that the block can be clearly seen in the liquid crystal display, and the square at the edge also has high variability. Step 2 (S2): Use the local square mean square error to find the square at the edge. The square mean square error can be calculated by the following equation: In order to reduce the amount of calculation, both m and n are multiples of 2; the gray level brightness value of the ( j , k )th pixel in the F jk block; and step 3 (S3): using the enhancement factor to obtain the LED The illumination of the backlight unit (1), which can be calculated by the following equation: Among them, BMVV current is the mean square error of the block in operation, BMV max is the mean square error of the largest square, taking multiples of 2, and then adjusting with the fixed value α, the illumination of the LED backlight unit (1) can be calculated by the following equation Block Light = Block mean light + Ef × ( Block max light - Block meanlight ); where Block meanlight is the average backlight intensity, Block max light is the strongest backlight intensity, Ef is between 0 and 1, when Ef =1, The LED backlight unit (1) has the maximum illumination. When Ef =0, the LED backlight unit (1) is at the average level.

此外,請參閱第二~三圖所示,為本發明公用性累加變異度方法其步驟流程圖與公用性累加變異度示意圖,係可以公用性累加變異度方法,即時計算方塊之變異度,其步驟包括有:步驟一(P1):在第k行處理中,Rn個暫存器之第1行的64個像素係被累加與右位移,第k行的像素平均(ALk)係以下列方程式表示: 其中,F (j,k)是第k行中的第j個像素;步驟二(P2):新的方塊均方差(BMV)係以下列方程式表示: 其中,A1(j)係第1行中第j個像素的單一像素變異,以下列方程式表示:A1(j)=|F (j,1)-AL 0|;其中,AL 0係第0行的像素平均值,其已經過64個循環計算;步驟三(P3):以A2(j)定義第2行到第4行之第j個像素變異度,以下列方程式表示: 其中,前2行的平均係用來趨近方塊平均;步驟四(P4):以上述相同概念,係以A3(j)定義第5行到第8行之變異度,以下列方程式表示: 其中,由於前4行已經處理,故可以使用較好的近似平均值;以及步驟五(P5):依照此程序,依序以下列方程式表示A4(j)A6(j) 其中,以第33行到64行為例,前32行已被使用來估計較好的局部平均值,此可完成即時計算。 In addition, please refer to the second to third figures, which is a schematic diagram of the step flow chart and the common accumulated variability of the common additive variability method of the present invention, which can calculate the variability of the block in real time by using the common cumulative variability method. The steps include: Step 1 (P1): In the processing of the kth row, 64 pixels of the first row of the R n registers are accumulated and shifted right, and the pixel average of the kth row is below (AL k ) The column equation represents: Where F ( j , k ) is the jth pixel in the kth row; step 2 (P2): the new square mean square error (BMV) is expressed by the following equation: Where A 1 ( j ) is a single pixel variation of the jth pixel in the first row, expressed by the following equation: A 1 ( j ) =| F ( j ,1) - AL 0 |; where AL 0 is the first The pixel average of 0 lines, which has been calculated over 64 cycles; Step 3 (P3): Define the jth pixel variability from line 2 to line 4 with A 2 ( j ) , expressed by the following equation: The average of the first two rows is used to approximate the block average; step four (P4): with the same concept as above, the variability of the fifth row to the eighth row is defined by A 3 ( j ) , expressed by the following equation: Among them, since the first 4 rows have been processed, a better approximate average value can be used; and step 5 (P5): According to this procedure, A 4 ( j ) to A 6 ( j ) are sequentially expressed by the following equation: Among them, in the 33rd to 64th behavior example, the first 32 lines have been used to estimate a better local average, which can be completed in real time.

上述之高效能液晶局部調光方法與公用性累加變異度方法其步驟流程可以利用硬體系統來執行之;據此,在第四圖中繪示了符合本發明較佳實施例之高效能液晶局部調光系統其配置方塊圖,其中包含了:一LED背光單元(1),係由複數個背光光源所組成;一暫存器(2),係暫存一輸入影像訊號以待系統運作;以及一局部調光晶片單元(3),係由一顏色編碼器(31)、一水平計數器(32)、一垂直計數器(33)、一輸入模組(34)、一高效能局部調光模組(35),以及一嵌入式記憶體(36)所組成;顏色編碼器(31)係將輸入影像訊號之三原色模式(RGB)轉換成明亮度與色度(YUV)之資訊;水平計數器(32)係藉由像素時脈確認當前像素位於水平線的位置;垂直計數器(33)係藉由水平掃描輸入訊號計算當前水平線的位置;輸入模組(34)係接收與整合上述顏色編碼器(31)、水平計數器(32),以及垂直計數器(33)之訊號為一輸入訊號;高效能局部調光模組(35)係以高效能液晶局部調光方法計算該輸入訊號之照明度,並輸出各種脈波寬度調變(PWM)訊號以控制該LED背光單元(1)運作,其中,高效能局部調光模組(35)係為一管狀系統,至 少包含有一方塊平均模組(351)、一方塊變異模組(352)、一增強因子模組(353),以及一脈波寬度調變模組(354);其中,方塊平均模組(351)係用以計算方塊之平均值;方塊變異模組(352)係電性連接方塊平均模組(351),用以計算方塊變異度;增強因子模組(353)係電性連接該方塊變異模組(352),用以計算其增強因子;脈波寬度調變模組(354)係電性連接該增強因子模組(353),依脈波寬度調變訊號以獲得LED背光單元(1)之照明度;嵌入式記憶體(36)係用以暫存該高效能局部調光模組(35)計算後之結果。 The high-performance liquid crystal local dimming method and the common additive variability method may be performed by a hardware system; accordingly, the high-performance liquid crystal according to the preferred embodiment of the present invention is illustrated in the fourth figure. The configuration diagram of the local dimming system includes: an LED backlight unit (1), which is composed of a plurality of backlight sources; and a temporary register (2) for temporarily storing an input image signal to be operated by the system; And a partial dimming chip unit (3), comprising a color encoder (31), a horizontal counter (32), a vertical counter (33), an input module (34), and a high-performance local dimming mode The group (35) and an embedded memory (36); the color encoder (31) converts the three primary color modes (RGB) of the input image signal into brightness and chrominance (YUV) information; 32) confirming the position of the current pixel at the horizontal line by the pixel clock; the vertical counter (33) calculates the position of the current horizontal line by the horizontal scanning input signal; and the input module (34) receives and integrates the above color encoder (31) ), horizontal counter (32), and vertical meter The signal of the digital device (33) is an input signal; the high-performance local dimming module (35) calculates the illumination of the input signal by a high-performance liquid crystal local dimming method, and outputs various pulse width modulation (PWM). a signal to control operation of the LED backlight unit (1), wherein the high-performance local dimming module (35) is a tubular system to The method includes a block average module (351), a block variation module (352), an enhancement factor module (353), and a pulse width modulation module (354); wherein the block average module (351) ) is used to calculate the average value of the block; the block variation module (352) is an electrical connection block average module (351) for calculating the block variability; and the enhancement factor module (353) is electrically connected to the block variation. a module (352) for calculating an enhancement factor; the pulse width modulation module (354) is electrically connected to the enhancement factor module (353), and the pulse width modulation signal is obtained to obtain an LED backlight unit (1) Illumination; embedded memory (36) is used to temporarily store the results of the high-performance local dimming module (35).

此外,局部調光晶片單元(3)係可以一現場可編輯邏輯閘陣列(FPGA)之晶片組實現,該FPGA係依照水平掃描輸入訊號與垂直掃描輸入訊號以計算每個方塊的局部調光值,以X×Y像素之圖像,每個局部調光方塊之尺寸係對應m×n像素。 In addition, the local dimming chip unit (3) can be implemented by a field editable logic gate array (FPGA) chip set, which calculates the local dimming value of each block according to the horizontal scanning input signal and the vertical scanning input signal. In the image of X × Y pixels, the size of each local dimming block corresponds to m × n pixels.

再者,請參閱第五圖所示,為本發明較佳實施例之離散暫存器示意圖,高效能局部調光模組(35)係採用離散暫存器以平行計算方塊均值、變異度及背光強度等參數,減少線緩衝器數量,該離散暫存器係使用24個暫存器(R1~R24)以減少記憶體需求,每一方塊之參數係以自己的暫存器計算,使用24個暫存器以計算一行的方塊,其參數、方塊均值、變異度及背光強度被平行處理,每一方塊計算係以2倍數為基底,以2 m ×2 n 像素例如64×64像素以節省硬體資源,使用右位移方法以達到低花費之功效。 Furthermore, please refer to the fifth figure, which is a schematic diagram of a discrete register according to a preferred embodiment of the present invention. The high-performance local dimming module (35) uses a discrete register to calculate the mean and variability of the block in parallel. Parameters such as backlight intensity reduce the number of line buffers. The discrete register uses 24 registers (R1~R24) to reduce memory requirements. The parameters of each block are calculated by its own register. A register is used to calculate a row of blocks whose parameters, block mean, variability, and backlight intensity are processed in parallel. Each block calculation is based on 2 multiples, with 2 m × 2 n pixels, such as 64 × 64 pixels, to save Hardware resources, using the right displacement method to achieve low cost.

請參閱第六圖所示,為本發明較佳實施例之低花費方塊均值計算示意圖,其操作步驟係首先在一方塊內,每一行的64個像素首先被 累加在一個水平暫存器(Horizontal Register),為了減少暫存器的字碼長度(Word Length),係將水平暫存器往右位移6個位元(Bits),然後累加在垂直暫存器(Vertical Register),則在垂直暫存器中累加64條線的結果再往右位移6個位元,以此低花費方塊均值計算法,水平與垂直暫存器只需要14位元,因此所需的記憶體容量總和是2×14×24=672位元,由表中發現,可大幅減少線緩衝器之數量。 Please refer to the sixth figure, which is a schematic diagram of low-cost block mean calculation according to a preferred embodiment of the present invention. The operation steps are first in a block, and 64 pixels of each line are firstly Accumulated in a horizontal register (Horizontal Register), in order to reduce the word length of the scratchpad (Word Length), the horizontal register is shifted to the right by 6 bits (Bits), and then accumulated in the vertical register ( Vertical Register), the result of accumulating 64 lines in the vertical register and then shifting 6 bits to the right, which is a low-cost block average calculation method. The horizontal and vertical registers only need 14 bits, so The sum of the memory capacities is 2 × 14 × 24 = 672 bits, which is found in the table, which can greatly reduce the number of line buffers.

由上述之高效能液晶局部調光方法及其系統之實施說明可知,本發明具有以下優點: It can be seen from the above description of the high-performance liquid crystal local dimming method and its system that the present invention has the following advantages:

1.本發明之高效能液晶局部調光方法及其系統係藉由影像特徵值對液晶顯示器之背光光源進行局部調光,用以保存暗部之重要影像邊緣資訊,有效達到節省平均電能達50%與提升對比度達100倍。 1. The high-performance liquid crystal local dimming method and system thereof of the present invention locally dims the backlight source of the liquid crystal display by image feature values, and is used for storing important image edge information of the dark portion, effectively achieving an average power saving of 50%. With a lifting contrast of up to 100 times.

2.本發明之高效能液晶局部調光方法及其系統係藉由局部影像變異度調整相對位置之背光強度,當變異度越大時,背光強度越強,用以保留影像解析度,當變異度越小時,背光強度轉弱,用以節省背光電能之損耗。 2. The high-performance liquid crystal local dimming method and system thereof of the present invention adjust the relative position of the backlight intensity by local image variability. When the variability is larger, the backlight intensity is stronger, and the image resolution is preserved when the variation The smaller the degree, the weaker the backlight, to save the loss of backlight power.

3.本發明之高效能液晶局部調光方法及其系統係藉由高效 能液晶局部調光方法,以FPGA晶片組實現即時晶片處理系統,採用公用性累加變異度技術,可大幅減少硬體支出之成本。 3. The high-performance liquid crystal local dimming method and system thereof of the present invention are efficient The liquid crystal local dimming method realizes the instant wafer processing system with the FPGA chipset, and adopts the common accumulation variability technology, which can greatly reduce the cost of hardware expenditure.

綜上所述,本發明之高效能液晶局部調光方法及其系統,的確能藉由上述所揭露之實施例,達到所預期之使用功效,且本發明亦未曾公開於申請前,誠已完全符合專利法之規定與要求。爰依法提出發明專利之申請,懇請惠予審查,並賜准專利,則實感德便。 In summary, the high-performance liquid crystal local dimming method and system thereof of the present invention can achieve the intended use efficiency by the above-disclosed embodiments, and the present invention has not been disclosed before the application, and has been completely completed. Meet the requirements and requirements of the Patent Law.爰Issuing an application for a patent for invention in accordance with the law, and asking for a review, and granting a patent, is truly sensible.

惟,上述所揭之圖示及說明,僅為本發明之較佳實施例,非為限定本發明之保護範圍;大凡熟悉該項技藝之人士,其所依本發明之特徵範疇,所作之其它等效變化或修飾,皆應視為不脫離本發明之設計範疇。 The illustrations and descriptions of the present invention are merely preferred embodiments of the present invention, and are not intended to limit the scope of the present invention; those skilled in the art, which are characterized by the scope of the present invention, Equivalent variations or modifications are considered to be within the scope of the design of the invention.

(S1)‧‧‧步驟一 (S1)‧‧‧Step one

(S2)‧‧‧步驟二 (S2)‧‧‧Step 2

(S3)‧‧‧步驟三 (S3) ‧ ‧ Step 3

Claims (8)

一種高效能液晶局部調光方法,以改善暗部影像邊緣之資訊,其步驟包括有:步驟一:分割一影像成M×N個方塊,其中每一方塊係對應一背光光源;步驟二:使用局部方塊均方差尋找位於邊緣之方塊,該方塊均方差係以下列方程式計算: 其中,為減少計算量,mn皆採2之倍數;該F jk 係方塊中第(j,k)個像素之灰度級亮度值;以及步驟三:使用增強因子求得LED之照明度,該增強因子係以下列方程式計算: 其中,BMV current 係正在運作之方塊的均方差,BMV max為最大方塊的均方差,採2之倍數,再以定值α做調整,則LED照明度係以下列方程式計算:Block light =Block meanlight +Ef×(Block max light -Block meanlight );其中,Block meanlight 係均值背光強度,Block max light 係最強背光強度,Ef係介於0與1之間,當Ef=1,LED背光單元擁有最大之照明度,當Ef=0, LED背光單元係於平均值之水準。 A high-performance liquid crystal local dimming method for improving the information of the edge of the dark portion image includes the following steps: Step 1: dividing an image into M × N squares, each of which corresponds to a backlight source; Step 2: using a local portion The square mean square error finds the square at the edge, which is calculated by the following equation: In order to reduce the amount of calculation, both m and n are multiples of 2; the gray level brightness value of the ( j , k )th pixel in the F jk block; and step 3: using the enhancement factor to obtain the illumination of the LED , the enhancement factor is calculated by the following equation: Where BMV current is the mean square error of the block in operation, BMV max is the mean square error of the largest square, taking a multiple of 2, and then adjusting with the fixed value α, the LED illumination is calculated by the following equation: Block light = Block meanlight + Ef ×( Block max light - Block meanlight ); where Block meanlight is the average backlight intensity, Block max light is the strongest backlight intensity, Ef is between 0 and 1. When Ef =1, the LED backlight unit has the largest Illumination, when Ef =0, the LED backlight unit is at the level of the average. 如申請專利範圍第1項所述之高效能局部調光方法,其中係以公用性累加變異度方法,即時計算方塊之變異度,其步驟包括有:步驟一:在第k行處理中,Rn個暫存器之第1行的64個像素係被累加與右位移,第k行的像素平均(ALk)係以下列方程式表示: 其中,F (j,k)是第k行中的第j個像素;步驟二:新的方塊均方差係以下列方程式表示: 其中,A1(j)係第1行中第j個像素的單一像素變異度,以下列方程式表示:A1(j)=|F (j,1)-AL 0|;其中,AL 0係第0行的像素平均,其已經過64個循環計算;步驟三:以A2(j)定義第2行到第4行之第j個像素變異度,以下列方程式表示: 其中,前2行的平均係用來趨近方塊平均;步驟四:依照上述概念,係以A3(j)定義第5行到第8行之變異度,以下列方程式表示: 其中,由於前4行已經處理,故可以使用較好的近似平均值;以及步驟五:依照此程序,依序以下列方程式表示A4(j)A6(j) 其中,以第33行到64行為例,前32行已被使用來估計較好的局部平均值,以完成即時計算。 For example, in the high-performance local dimming method described in claim 1, wherein the variability of the block is calculated in real time by the common cumulative variability method, and the steps include: Step 1: In the processing of the kth line, R The 64 pixels of the first row of the n registers are accumulated and shifted to the right, and the pixel average (AL k ) of the kth row is expressed by the following equation: Where F ( j , k ) is the jth pixel in the kth row; Step 2: The new square mean square error is expressed by the following equation: Where A 1 ( j ) is the single pixel variability of the jth pixel in the first row, expressed by the following equation: A 1 ( j ) =| F ( j ,1) - AL 0 |; where AL 0 is The pixel average of line 0, which has been calculated over 64 cycles; step three: define the jth pixel variability of line 2 to line 4 with A 2 ( j ) , expressed by the following equation: The average of the first two rows is used to approximate the block average; step four: according to the above concept, the variability of the fifth row to the eighth row is defined by A 3 ( j ) , expressed by the following equation: Wherein, since the first 4 rows have been processed, a better approximate average value can be used; and step 5: according to this procedure, A 4 ( j ) to A 6 ( j ) are sequentially expressed by the following equation: Among them, in the 33rd to 64th behavior example, the first 32 lines have been used to estimate a better local average to complete the instant calculation. 一種高效能液晶局部調光系統,係以高效能液晶局部調光方法進行時序控制,至少包括有:一LED背光單元,係由複數個背光光源所組成;一暫存器,係暫存一輸入影像訊號以待系統運作;以及一局部調光晶片單元,係包括有一顏色編碼器、一水平計數器、一垂直計數器、一輸入模組、一高效能局部調光模組,以及一嵌入式記憶體;其中該顏色編碼器係將輸入影像訊號三原色模式(RGB)轉換成明亮度與色度(YUV)資訊;該水平計數器,係藉由像素時脈確認當前像素位於水平線的位置;該垂直計數器,係藉由水平掃描輸入訊號計算當前水平線的位置;該輸入模組,係接收與整合該顏色編碼器、該水平計數器,以及該垂直計數器之訊號為一輸入訊號;該高效能局部調光模組,係以高效能液晶局部調光方法計算該輸入訊號之照明度,並輸出各種脈波寬度調變訊號以控制該LED背光單元,其中,該高效能局部 調光模組為一管狀系統,至少包括有一方塊平均模組、一方塊變異模組、一增強因子模組,以及一脈波寬度調變模組;其中該方塊平均模組係計算方塊之平均值;該方塊變異模組係電性連接該方塊平均模組,以計算方塊變異度;該增強因子模組係電性連接該方塊變異模組,以計算其增強因子;該脈波寬度調變模組係電性連接該增強因子模組,依脈波寬度調變訊號以獲得LED背光單元之照明度;該嵌入式記憶體,係儲存該高效能局部調光模組計算後之結果。 A high-performance liquid crystal local dimming system is characterized by high-performance liquid crystal local dimming method, at least comprising: an LED backlight unit, which is composed of a plurality of backlight sources; a temporary register, temporarily storing an input The image signal is to be operated by the system; and a partial dimming chip unit includes a color encoder, a horizontal counter, a vertical counter, an input module, a high-performance local dimming module, and an embedded memory. The color encoder converts the input image signal three primary color modes (RGB) into brightness and chrominance (YUV) information; the horizontal counter confirms that the current pixel is at a horizontal line by the pixel clock; the vertical counter, Calculating the position of the current horizontal line by horizontally scanning the input signal; the input module receives and integrates the color encoder, the horizontal counter, and the signal of the vertical counter as an input signal; the high-performance local dimming module The high-performance liquid crystal local dimming method is used to calculate the illumination of the input signal, and output various pulse width modulation signals. To control the LED backlight unit, wherein the high performance local portion The dimming module is a tubular system comprising at least a block average module, a block variation module, an enhancement factor module, and a pulse width modulation module; wherein the block average module calculates the average of the blocks The block variation module is electrically connected to the block average module to calculate a block variability; the enhancement factor module is electrically connected to the block variation module to calculate an enhancement factor; the pulse width modulation The module is electrically connected to the enhancement factor module, and adjusts the illumination of the LED backlight unit according to the pulse width modulation signal; the embedded memory stores the calculated result of the high performance local dimming module. 如申請專利範圍第3項所述之高效能液晶局部調光系統,其中該局部調光晶片單元係以一現場可編輯邏輯閘陣列(FPGA)之晶片組實現。 The high performance liquid crystal local dimming system of claim 3, wherein the local dimming chip unit is implemented by a field editable logic gate array (FPGA) chipset. 如申請專利範圍第4項所述之高效能液晶局部調光系統,其中該FPGA係依照水平掃描輸入訊號與垂直掃描輸入訊號計算每個方塊的局部調光值,以X×Y像素之圖像,每個局部調光方塊之尺寸係對應m×n像素。 The high-performance liquid crystal local dimming system according to claim 4, wherein the FPGA calculates a local dimming value of each block according to a horizontal scanning input signal and a vertical scanning input signal, and an image of X × Y pixels. The size of each local dimming block corresponds to m × n pixels. 如申請專利範圍第3項所述之高效能液晶局部調光系統,其中該高效能局部調光模組係採用離散暫存器以平行計算方塊均值、變異度,以及背光強度等參數,減少線緩衝器數量。 The high-performance liquid crystal local dimming system according to claim 3, wherein the high-performance local dimming module uses a discrete register to calculate parameters such as mean, variability, and backlight intensity in parallel, and reduces the line. The number of buffers. 如申請專利範圍第5項所述之高效能液晶局部調光系統,其中該離散暫存器係使用24個暫存器以減少記憶體需求,每一方塊之參數係以自己的暫存器計算,使用24個暫存器以計算一行的方塊,其參數亦被平行累加,暫存器數量隨液晶解析度增減,解析度愈大,則暫存器愈多。 The high-performance liquid crystal local dimming system according to claim 5, wherein the discrete register uses 24 registers to reduce memory requirements, and each block parameter is calculated by its own register. Using 24 registers to calculate a row of blocks, the parameters are also added in parallel, the number of registers increases or decreases with the resolution of the liquid crystal, and the larger the resolution, the more registers. 如申請專利範圍第7項所述之高效能液晶局部調光系統,其中每一方塊計算係以2 m ×2 n 像素以節省硬體資源,使用右位移方法以達到低花費之功效。 The high-performance liquid crystal local dimming system according to claim 7, wherein each block is calculated to save hardware resources by 2 m × 2 n pixels, and the right displacement method is used to achieve low cost.
TW102124586A 2013-07-09 2013-07-09 High performance lcd local dimming method and system thereof TWI524323B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102124586A TWI524323B (en) 2013-07-09 2013-07-09 High performance lcd local dimming method and system thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102124586A TWI524323B (en) 2013-07-09 2013-07-09 High performance lcd local dimming method and system thereof

Publications (2)

Publication Number Publication Date
TW201503095A true TW201503095A (en) 2015-01-16
TWI524323B TWI524323B (en) 2016-03-01

Family

ID=52718477

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102124586A TWI524323B (en) 2013-07-09 2013-07-09 High performance lcd local dimming method and system thereof

Country Status (1)

Country Link
TW (1) TWI524323B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021000968B3 (en) 2021-02-12 2022-04-28 Sioptica Gmbh Dual mode screen B1 for a clear view mode and B2 for a restricted angle or dual view mode
DE102021105595B3 (en) 2021-03-09 2022-05-12 Sioptica Gmbh Lighting device for a screen with at least two operating modes, arrangement with lighting device and use of this

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021000968B3 (en) 2021-02-12 2022-04-28 Sioptica Gmbh Dual mode screen B1 for a clear view mode and B2 for a restricted angle or dual view mode
DE102021105595B3 (en) 2021-03-09 2022-05-12 Sioptica Gmbh Lighting device for a screen with at least two operating modes, arrangement with lighting device and use of this

Also Published As

Publication number Publication date
TWI524323B (en) 2016-03-01

Similar Documents

Publication Publication Date Title
CN105448245B (en) Backlight illumination compensation method and display device
WO2017143635A1 (en) Method and system for reducing power consumption of display panel
US11222607B2 (en) Display driving method, driving device, and display device comprising display panel and backlight unit
JP5122927B2 (en) Image display device and image display method
CN101393724B (en) Image display device and method capable of adjusting brightness
TWI469082B (en) Image signal processing method
CN103578435B (en) Backlight adjusting method and there is the electronic installation of this backlight adjustment function
WO2019134605A1 (en) Method, apparatus and device for adjusting backlight brightness according to human eye characteristics
CN108538260A (en) Image display processing method and device, display device and storage medium
CN109036295A (en) Image display processing method and device, display device and storage medium
CN104464618B (en) AMOLED drive device and drive method
WO2015096366A1 (en) Signal conversion device and method, and display device
CN103021329B (en) A kind of all-color LED panel system and brightness adjusting method thereof
CN101673521A (en) Liquid crystal display device and method for processing digital image signal
CN101976549B (en) Dynamic dimming circuit for splicing large-area field emission backlight
CN104715737A (en) Display device and luminance control method therefore
CN101281733B (en) Liquid crystal display method
TWI443622B (en) Display control circuit and method
WO2019061849A1 (en) Brightness adjustment method and brightness adjustment device for display panel
CN102651201B (en) Dynamic control method of edge light LED (Light Emitting Diode) backlight
TW200816154A (en) Display driver
CN103531140B (en) The method and system that a kind of mobile terminal and the display of LCD bad point are repaired
WO2020200015A1 (en) Contrast enhancement algorithm and two-panel display apparatus
WO2017063223A1 (en) Method and system for improving contrast of oled display panel
CN105810156A (en) Backlight adjustment method and circuit