TW201442120A - Semiconductor device and method for forming the same - Google Patents

Semiconductor device and method for forming the same Download PDF

Info

Publication number
TW201442120A
TW201442120A TW102113888A TW102113888A TW201442120A TW 201442120 A TW201442120 A TW 201442120A TW 102113888 A TW102113888 A TW 102113888A TW 102113888 A TW102113888 A TW 102113888A TW 201442120 A TW201442120 A TW 201442120A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric material
semiconductor device
region
substrate
Prior art date
Application number
TW102113888A
Other languages
Chinese (zh)
Other versions
TWI517263B (en
Inventor
Sue-Yi Chen
Chien-Hsien Song
Chih-Jen Huang
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW102113888A priority Critical patent/TWI517263B/en
Publication of TW201442120A publication Critical patent/TW201442120A/en
Application granted granted Critical
Publication of TWI517263B publication Critical patent/TWI517263B/en

Links

Abstract

A semiconductor device is disclosed. The semiconductor device includes an isolation structure is formed in a substrate to define an active region of the substrate. The active region has a field plate region therein. A step gate dielectric structure is formed on the substrate corresponding to the field plate region. The step gate dielectric structure includes a first layer of a first dielectric material and a second layer of the dielectric material vertically laminating each other. The first and second layers of the first dielectric material are separated from each other by a second dielectric material layer. An etch rate of the second dielectric material layer to an etchant is different from that of the second layer of the first dielectric material. A method for forming a semiconductor device is also disclosed.

Description

半導體裝置及其製造方法 Semiconductor device and method of manufacturing same

本發明係有關於一種半導體裝置及其製造方法,特別是有關於一種具有淺溝槽隔絕結構(shallow trench isolation,STI)的高壓半導體裝置及其製造方法。 The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a high voltage semiconductor device having a shallow trench isolation (STI) and a method of fabricating the same.

目前電源管理積體電路(power management integrated circuit,PMIC)最常應用雙極型-互補式金屬氧化物半導體電晶體-橫向擴散金屬氧化物半導體電晶體(bipolar-CMOS(complementary metal oxide semiconductor transistor)-LDMOS(lateral diffused metal oxide semiconductor transistor),BCD)的結構。互補式金屬氧化物半導體電晶體(CMOS)用於數位電路,雙極型電晶體(bipolar)可驅動高電流,而橫向擴散金屬氧化物半導體電晶體(LDMOS)具有高電壓(high voltage,HV)的處理能力。節約電源及高速效能的趨勢影響了橫向擴散金屬氧化物半導體電晶體的結構,半導體產業係持續地發展低漏電流(leakage)及低導通電阻(on-resistance,RDSon)的橫向擴散金屬氧化物半導體電晶體。 At present, the power management integrated circuit (PMIC) is most commonly used in a bipolar-CMOS (complementary metal oxide semiconductor transistor)-bipolar-CMOS (complementary metal oxide semiconductor transistor)- Structure of LDMOS (lateral diffused metal oxide semiconductor transistor, BCD). Complementary metal oxide semiconductor transistors (CMOS) are used in digital circuits, bipolar transistors can drive high currents, and laterally diffused metal oxide semiconductor transistors (LDMOS) have high voltages (HV). Processing power. The trend of power saving and high-speed performance affects the structure of laterally diffused metal oxide semiconductor transistors. The semiconductor industry continues to develop low leakage current and low-on-resistance (RDSon) laterally diffused metal oxide semiconductors. Transistor.

LDMOS裝置現今已發展出可廣泛地使用於日常應用,且可承受高的關閉狀態崩潰電壓(off-state breakdown)的各種結構。然而,習知的LDMOS裝置的導通電阻(on resistance, Ron)無法降低。導通電阻是影響習知的橫向金屬氧化物半導體場效電晶體(MOS field effect transistor,MOSFET)裝置的電源損耗的重要因素。上述結果會使導通電阻對汲極-源極崩潰電壓的比值(Ron/BVdss ratio)增加,進而影響BCD製程的可靠度。 LDMOS devices have now developed various structures that can be widely used in everyday applications and can withstand high off-state breakdown. However, the on resistance of the conventional LDMOS device (on resistance, Ron) can't be lowered. On-resistance is an important factor affecting the power loss of conventional MOS field effect transistor (MOSFET) devices. The above results increase the ratio of the on-resistance to the drain-source breakdown voltage (Ron/BVdss ratio), which in turn affects the reliability of the BCD process.

因此,在此技術領域中,有需要一種新穎的半導體裝置及其製造方法,以改善上述缺點。 Accordingly, there is a need in the art for a novel semiconductor device and method of fabricating the same to improve the above disadvantages.

本發明之一實施例係提供一種半導體裝置。上述半導體裝置包括一隔絕結構,位於上述基板內,以定義出上述基板的一主動區,其中上述主動區內具有一場板區;一段差閘極介電結構,位於上述場板區內的上述基板上,其中上述段差閘極介電結構包括一第一介電材料第一層和一第一介電材料第二層,彼此垂直堆疊;一第二介電材料層,其中上述第一介電材料第一層和上述第一介電材料第二層藉由於上述第二介電材料層彼此隔開,且上述第二介電材料層對一蝕刻劑的蝕刻速率不同於上述第一介電材料第二層對上述蝕刻劑的蝕刻速率。 One embodiment of the present invention provides a semiconductor device. The semiconductor device includes an isolation structure disposed in the substrate to define an active region of the substrate, wherein the active region has a field region; a differential gate dielectric structure, the substrate located in the field plate region The above-mentioned stepped gate dielectric structure comprises a first layer of a first dielectric material and a second layer of a first dielectric material stacked vertically to each other; a second layer of dielectric material, wherein the first dielectric material The first layer and the second layer of the first dielectric material are separated from each other by the second dielectric material layer, and the etching rate of the second dielectric material layer to an etchant is different from the first dielectric material The etching rate of the second layer to the above etchant.

本發明之另一實施例係提供一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括提供一基板;於上述基板的表面上依序形成一第一介電材料第一層和一第二介電材料層;圖案化上述第一介電材料第一層和上述第二介電材料層;以圖案化的上述第一介電材料第一層和上述第二介電材料層做為一硬式罩幕層,移除部分上述基板,以於上述基板中形成一隔絕溝槽;於上述隔絕溝槽中形成一隔絕結構,以定義 出上述基板的一主動區;全面性形成一第一介電材料第二層;於上述主動區內的上述第一介電材料第二層上形成一罩幕圖案,以在上述主動區內定義一場板區;進行一蝕刻製程,移除未被上述罩幕圖案覆蓋的上述第一介電材料第二層,以形成一第一介電材料第二層圖案,其中上述第二介電材料層做為上述蝕刻製程的一蝕刻停止層;移除未被上述第一介電材料第二層圖案覆蓋的圖案化的上述第一介電材料第一層和上述第二介電材料層,以於上述場板區內的上述基板上形成一段差閘極介電結構。 Another embodiment of the present invention provides a method of fabricating a semiconductor device. The method for manufacturing a semiconductor device includes: providing a substrate; sequentially forming a first dielectric material first layer and a second dielectric material layer on a surface of the substrate; and patterning the first dielectric material first layer And the second dielectric material layer; the first layer of the first dielectric material and the second dielectric material layer are patterned as a hard mask layer, and a part of the substrate is removed to form in the substrate An insulating trench; forming an insulating structure in the isolated trench to define An active region of the substrate; a second layer of a first dielectric material is formed in a comprehensive manner; and a mask pattern is formed on the second layer of the first dielectric material in the active region to define in the active region a plate region; performing an etching process to remove the second layer of the first dielectric material not covered by the mask pattern to form a second layer pattern of the first dielectric material, wherein the second dielectric material layer As an etch stop layer of the etching process; removing the patterned first dielectric material first layer and the second dielectric material layer not covered by the second dielectric material second layer pattern A differential gate dielectric structure is formed on the substrate in the field plate region.

200‧‧‧基板 200‧‧‧Substrate

201‧‧‧表面 201‧‧‧ surface

202‧‧‧第一介電材料第一層 202‧‧‧First layer of first dielectric material

204‧‧‧第二介電材料層 204‧‧‧Second dielectric material layer

206‧‧‧硬式罩幕層 206‧‧‧hard cover layer

208‧‧‧隔絕溝槽 208‧‧‧Isolated trench

209‧‧‧側壁 209‧‧‧ side wall

210‧‧‧隔絕結構 210‧‧‧Isolated structure

212、214、216‧‧‧摻雜區 212, 214, 216‧‧‧ doped areas

218‧‧‧第一接線摻雜區 218‧‧‧First wiring doping area

220‧‧‧第二接線摻雜區 220‧‧‧Second wiring doping area

221‧‧‧第一介電材料第二層 221‧‧‧Second layer of first dielectric material

222‧‧‧第一介電材料第二層圖案 222‧‧‧Second layer pattern of first dielectric material

223‧‧‧罩幕圖案 223‧‧‧ mask pattern

224‧‧‧段差閘極介電結構 224‧‧ ‧ differential gate dielectric structure

226‧‧‧閘極介電層 226‧‧‧ gate dielectric layer

228‧‧‧閘極層 228‧‧ ‧ gate layer

230‧‧‧閘極結構 230‧‧‧ gate structure

232‧‧‧襯層 232‧‧‧ lining

234‧‧‧介電材料 234‧‧‧ dielectric materials

300‧‧‧主動區 300‧‧‧active area

302‧‧‧場板區 302‧‧‧Field area

500‧‧‧半導體裝置 500‧‧‧Semiconductor device

T1、T2‧‧‧高度 T1, T2‧‧‧ height

W1、W2‧‧‧寬度 W1, W2‧‧‧ width

第1圖顯示本發明一實施例之半導體裝置之俯視示意圖。 Fig. 1 is a schematic plan view showing a semiconductor device according to an embodiment of the present invention.

第2圖為沿第1圖之A-A’切線的剖面圖,其顯示本發明一實施例之半導體裝置之沿通道長度方向的剖面示意圖。 Fig. 2 is a cross-sectional view taken along line A-A' of Fig. 1 showing a cross-sectional view of the semiconductor device according to an embodiment of the present invention along the longitudinal direction of the channel.

第3圖為沿第1圖之B-B’切線的剖面圖,其顯示本發明一實施例之半導體裝置之沿通道寬度方向的剖面示意圖。 Fig. 3 is a cross-sectional view taken along line B-B' of Fig. 1 showing a cross-sectional view of the semiconductor device according to an embodiment of the present invention in the width direction of the channel.

第4-5、6a、7a圖為沿第1圖之A-A’切線的剖面圖,其顯示本發明一實施例之半導體裝置的製程剖面圖。 4-5, 6a, and 7a are cross-sectional views taken along line A-A' of Fig. 1, which show a process sectional view of a semiconductor device according to an embodiment of the present invention.

第6b、7b圖為沿第1圖之B-B’切線的剖面圖,其顯示本發明一實施例之半導體裝置的製程剖面圖。 6b and 7b are cross-sectional views taken along line B-B' of Fig. 1, showing a process sectional view of a semiconductor device according to an embodiment of the present invention.

為了讓本發明之目的、特徵、及優點能更明顯易懂,下文特舉實施例,並配合所附圖示,做詳細之說明。本發明說明書提供不同的實施例來說明本發明不同實施方式的技 術特徵。其中,實施例中的各元件之配置係為說明之用,並非用以限制本發明。且實施例中圖式標號之部分重複,係為了簡化說明,並非意指不同實施例之間的關聯性。 In order to make the objects, features, and advantages of the present invention more comprehensible, the detailed description of the embodiments and the accompanying drawings. The present specification provides various embodiments to illustrate the techniques of various embodiments of the present invention. Characteristics. The arrangement of the various elements in the embodiments is for illustrative purposes and is not intended to limit the invention. The overlapping portions of the drawings in the embodiments are for the purpose of simplifying the description and are not intended to be related to the different embodiments.

本發明實施例係提供一種半導體裝置。在本實施例中,半導體裝置可為一P型雙極型-互補式金屬氧化物半導體電晶體-橫向擴散金屬氧化物半導體電晶體(bipolar-CMOS(complementary metal oxide semiconductor transistor)-LDMOS(lateral diffused metal oxide semiconductor transistor),BCD)。本發明實施例利用製程已存在之用以形成隔絕結構(例如STI)的硬式罩幕層、一額外的沉積製程和一額外的微影/蝕刻製程,以於基板的場板區內形成位於基板表面上的一段差閘極介電結構,取代習知的高壓裝置(HV device)中的淺溝槽隔絕場板結構。因此,相較於習知的高壓裝置,可進一步縮短從汲極至源極的電流路徑,以進一步降低導通電阻,並維持高崩潰電壓,進而降低橫向金屬氧化物半導體電晶體(LDMOS transistor)裝置的電源損耗。 Embodiments of the present invention provide a semiconductor device. In this embodiment, the semiconductor device can be a P-type bipolar-complementary metal oxide semiconductor transistor-bipolar-CMOS (complementary metal oxide semiconductor transistor)-LDMOS (lateral diffused Metal oxide semiconductor transistor), BCD). Embodiments of the present invention utilize a hard mask layer that is formed to form an isolation structure (eg, STI), an additional deposition process, and an additional lithography/etch process to form a substrate in the field plate region of the substrate. A differential gate dielectric structure on the surface replaces the shallow trench isolation field plate structure in the conventional HV device. Therefore, compared with the conventional high voltage device, the current path from the drain to the source can be further shortened to further reduce the on-resistance and maintain a high breakdown voltage, thereby reducing the lateral MOS transistor device. Power loss.

第1圖顯示本發明之一實施例之半導體裝置500之俯視示意圖。第2圖為沿第1圖之A-A’切線的剖面圖,其顯示本發明一實施例之半導體裝置500之沿通道長度方向的剖面示意圖。第3圖為沿第1圖之B-B’切線的剖面圖,其顯示本發明一實施例之半導體裝置500之沿通道寬度方向的剖面示意圖。在本實施例中,半導體裝置500可為一P型雙極型-互補式金屬氧化物半導體電晶體-橫向擴散金屬氧化物半導體電晶體(bipolar-CMOS(complementary metal oxide semiconductor transistor)-LDMOS(lateral diffused metal oxide semiconductor transistor),BCD)。如第1-3圖所示,在本發明一實施例中,半導體裝置500包括一基板200、一隔絕結構210以及一段差閘極介電結構224。隔絕結構210位於基板200內,以定義出基板200的一主動區300,且主動區300內具有一場板區302。另外,段差閘極介電結構224位於場板區302內的基板200上。在本發明一實施例中,段差閘極介電結構224包括彼此垂直堆疊的一第一介電材料第一層202和一第一介電材料第二層222,段差閘極介電結構224還包括一第二介電材料層204。在本發明一實施例中,第一介電材料第一層202和第一介電材料第二層222藉由於第二介電材料層204彼此隔開。 1 is a top plan view showing a semiconductor device 500 according to an embodiment of the present invention. Fig. 2 is a cross-sectional view taken along line A-A' of Fig. 1 showing a cross-sectional view of the semiconductor device 500 according to an embodiment of the present invention along the longitudinal direction of the channel. Fig. 3 is a cross-sectional view taken along line B-B' of Fig. 1 showing a cross-sectional view of the semiconductor device 500 according to an embodiment of the present invention in the width direction of the channel. In this embodiment, the semiconductor device 500 can be a P-type bipolar-complementary metal oxide semiconductor transistor-bipolar-CMOS (complementary metal oxide semiconductor). Transistor) - LDMOS (lateral diffused metal oxide semiconductor transistor), BCD). As shown in FIGS. 1-3, in an embodiment of the invention, the semiconductor device 500 includes a substrate 200, an isolation structure 210, and a differential gate dielectric structure 224. The isolation structure 210 is located within the substrate 200 to define an active region 300 of the substrate 200, and the active region 300 has a field plate region 302 therein. Additionally, the stepped gate dielectric structure 224 is located on the substrate 200 within the field plate region 302. In an embodiment of the invention, the stepped gate dielectric structure 224 includes a first dielectric material first layer 202 and a first dielectric material second layer 222 stacked perpendicularly to each other, and the stepped gate dielectric structure 224 is further A second dielectric material layer 204 is included. In an embodiment of the invention, the first dielectric material first layer 202 and the first dielectric material second layer 222 are separated from each other by the second dielectric material layer 204.

如第1-3圖所示,在本發明一實施例中,半導體裝置500還包括一第一摻雜區216,位於場板區302及隔絕結構210之間的主動區300內,其中第一摻雜區216的導電類型與主動區300內的基板200的導電類型相反。一第二摻雜區212,位於主動區300內的基板200內,其中第二摻雜區212包圍第一摻雜區216,且其中第一摻雜區216與第二摻雜區212具有相反的導電類型。一第三摻雜區214,位於主動區300外側的基板200內,且環繞隔絕結構210,其中第一摻雜區216與第三摻雜區214具有相同的導電類型。一閘極結構230,位於主動區300內的基板200上,且從第一摻雜區216延伸覆蓋至段差閘極介電結構224。 As shown in FIG. 1-3, in an embodiment of the invention, the semiconductor device 500 further includes a first doped region 216 located in the active region 300 between the field plate region 302 and the isolation structure 210, wherein the first The conductivity type of the doped region 216 is opposite to the conductivity type of the substrate 200 within the active region 300. A second doped region 212 is disposed in the substrate 200 within the active region 300, wherein the second doped region 212 surrounds the first doped region 216, and wherein the first doped region 216 and the second doped region 212 have opposite The type of conductivity. A third doped region 214 is disposed within the substrate 200 outside the active region 300 and surrounds the isolation structure 210, wherein the first doped region 216 and the third doped region 214 have the same conductivity type. A gate structure 230 is disposed on the substrate 200 within the active region 300 and extends from the first doped region 216 to the stepped gate dielectric structure 224.

在本發明一實施例中,由不同材料形成的第一介電材料第一層202和第二介電材料層204可為用以形成例如淺溝槽隔離物(STI)之隔絕結構210的蝕刻硬遮罩。另外,在本發 明一實施例中,第一介電材料第二層222與介電材料第一層202由相同材料形成,因此,段差閘極介電結構224的第二介電材料層204可以做為形成上述段差閘極介電結構224的蝕刻製程的蝕刻停止層。 In an embodiment of the invention, the first dielectric material first layer 202 and the second dielectric material layer 204 formed of different materials may be etched to form an isolation structure 210 such as a shallow trench isolation (STI). Hard cover. In addition, in this issue In the first embodiment, the second layer 222 of the first dielectric material and the first layer 202 of the dielectric material are formed of the same material. Therefore, the second dielectric material layer 204 of the stepped gate dielectric structure 224 can be formed as described above. An etch stop layer of the etching process of the step gate dielectric structure 224.

第4-5、6a、7a圖為沿第1圖之A-A’切線的剖面圖,其顯示本發明一實施例之半導體裝置500的製程剖面圖。第6b、7b圖為沿第1圖之B-B’切線的剖面圖,其顯示本發明一實施例之半導體裝置500的製程剖面圖。請同時參考第1、4圖,首先,提供一基板200。在本發明一實施例中,基板200可為矽基板。在其他實施例中,可利用鍺化矽(SiGe)、塊狀半導體(bulk semiconductor)、應變半導體(strained semiconductor)、化合物半導體(compound semiconductor)、絶緣層上覆矽(silicon on insulator,SOI),或其他常用之半導體基板。基板200可植入P型或N型不純物,以針對設計需要改變其導電類型。在本發明一實施例中,基板200的導電類型為P型。 4-5, 6a, and 7a are cross-sectional views taken along line A-A' of Fig. 1, showing a process sectional view of a semiconductor device 500 according to an embodiment of the present invention. 6b and 7b are cross-sectional views taken along line B-B' of Fig. 1, showing a process sectional view of a semiconductor device 500 according to an embodiment of the present invention. Please refer to FIGS. 1 and 4 at the same time. First, a substrate 200 is provided. In an embodiment of the invention, the substrate 200 can be a germanium substrate. In other embodiments, silicon germanium (SiGe), bulk semiconductor, strained semiconductor, compound semiconductor, silicon on insulator (SOI), Or other commonly used semiconductor substrates. The substrate 200 can be implanted with P-type or N-type impurities to change its conductivity type for design needs. In an embodiment of the invention, the conductivity type of the substrate 200 is P-type.

接著,請再同時參考第1、4圖,可利用沉積製程,於基板200的表面201上依序形成一第一介電材料第一層202和一第二介電材料層204。在本發明一實施例中,第一介電材料第一層202可視為一墊氧化層(pad oxide)202,而第二介電材料層204可視為一氮化矽層204。在本發明一實施例中,第一介電材料第一層202和第二介電材料層204係共同視為後續形成隔絕結構210的蝕刻製程的硬式罩幕層206。 Then, referring to FIGS. 1 and 4 at the same time, a first dielectric material layer 202 and a second dielectric material layer 204 are sequentially formed on the surface 201 of the substrate 200 by using a deposition process. In an embodiment of the invention, the first layer 202 of the first dielectric material can be viewed as a pad oxide 202 and the second layer of dielectric material 204 can be viewed as a tantalum nitride layer 204. In an embodiment of the invention, the first dielectric material first layer 202 and the second dielectric material layer 204 are collectively considered as a hard mask layer 206 that subsequently forms an etch process of the isolation structure 210.

接著,請再同時參考第1、4圖,可利用微影及蝕刻製程,圖案化硬式罩幕層206而定義出後續隔絕結構的形成 位置及暴露出基板200的部分表面201。然後,進行一蝕刻製程,以硬式罩幕層206做為上述蝕刻製程的蝕刻硬式罩幕(hard mask),蝕刻暴露出的基板200,以在基板200內形成隔絕溝槽208。接著,可在隔離溝槽的側壁209上形成襯層(liner)232。之後,進行一高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDPCVD)製程,在硬式罩幕層206上形成例如高密度電漿(high-density plasma,HDP)氧化物的一介電材料234,並填入隔絕溝槽208。 Next, please refer to the first and fourth figures at the same time, and the lithography and etching process can be used to pattern the hard mask layer 206 to define the formation of the subsequent isolation structure. The surface 201 of the substrate 200 is exposed and exposed. Then, an etching process is performed, and the exposed mask 200 is etched by using the hard mask layer 206 as an etch hard mask of the above etching process to form the isolation trench 208 in the substrate 200. Next, a liner 232 can be formed on the sidewall 209 of the isolation trench. Thereafter, a high density plasma chemical vapor deposition (HDPCVD) process is performed to form, for example, a high-density plasma (HDP) oxide on the hard mask layer 206. Electrical material 234 is filled into isolation trench 208.

接著,請同時參考第1、5圖,可利用例如為化學機械研磨(chemical mechanical polish,CMP)的平坦化製程將第二介電材料層204上過量的介電材料234移除,並平坦化介電材料234和第二介電材料層204的表面,以於隔絕溝槽208中形成例如淺溝槽隔絕物(shallow trench isolation,STI)的隔絕結構210。上述隔絕結構210係從基板200的表面201上延伸至基板200中,且上述隔絕結構210的頂面對齊於第二介電材料層204的頂面。在本實施例中,上述隔絕結構210係定義出基板200的一主動區300的位置。 Next, please refer to FIGS. 1 and 5 at the same time, and the excess dielectric material 234 on the second dielectric material layer 204 can be removed and planarized by a planarization process such as chemical mechanical polish (CMP). The surfaces of the dielectric material 234 and the second dielectric material layer 204 are such as to form an isolation structure 210 such as a shallow trench isolation (STI) in the isolation trench 208. The isolation structure 210 extends from the surface 201 of the substrate 200 into the substrate 200, and the top surface of the isolation structure 210 is aligned with the top surface of the second dielectric material layer 204. In the present embodiment, the isolation structure 210 defines the position of an active region 300 of the substrate 200.

接著,請同時參考第1、6a、6b圖,可利用離子植入方式,於主動區300內的基板200內形成一摻雜區212,其中摻雜區212的導電類型可與基板200的導電類型相反,且摻雜區214的摻質濃度例如可大於基板200內的摻質濃度。在本實施例中,摻雜區212可視為一n型漂移摻雜區(n-type drift dopedregion)212,以做為最終半導體裝置的一通道區(channel region)和一源極區(source region)。 Then, referring to the first, sixth, and sixth embodiments, a doping region 212 can be formed in the substrate 200 in the active region 300 by using an ion implantation method, wherein the conductivity type of the doping region 212 can be electrically conductive with the substrate 200. The type is reversed and the dopant concentration of the doped region 214 can be, for example, greater than the dopant concentration within the substrate 200. In this embodiment, the doping region 212 can be regarded as an n-type drift doped region 212 as a channel region and a source region of the final semiconductor device. ).

接著,請再同時參考第1、6a、6b圖,可利用離子植入方式,於主動區300外側的基板220內形成一摻雜區214,其中摻雜區214環繞隔絕結構210和摻雜區212的側邊界,但摻雜區212的底邊界未被摻雜區214環繞。在本實施例中,摻雜區214可與基板200具有相同的導電類型,且摻雜區214的摻質濃度例如可大於基板200內的摻質濃度。在本實施例中,摻雜區214可視為一p型井摻雜區(PW doped region)。在本發明一實施例中,上述摻雜區212和214的製程順序並無限定,上述製程順序可以任意互換。 Then, referring to the first, sixth, and sixth embodiments, a doping region 214 is formed in the substrate 220 outside the active region 300 by using an ion implantation method, wherein the doping region 214 surrounds the isolation structure 210 and the doping region. The side boundary of 212, but the bottom boundary of doped region 212 is not surrounded by doped region 214. In the present embodiment, the doping region 214 may have the same conductivity type as the substrate 200, and the doping concentration of the doping region 214 may be greater than, for example, the dopant concentration in the substrate 200. In this embodiment, the doped region 214 can be regarded as a PW doped region. In an embodiment of the invention, the process sequence of the doping regions 212 and 214 is not limited, and the process sequence may be interchanged arbitrarily.

接著,請再同時參考第1、6a、6b圖,可利用離子植入方式,於主動區300中的基板220內形成一摻雜區216。在本實施例中,摻雜區216會位於隔絕結構210與後續製程定義出的場板區302之間的主動區300內。在本實施例中,摻雜區216的邊界被摻雜區212包圍,且摻雜區216的導電類型與基板200的導電類型相反(意即摻雜區216與摻雜區212具有相反的導電類型,且摻雜區216與摻雜區214具有相同的導電類型)。在本發明一實施例中,摻雜區216可視為一p型漂移摻雜區(p-type drift region)216,其係做為半導體裝置的汲極區(drain region)。在本發明之一實施例中,較佳可於形成摻雜區216之後再進行一退火製程,以使摻雜區216的摻質沿著橫向擴散(lateral diffused),且具有濃度梯度。 Then, referring to the first, sixth, and sixth embodiments, a doped region 216 is formed in the substrate 220 in the active region 300 by ion implantation. In this embodiment, the doped region 216 will be located within the active region 300 between the isolation structure 210 and the field plate region 302 defined by subsequent processes. In the present embodiment, the boundary of the doping region 216 is surrounded by the doping region 212, and the conductivity type of the doping region 216 is opposite to that of the substrate 200 (ie, the doping region 216 and the doping region 212 have opposite conductivity). Type, and doped region 216 has the same conductivity type as doped region 214). In an embodiment of the invention, the doped region 216 can be considered as a p-type drift region 216, which serves as a drain region of the semiconductor device. In an embodiment of the present invention, an annealing process is preferably performed after the doping region 216 is formed to make the dopant of the doping region 216 laterally diffused and have a concentration gradient.

接著,請再同時參考第1、6a、6b圖,可利用化學氣相沉積法(chemical vapor deposition,CVD)或原子層化學氣相沉積法(atomic layer CVD,ALD)等方法,全面性形成一第一 介電材料第二層221。在本實施例中,第一介電材料第二層221可為一高溫氧化物(high temperature oxide,HTO)。在本發明一實施例中,利用上述CVD或ALD)等方法形成的第一介電材料第二層221的厚度可依據設計需要而得到良好的控制。在本發明一實施例中,第一介電材料第二層221的厚度可遠大於硬式罩幕層206的總厚度,且第一介電材料第一層202的厚度小於第一介電材料第二層221的厚度。在本實施例中,可設計第一介電材料第一層202與第一介電材料第二層221為相同的材質,且可設計第一介電材料第二層221與第二介電材料層204為不同的材質。 Next, please refer to the figures 1, 6a, and 6b at the same time, and form a comprehensive method by chemical vapor deposition (CVD) or atomic layer CVD (ALD). the first A second layer 221 of dielectric material. In this embodiment, the second layer 221 of the first dielectric material may be a high temperature oxide (HTO). In an embodiment of the invention, the thickness of the second layer 221 of the first dielectric material formed by the above-described CVD or ALD) method can be well controlled according to design requirements. In an embodiment of the invention, the thickness of the second layer 221 of the first dielectric material may be much greater than the total thickness of the hard mask layer 206, and the thickness of the first layer 202 of the first dielectric material is less than the thickness of the first dielectric material. The thickness of the second layer 221 . In this embodiment, the first layer 202 of the first dielectric material and the second layer 221 of the first dielectric material may be designed to be the same material, and the second layer 221 of the first dielectric material and the second dielectric material may be designed. Layer 204 is a different material.

接著,請再同時參考第1、6a、6b圖,可進行一微影製程,於主動區300內的第一介電材料第二層221上形成一罩幕圖案223,上述罩幕圖案223係定義出場板區302的形成位置。 Then, referring to the first, sixth, and sixth embodiments, a lithography process is performed to form a mask pattern 223 on the second layer 221 of the first dielectric material in the active region 300. The mask pattern 223 is The formation position of the exit plate area 302 is defined.

接著,請同時參考第1、7a、7b圖,以罩幕圖案223為蝕刻罩幕,進行例如一非等向性蝕刻製程之一蝕刻製程,移除未被罩幕圖案223覆蓋的第一介電材料第二層221(如第6a、6b圖所示)。在本發明一實施例中,由於第一介電材料第二層221與第二介電材料層204為不同的材質,所以第二介電材料層204對上述蝕刻製程使用的一蝕刻劑的蝕刻速率會不同於第一介電材料第二層221對蝕刻劑的上述蝕刻速率,所以,上述蝕刻製程會進行到暴露出第二介電材料層204的表面為止。經過上述蝕刻製程之後會形成一第一介電材料第二層圖案222,其中第二介電材料層204做為上述蝕刻製程的一蝕刻停止層。 Then, referring to the first, seventh, and seventh embodiments, the mask pattern 223 is used as an etching mask, and an etching process such as an anisotropic etching process is performed to remove the first dielectric not covered by the mask pattern 223. The second layer 221 of material (as shown in Figures 6a, 6b). In an embodiment of the present invention, since the first dielectric material second layer 221 and the second dielectric material layer 204 are made of different materials, the second dielectric material layer 204 etches an etchant used in the etching process. The rate may be different from the etch rate of the second layer 221 of the first dielectric material to the etchant, so that the etching process proceeds until the surface of the second dielectric material layer 204 is exposed. After the etching process, a first dielectric material second layer pattern 222 is formed, wherein the second dielectric material layer 204 serves as an etch stop layer of the etching process.

接著,請同時參考第1、2、3圖,可進行例如一濕 蝕刻製程之一蝕刻製程,移除未被第一介電材料第二層圖案222覆蓋的圖案化的第一介電材料第一層202和第二介電材料層204(硬式罩幕層206),直到暴露出基板200的表面201,以於場板區302內的基板200上形成一段差閘極介電結構224。在本發明一實施例中,段差閘極介電結構224包括圖案化的第一介電材料第一層202和第二介電材料層204(圖案化的硬式罩幕層206)和位於圖案化的第二介電材料層204上的第一介電材料第二層圖案222。在本實施例中,段差閘極介電結構224為一氧化物-氮化物-氧化物(ONO)複合結構,其中圖案化的第一介電材料第一層202為一墊氧化層,的第一介電材料第二層圖案222為一高溫氧化層,且圖案化第二介電材料層204為一氮化矽層。 Next, please refer to the first, second, and third figures at the same time, for example, a wet One etching process of the etching process to remove the patterned first dielectric material first layer 202 and second dielectric material layer 204 (hard mask layer 206) that are not covered by the first dielectric material second layer pattern 222 Until the surface 201 of the substrate 200 is exposed, a differential gate dielectric structure 224 is formed on the substrate 200 within the field plate region 302. In an embodiment of the invention, the stepped gate dielectric structure 224 includes a patterned first dielectric material first layer 202 and a second dielectric material layer 204 (patterned hard mask layer 206) and is patterned. A second layer pattern 222 of a first dielectric material on the second dielectric material layer 204. In this embodiment, the stepped gate dielectric structure 224 is an oxide-nitride-oxide (ONO) composite structure, wherein the first layer 202 of the patterned first dielectric material is a pad oxide layer. A dielectric material second layer pattern 222 is a high temperature oxide layer, and the patterned second dielectric material layer 204 is a tantalum nitride layer.

接著,請再同時參考第1、2、3圖,以說明閘極結構230、第一接線摻雜區218和第二接線摻雜區220的形成方式。可利用例如熱氧化法(thermal oxidation)、化學氣相沉積法(chemical vapor deposition,CVD)或原子層化學氣相沉積法(atomic layer CVD,ALD)等方法,於主動區300內的基板200上上沉積一閘極介電層226。閘極介電層226可包括例如氧化物(oxide)、氮化物(nitride)、氮氧化物(oxynitride)、碳氧化物(oxycarbide)或其組合等常用的介電材料。閘極介電層224也可包括氧化鋁(aluminum oxide;Al2O3)、氧化鉿(hafnium oxide,HfO2)、氮氧化鉿(hafnium oxynitride,HfON)、矽酸鉿(hafnium silicate,HfSiO4)、氧化鋯(zirconium oxide,ZrO2)、氮氧化鋯(zirconium oxynitride,ZrON)、矽酸鋯(zirconium silicate,ZrSiO4)、氧化釔(yttrium oxide,Y2O3)、氧化鑭(lanthalum oxide, La2O3)、氧化鈰(cerium oxide,CeO2)、氧化鈦(titanium oxide,TiO2)、氧化鉭(tantalum oxide,Ta2O5)或其組合等高介電常數(high-k,介電常數大於8)之介電材料。接著,可利用化學氣相沉積法(chemical vapor deposition,CVD)等薄膜沉積方式,於閘極介電層226上形成閘極層228。閘極層228係包括矽或多晶矽(polysilicon)。閘極層228較佳為摻雜摻質以降低其片電阻(sheet resistance)。在其他實施例中,閘極層228係包括非晶矽(amorphous silicon)。 Next, please refer to FIGS. 1, 2, and 3 at the same time to illustrate the manner in which the gate structure 230, the first wiring doping region 218, and the second wiring doping region 220 are formed. The substrate 200 in the active region 300 may be formed by, for example, thermal oxidation, chemical vapor deposition (CVD) or atomic layer CVD (ALD). A gate dielectric layer 226 is deposited thereon. Gate dielectric layer 226 can include conventional dielectric materials such as oxides, nitrides, oxynitrides, oxycarbides, or combinations thereof. The gate dielectric layer 224 may also include aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), hafnium oxynitride (HfON), hafnium silicate (HfSiO 4 ). Zirconium oxide (ZrO 2 ), zirconium oxynitride (ZrON), zirconium silicate (ZrSiO 4 ), yttrium oxide (Y 2 O 3 ), lanthanum oxide High dielectric constant (L 2 O 3 ), cerium oxide (CeO 2 ), titanium oxide (TiO 2 ), tantalum oxide (Ta 2 O 5 ) or a combination thereof A dielectric material having a dielectric constant greater than 8). Next, a gate layer 228 can be formed on the gate dielectric layer 226 by a thin film deposition method such as chemical vapor deposition (CVD). The gate layer 228 includes germanium or polysilicon. Gate layer 228 is preferably doped with dopants to reduce its sheet resistance. In other embodiments, the gate layer 228 comprises amorphous silicon.

接著,請再同時參考第1、2、3圖,可全面性地覆蓋一圖案化光阻層(圖未顯示),以定義出閘極結構230的形成位置,再利用非等向性蝕刻方式,移除部分閘極介電層226和閘極層228,以於主動區300內的基板200上形成一閘極結構230。之後,將圖案化光阻層移除。如第1、2、3圖所示,在本發明一實施例中,閘極結構230從摻雜區216延伸覆蓋至段差閘極介電結構224。在本實施例中,閘極結構230覆蓋部分的摻雜區216和部分的段差閘極介電結構224,而部分摻雜區216和部分段差閘極介電結構224的頂面從閘極結構230暴露出來。 Next, please refer to the first, second, and third figures at the same time, and comprehensively cover a patterned photoresist layer (not shown) to define the formation position of the gate structure 230, and then use an anisotropic etching method. A portion of the gate dielectric layer 226 and the gate layer 228 are removed to form a gate structure 230 on the substrate 200 in the active region 300. Thereafter, the patterned photoresist layer is removed. As shown in FIGS. 1, 2, and 3, in an embodiment of the invention, the gate structure 230 extends from the doped region 216 to the stepped gate dielectric structure 224. In the present embodiment, the gate structure 230 covers a portion of the doped region 216 and a portion of the stepped gate dielectric structure 224, and the top doped region 216 and the portion of the stepped gate dielectric structure 224 are gated from the gate structure. 230 exposed.

接著,請再同時參考第1、2、3圖,可進行一離子植入步驟,分別於部分摻雜區212中形成第一接線摻雜區218。再進行另一離子植入步驟,於部分摻雜區216中形成第二接線摻雜區220。在本發明一實施例中,第一接線摻雜區218的導電類型與摻雜區212的導電類型相同,成第二接線摻雜區220的導電類型與摻雜區216的導電類型相同。在本實施例中,第一接線摻雜區218可視為半導體裝置之n型漂移摻雜區(N-type body region)212的接線摻雜區(pick-up region),其導電類型例如為n型。另外,第二接線摻雜區220可視為半導體裝置之源極和p型漂移摻雜區(p-type drift region)216的接線摻雜區(pick-up region),其導電類型較佳為p型。經過上述製程之後,形成本發明一實施例之半導體裝置500。 Then, referring to the first, second, and third figures, an ion implantation step may be performed to form the first wiring doping region 218 in the partially doped region 212, respectively. A further ion implantation step is performed to form a second wiring doping region 220 in the partially doped region 216. In an embodiment of the invention, the conductivity type of the first wiring doping region 218 is the same as the conductivity type of the doping region 212, and the conductivity type of the second wiring doping region 220 is the same as that of the doping region 216. In the present embodiment, the first wiring doping region 218 can be regarded as an n-type drift doping region (N-type body of the semiconductor device). The wiring-up region of the region 212 has a conductivity type such as an n-type. In addition, the second wiring doping region 220 can be regarded as a source of a semiconductor device and a pick-up region of a p-type drift region 216, and the conductivity type is preferably p. type. After the above process, the semiconductor device 500 of one embodiment of the present invention is formed.

如第1、2、3圖所示,半導體裝置500係利用形成在場板區302內之基板100上的段差閘極介電結構224來取代習知的高壓裝置中作為場板結構(field plate)的矽局部氧化結構(LOCOS)或淺溝槽隔絕結構(STI)。在本發明一實施例中,段差閘極介電結構為一氧化物-氮化物-氧化物(ONO)複合結構,其中下層的氧化物(第一介電材料第一層)和中間層的氮化物(第二介電材料層)為形成隔絕結構(例如STI)的硬式罩幕層,且中間層的氮化物可做為形成段差閘極介電結構使用的蝕刻製程的蝕刻停止層。因此,半導體裝置500的閘極結構230可延伸覆蓋至基板100上的段差閘極介電結構224以降低元件的表面電場(reduced surface field,RESURF),因而可使半導體裝置500維持高的汲極-源極崩潰電壓(Drain-Source breakdown voltage,BVdss)。在本實施例中,可設計(可以製程達到)使段差閘極介電結構224的厚度T2不等於隔絕結構210的厚度T1。在本實施例中,可設計(可以製程達到)使段差閘極介電結構224的寬度W2小於或等於隔絕結構210的寬度W1。並且,段差閘極介電結構224形成於基板200上方而未延伸入基板200內,因此,相較於習知的高壓裝置,可於維持半導體裝置500的汲極-源極崩潰電壓(Drain-Source breakdown voltage,BVdss)的條件下,可進一 步縮短從汲極至源極的電流路徑,以進一步降低導通電阻(on resistance,Ron),並維持高汲極-源極崩潰電壓,進而降低橫向金屬氧化物半導體場效電晶體裝置的電源損耗。並且,導通電阻的降低及高汲極-源極崩潰電壓的維持可有效的降低半導體裝置500的導通電阻對汲極-源極崩潰電壓的比值(Ron/BVdss ratio),且可使半導體裝置500能承受更高的操作電壓(operation voltage)且可縮小半導體裝置500的間距(pitch size)和晶片尺寸(cell size)。 As shown in the first, second, and third figures, the semiconductor device 500 replaces the conventional high voltage device as a field plate structure by using a stepped gate dielectric structure 224 formed on the substrate 100 in the field plate region 302. a localized oxidation structure (LOCOS) or a shallow trench isolation structure (STI). In an embodiment of the invention, the stepped gate dielectric structure is an oxide-nitride-oxide (ONO) composite structure, wherein the underlying oxide (the first dielectric material first layer) and the intermediate layer of nitrogen The material (the second dielectric material layer) is a hard mask layer that forms an isolation structure (eg, STI), and the nitride of the intermediate layer can serve as an etch stop layer for the etching process used to form the step-lag gate dielectric structure. Therefore, the gate structure 230 of the semiconductor device 500 can extend over the stepped gate dielectric structure 224 on the substrate 100 to reduce the reduced surface field (RESURF) of the device, thereby enabling the semiconductor device 500 to maintain a high bungee - Drain-Source breakdown voltage (BVdss). In the present embodiment, the thickness T2 of the step-lag gate dielectric structure 224 can be designed (which can be achieved by the process) not equal to the thickness T1 of the isolation structure 210. In this embodiment, the width W2 of the stepped gate dielectric structure 224 can be designed (which can be achieved by the process) to be less than or equal to the width W1 of the isolation structure 210. Moreover, the stepped gate dielectric structure 224 is formed over the substrate 200 without extending into the substrate 200. Therefore, the drain-source breakdown voltage of the semiconductor device 500 can be maintained compared to the conventional high voltage device (Drain- Source breakdown voltage, BVdss), can be entered into one Step shortening the current path from the drain to the source to further reduce the on resistance (Ron) and maintain the high drain-source breakdown voltage, thereby reducing the power loss of the lateral metal oxide semiconductor field effect transistor device . Moreover, the reduction of the on-resistance and the maintenance of the high drain-source breakdown voltage can effectively reduce the ratio of the on-resistance to the drain-source breakdown voltage of the semiconductor device 500 (Ron/BVdss ratio), and can cause the semiconductor device 500 It can withstand higher operation voltages and can reduce the pitch size and cell size of the semiconductor device 500.

雖然本發明已以實施例揭露於上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。 While the present invention has been described by way of example only, it is not intended to limit the invention, and the invention may be modified and modified without departing from the spirit and scope of the invention. The scope of protection is subject to the definition of the scope of the patent application.

200‧‧‧基板 200‧‧‧Substrate

201‧‧‧表面 201‧‧‧ surface

202‧‧‧第一介電材料第一層 202‧‧‧First layer of first dielectric material

204‧‧‧第二介電材料層 204‧‧‧Second dielectric material layer

210‧‧‧隔絕結構 210‧‧‧Isolated structure

212、214、216‧‧‧摻雜區 212, 214, 216‧‧‧ doped areas

218‧‧‧第一接線摻雜區 218‧‧‧First wiring doping area

220‧‧‧第二接線摻雜區 220‧‧‧Second wiring doping area

222‧‧‧第一介電材料第二層圖案 222‧‧‧Second layer pattern of first dielectric material

224‧‧‧段差閘極介電結構 224‧‧ ‧ differential gate dielectric structure

226‧‧‧閘極介電層 226‧‧‧ gate dielectric layer

228‧‧‧閘極層 228‧‧ ‧ gate layer

230‧‧‧閘極結構 230‧‧‧ gate structure

232‧‧‧襯層 232‧‧‧ lining

300‧‧‧主動區 300‧‧‧active area

302‧‧‧場板區 302‧‧‧Field area

500‧‧‧半導體裝置 500‧‧‧Semiconductor device

T1、T2‧‧‧高度 T1, T2‧‧‧ height

W1、W2‧‧‧寬度 W1, W2‧‧‧ width

Claims (20)

一種半導體裝置,包括:一基板;一隔絕結構,位於該基板內,以定義出該基板的一主動區,其中該主動區內具有一場板區;以及一段差閘極介電結構,位於該場板區內的該基板上,其中該段差閘極介電結構包括:一第一介電材料第一層和一第一介電材料第二層,彼此垂直堆疊;以及一第二介電材料層,其中該第一介電材料第一層和該第一介電材料第二層藉由於該第二介電材料層彼此隔開,且該第二介電材料層對一蝕刻劑的蝕刻速率不同於該第一介電材料第二層對該蝕刻劑的蝕刻速率。 A semiconductor device comprising: a substrate; an isolation structure located in the substrate to define an active region of the substrate, wherein the active region has a field region; and a differential gate dielectric structure is located in the field On the substrate in the board region, wherein the stepped gate dielectric structure comprises: a first layer of a first dielectric material and a second layer of a first dielectric material stacked vertically with each other; and a second layer of dielectric material The first layer of the first dielectric material and the second layer of the first dielectric material are separated from each other by the second layer of dielectric material, and the etching rate of the second dielectric material layer to an etchant is different An etch rate of the etchant in the second layer of the first dielectric material. 如申請專利範圍第1項所述之半導體裝置,更包括:一第一摻雜區,位於該場板區及該隔絕結構之間的該主動區內,其中該第一摻雜區的導電類型與該主動區內的該基板的導電類型相反;以及一閘極結構,位於該主動區內的該基板上,且從該第一摻雜區延伸覆蓋至該段差閘極介電結構。 The semiconductor device of claim 1, further comprising: a first doped region located in the active region between the field plate region and the isolation structure, wherein a conductivity type of the first doped region Resisting the conductivity type of the substrate in the active region; and a gate structure on the substrate in the active region and extending from the first doped region to the differential gate dielectric structure. 如申請專利範圍第1項所述之半導體裝置,其中該段差閘極介電結構為一氧化物-氮化物-氧化物複合結構。 The semiconductor device of claim 1, wherein the stepped gate dielectric structure is an oxide-nitride-oxide composite structure. 如申請專利範圍第3項所述之半導體裝置,其中該第一介電材料第一層為一墊氧化層,該第一介電材料第二層為一高溫氧化層,且該第二介電材料層為一氮化矽層。 The semiconductor device of claim 3, wherein the first layer of the first dielectric material is a pad oxide layer, the second layer of the first dielectric material is a high temperature oxide layer, and the second dielectric layer The material layer is a tantalum nitride layer. 如申請專利範圍第1項所述之半導體裝置,其中該段差閘極介電結構的厚度不等於該隔絕結構的厚度。 The semiconductor device of claim 1, wherein the thickness of the differential gate dielectric structure is not equal to the thickness of the isolation structure. 如申請專利範圍第1項所述之半導體裝置,其中該段差閘極介電結構的寬度小於或等於該隔絕結構的寬度。 The semiconductor device of claim 1, wherein the width of the differential gate dielectric structure is less than or equal to the width of the isolation structure. 如申請專利範圍第1項所述之半導體裝置,其中該第一介電材料第一層的厚度小於該第一介電材料第二層的厚度。 The semiconductor device of claim 1, wherein the first layer of the first dielectric material has a thickness less than a thickness of the second layer of the first dielectric material. 如申請專利範圍第1項所述之半導體裝置,其中該隔絕結構的一頂面對齊於該第二介電材料層的一頂面。 The semiconductor device of claim 1, wherein a top surface of the isolation structure is aligned with a top surface of the second dielectric material layer. 如申請專利範圍第2項所述之半導體裝置,其中該閘極結構包括一閘極介電層和位於該閘極介電層上的一閘極層,其中該閘極介電層與該第一摻雜區和該段差閘極介電結構接觸。 The semiconductor device of claim 2, wherein the gate structure comprises a gate dielectric layer and a gate layer on the gate dielectric layer, wherein the gate dielectric layer and the gate A doped region is in contact with the differential gate dielectric structure. 如申請專利範圍第1項所述之半導體裝置,更包括:一第二摻雜區,位於該主動區內的該基板內,其中該第二摻雜區包圍該第一摻雜區,且其中該第一摻雜區與該第二摻雜區具有相反的導電類型;以及一第三摻雜區,位於該主動區外側的該基板內,且環繞該隔絕結構,其中該第一摻雜區與該第三摻雜區具有相同的導電類型。 The semiconductor device of claim 1, further comprising: a second doped region located in the substrate in the active region, wherein the second doped region surrounds the first doped region, and wherein The first doped region and the second doped region have opposite conductivity types; and a third doped region located in the substrate outside the active region and surrounding the isolation structure, wherein the first doped region It has the same conductivity type as the third doped region. 一種半導體裝置的製造方法,包括下列步驟:提供一基板;於該基板的表面上依序形成一第一介電材料第一層和一第二介電材料層;圖案化該第一介電材料第一層和該第二介電材料層; 以圖案化的該第一介電材料第一層和該第二介電材料層做為一硬式罩幕層,移除部分該基板,以於該基板中形成一隔絕溝槽;於該隔絕溝槽中形成一隔絕結構,以定義出該基板的一主動區;全面性形成一第一介電材料第二層;於該主動區內的該第一介電材料第二層上形成一罩幕圖案,以在該主動區內定義一場板區;進行一蝕刻製程,移除未被該罩幕圖案覆蓋的該第一介電材料第二層,以形成一第一介電材料第二層圖案,其中該第二介電材料層做為該蝕刻製程的一蝕刻停止層;以及移除未被該第一介電材料第二層圖案覆蓋的圖案化的該第一介電材料第一層和該第二介電材料層,以於該場板區內的該基板上形成一段差閘極介電結構。 A method of fabricating a semiconductor device, comprising the steps of: providing a substrate; sequentially forming a first dielectric material layer and a second dielectric material layer on a surface of the substrate; patterning the first dielectric material a first layer and the second layer of dielectric material; The first layer of the first dielectric material and the second layer of dielectric material are patterned as a hard mask layer, and a portion of the substrate is removed to form an isolation trench in the substrate; Forming an isolation structure in the trench to define an active region of the substrate; forming a second layer of the first dielectric material in a comprehensive manner; forming a mask on the second layer of the first dielectric material in the active region a pattern to define a plate region in the active region; performing an etching process to remove the second layer of the first dielectric material not covered by the mask pattern to form a second layer pattern of the first dielectric material Wherein the second layer of dielectric material acts as an etch stop layer of the etch process; and removing the patterned first layer of the first dielectric material that is not covered by the second layer of the first dielectric material and The second layer of dielectric material forms a differential gate dielectric structure on the substrate in the field plate region. 如申請專利範圍第11項所述之半導體裝置的製造方法,形成該第一介電材料第二層之前更包括:於該場板區及該隔絕結構之間的該主動區內形成一第一摻雜區,其中該第一摻雜區的導電類型與該主動區內的該基板的導電類型相反。 The method of manufacturing the semiconductor device of claim 11, further comprising: forming a first region in the active region between the field plate region and the isolation structure before forming the second layer of the first dielectric material a doped region, wherein a conductivity type of the first doped region is opposite to a conductivity type of the substrate in the active region. 如申請專利範圍第11項所述之半導體裝置的製造方法,形成該段差閘極介電結構之後更包括:於該主動區內的該基板上形成一閘極結構,其中該閘極結構從該第一摻雜區延伸覆蓋至該段差閘極介電結構。 The manufacturing method of the semiconductor device of claim 11, after forming the stepped gate dielectric structure, further comprising: forming a gate structure on the substrate in the active region, wherein the gate structure is from the gate structure The first doped region extends to cover the segmented gate dielectric structure. 如申請專利範圍第11項所述之半導體裝置的製造方法,形 成該隔絕結構包括:於該隔絕溝槽的一側壁上形成襯層;進行一高密度電漿化學氣相沉積製程,在該硬式罩幕層上形成一介電材料,並填入該隔絕溝槽;以及進行一化學機械研磨製程,去除該硬式罩幕層的該第二介電材料層上多餘的該介電材料,以於該隔絕溝槽中形成該隔絕結構,其中該隔絕結構的一頂面對齊於該第二介電材料層的一頂面。 A method of manufacturing a semiconductor device according to claim 11, wherein Forming the insulating structure includes: forming a liner on a sidewall of the insulating trench; performing a high-density plasma chemical vapor deposition process, forming a dielectric material on the hard mask layer, and filling the insulating trench And performing a chemical mechanical polishing process to remove excess dielectric material on the second dielectric material layer of the hard mask layer to form the isolation structure in the isolation trench, wherein the isolation structure is The top surface is aligned with a top surface of the second layer of dielectric material. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中該段差閘極介電結構為一氧化物-氮化物-氧化物複合結構。 The method of fabricating a semiconductor device according to claim 11, wherein the stepped gate dielectric structure is an oxide-nitride-oxide composite structure. 如申請專利範圍第15項所述之半導體裝置的製造方法,其中該第一介電材料第一層為一墊氧化層,該第一介電材料第二層為一高溫氧化層,且該第二介電材料層為一氮化矽層。 The method of manufacturing the semiconductor device of claim 15, wherein the first layer of the first dielectric material is a pad oxide layer, the second layer of the first dielectric material is a high temperature oxide layer, and the The second dielectric material layer is a tantalum nitride layer. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中該段差閘極介電結構的厚度不等於該隔絕結構的厚度。 The method of fabricating a semiconductor device according to claim 11, wherein the thickness of the stepped gate dielectric structure is not equal to the thickness of the insulating structure. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中該段差閘極介電結構的寬度小於或等於該隔絕結構的寬度。 The method of fabricating a semiconductor device according to claim 11, wherein a width of the stepped gate dielectric structure is less than or equal to a width of the insulating structure. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中該第一介電材料第一層的厚度小於該第一介電材料第二層的厚度。 The method of fabricating a semiconductor device according to claim 11, wherein a thickness of the first layer of the first dielectric material is less than a thickness of the second layer of the first dielectric material. 如申請專利範圍第12項所述之半導體裝置的製造方法,形 成該第一介電材料第二層之前更包括:於該主動區內的該基板內形成一第二摻雜區,其中該第二摻雜區包圍該第一摻雜區,且其中該第一摻雜區與該第二摻雜區具有相反的導電類型;以及於該主動區外側的該基板內形成一第三摻雜區,其中該第三摻雜區環繞該隔絕結構,且其中該第一摻雜區與該第三摻雜區具有相同的導電類型。 A method of manufacturing a semiconductor device according to claim 12, wherein Before forming the second layer of the first dielectric material, further comprising: forming a second doping region in the substrate in the active region, wherein the second doping region surrounds the first doping region, and wherein the a doped region and the second doped region have opposite conductivity types; and a third doped region is formed in the substrate outside the active region, wherein the third doped region surrounds the isolation structure, and wherein the doped region The first doped region and the third doped region have the same conductivity type.
TW102113888A 2013-04-19 2013-04-19 Semiconductor device and method for forming the same TWI517263B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102113888A TWI517263B (en) 2013-04-19 2013-04-19 Semiconductor device and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102113888A TWI517263B (en) 2013-04-19 2013-04-19 Semiconductor device and method for forming the same

Publications (2)

Publication Number Publication Date
TW201442120A true TW201442120A (en) 2014-11-01
TWI517263B TWI517263B (en) 2016-01-11

Family

ID=52422989

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102113888A TWI517263B (en) 2013-04-19 2013-04-19 Semiconductor device and method for forming the same

Country Status (1)

Country Link
TW (1) TWI517263B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853145B1 (en) 2016-10-04 2017-12-26 Vanguard International Semiconductor Corporation High-voltage semiconductor device and method of manufacturing the same
TWI618241B (en) * 2016-05-04 2018-03-11 世界先進積體電路股份有限公司 High voltage semiconductor device and method of manufacturing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI618241B (en) * 2016-05-04 2018-03-11 世界先進積體電路股份有限公司 High voltage semiconductor device and method of manufacturing the same
US9853145B1 (en) 2016-10-04 2017-12-26 Vanguard International Semiconductor Corporation High-voltage semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
TWI517263B (en) 2016-01-11

Similar Documents

Publication Publication Date Title
US9362372B2 (en) Semiconductor device with a step gate dielectric structure
US10868126B2 (en) Semiconductor device
TWI672815B (en) Metal-oxide-semiconductor transistor and method of forming gate layout
TWI484567B (en) Semiconductor structure and method for fabricating the same
US7888734B2 (en) High-voltage MOS devices having gates extending into recesses of substrates
US10879389B2 (en) Semiconductor device capable of high-voltage operation
CN107516668B (en) Semiconductor device and method for manufacturing the same
KR102279470B1 (en) Using mask layers to facilitate the formation of self-aligned contacts and vias
US11676869B2 (en) Semiconductor device and manufacturing method thereof
US20230061158A1 (en) Semiconductor Device with Multi-Layer Dielectric and Methods of Forming the Same
TW201933542A (en) Devices with lower resistance and improved breakdown and method for producing the same
US9362398B2 (en) Low resistance LDMOS with reduced gate charge
US9130033B2 (en) Semiconductor device and method for fabricating the same
TW201624712A (en) Epitaxial structure and process thereof for forming fin-shaped field effect transistor
TWI517263B (en) Semiconductor device and method for forming the same
US8466019B2 (en) Semiconductor device and bipolar-CMOS-DMOS
CN110690116A (en) Semiconductor structure and manufacturing method thereof
TW201448120A (en) Semiconductor device and fabrication method thereof
JP2003289141A (en) Semiconductor device
US20230299164A1 (en) Semiconductor structure and method for forming the same
US10644166B2 (en) Method for forming semiconductor structure
TWI684209B (en) Semiconductor structure and method for fabricating the same
KR20140028981A (en) Semiconductor device and manufacturing method of the same