TW201414712A - Photoacid generator and preparing method thereof and resist composition and compound comprising same - Google Patents

Photoacid generator and preparing method thereof and resist composition and compound comprising same Download PDF

Info

Publication number
TW201414712A
TW201414712A TW102136136A TW102136136A TW201414712A TW 201414712 A TW201414712 A TW 201414712A TW 102136136 A TW102136136 A TW 102136136A TW 102136136 A TW102136136 A TW 102136136A TW 201414712 A TW201414712 A TW 201414712A
Authority
TW
Taiwan
Prior art keywords
group
chemical formula
carbon atoms
integer
photoacid generator
Prior art date
Application number
TW102136136A
Other languages
Chinese (zh)
Other versions
TWI472509B (en
Inventor
Hyun-Sang Joo
Sam-Min Kim
Joon-Hee Han
Chang-Wan Bae
Hyun-Soon Lim
Original Assignee
Korea Kumho Petrochem Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Korea Kumho Petrochem Co Ltd filed Critical Korea Kumho Petrochem Co Ltd
Publication of TW201414712A publication Critical patent/TW201414712A/en
Application granted granted Critical
Publication of TWI472509B publication Critical patent/TWI472509B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

The present invention involves an photoacid generator which suppresses diffusion of acid to decrease line edge roughness while increasing acid yield to improve photosensitivity significantly, especially in formation of photographic pattern by utilizing EUV, and a composition comprising the same. The photoacid generator is shown as formula 1 below: In the formula 1, each of substituents is defined as the specification.

Description

光酸發生劑及含有該光酸發生劑的抗蝕劑組合物 Photoacid generator and resist composition containing the photoacid generator

本發明涉及抑制酸擴散而能夠減少線邊緣粗糙度,同時增加酸產率而顯著增加抗蝕劑的敏感度的新型光酸產生劑及含有該光酸產生劑的抗蝕劑組成物。 The present invention relates to a novel photoacid generator capable of suppressing acid diffusion and capable of reducing line edge roughness while increasing acid yield and remarkably increasing the sensitivity of a resist, and a resist composition containing the photoacid generator.

近來,微影(lithography)技術中的ArF浸沒式(immersion),即依賴浸液式微影技術的HVM(批量生產,high volumn manufacturing)正在蓬勃發展,實現50nm以下線寬的技術正主要地被開發。此外,作為最有望成為下一代光科技術的候選技術-使用EUV(遠紅外,extreme UV)的微影技術正備受關注。 Recently, ArF immersion in lithography technology, that is, HVM (high volume manufacturing) which is dependent on immersion lithography, is booming, and technology for achieving line widths below 50 nm is being mainly developed. . In addition, as the candidate technology that is expected to become the next-generation optical technology, the lithography technology using EUV (extreme infrared) is receiving much attention.

EUV微影技術是主要是為實現30nm以下的圖案而研究的新一代技術,目前除了能源動力或罩幕中發生的缺陷(defect)之外,在各個方面都預期可以成為商品化,國際半導體技術發展藍圖(International Technology Roadmap for Semiconductors)預測在2015年左右將實現使用這一技術的HVM。 EUV lithography is a new generation of technology that is mainly researched to achieve patterns below 30 nm. In addition to defects in energy power or masks, it is expected to be commercialized in all aspects. International semiconductor technology The International Technology Roadmap for Semiconductors predicts that HVM will be implemented using this technology around 2015.

但是,從EUV微影技術的抗蝕劑側面來看,為了達到如KrF或ArF的技術成功,還有很多需要解決的問題存在。其中包括體現圖案所必須的光子(photon)不足及伴隨該問題導致的各種問題。與KrF或ArF微影技術不同,EUV的光被所有物質吸收,因此光的路徑需在真空狀態下進行,罩幕也需要使用不具有透光性的多層薄膜,通過反射照射到抗蝕劑。從而,在此過程中需要高能動力源(Power source),對抗蝕劑需要盡可能高的光感特性。 However, from the side of the resist of EUV lithography, in order to achieve technical success such as KrF or ArF, there are still many problems to be solved. These include the lack of photon necessary to embody the pattern and the problems associated with the problem. Unlike KrF or ArF lithography, EUV light is absorbed by all materials, so the path of light needs to be carried out under vacuum. The mask also needs to use a multilayer film that does not have translucency, and is irradiated to the resist by reflection. Thus, a high energy source is required in this process, requiring as high a light sensitivity as possible for the resist.

從而,為了解決如上所述的通過用少量的光子導致的低酸產率(acid yield)要實現圖案的問題,正在大量開發在同一光感性的條件下能夠顯示出高酸產率的酸增值劑(acid amplifier)。 Thus, in order to solve the problem of achieving a pattern by low acid yield caused by a small amount of photons as described above, an acid value-increasing agent capable of exhibiting high acid yield under the same photo-sensing condition is being developed in large numbers. (acid amplifier).

現有技術文獻 Prior art literature

專利文獻1:韓國授權專利第1054485號(2011.07.29授權) Patent Document 1: Korean Patent No. 1054485 (authorized by 2011.07.29)

專利文獻2:韓國公開專利第2010-0064006號(2010.06.14公開) Patent Document 2: Korean Laid-Open Patent Publication No. 2010-0064006 (published on 2010.06.14)

專利文獻3:韓國公開專利第2011-0090825號(2011.08.10公開) Patent Document 3: Korean Laid-Open Patent Publication No. 2011-0090825 (published on 2011.08.10)

專利文獻4:韓國公開專利第2011-0095168號(2011.08.24公開) Patent Document 4: Korean Laid-Open Patent Publication No. 2011-0095168 (published on 2011.08.24)

本發明的目的在於提供抑制酸擴散而減少線邊緣粗糙度,同時增加酸產率而顯著提高抗蝕劑的光敏度,尤其是在利用遠紅外(EUV)微影的圖案形成時,能夠顯著提高抗蝕劑光敏度的光酸產生劑(photoacid generator,以下稱為PGA)。 It is an object of the present invention to provide a reduction in acid diffusion and a reduction in line edge roughness while increasing the acid yield and significantly increasing the photosensitivity of the resist, especially when patterning using far infrared (EUV) lithography can be significantly improved. A photoresist acid photoreceptor (hereinafter referred to as PGA).

本發明的另一目的在於提供含有所述光酸產生劑的抗蝕 劑組成物。 Another object of the present invention is to provide a resist containing the photoacid generator Agent composition.

為了達到上述目的,根據本發明一實施例的光酸產生劑是具有下述化學式1的結構的化合物: In order to achieve the above object, a photoacid generator according to an embodiment of the present invention is a compound having the structure of the following Chemical Formula 1:

在上述化學式1中,V1及V2各自獨立地為鹵素基;W1及W2各自獨立地為氫原子或鹵素基;X選自由伸烷基、伸烯基、NR'、S、O、CO及它們的組合組成的群組,所述R'為碳原子數為1-4的烷基;R1及R2各自獨立地為碳原子數為6-18的芳基,其中,1-5個氫原子選自由氟烷基、鹵素基、硝基、氰基、甲醯基及烷基羰基組成的群組中的一種以上的吸電子體取代或未取代;R3為氫或碳原子數為1-4的烷基;a為1-4的整數,b為0-5的整數,c為1-3的整數,d為1-3的整數;以及A+為有機抗衡離子。 In the above Chemical Formula 1, V 1 and V 2 are each independently a halogen group; W 1 and W 2 are each independently a hydrogen atom or a halogen group; and X is selected from an alkyl group, an alkenyl group, NR', S, O. a group consisting of CO and a combination thereof, wherein R' is an alkyl group having 1 to 4 carbon atoms; and R 1 and R 2 are each independently an aryl group having 6 to 18 carbon atoms, wherein 1 - 5 or more hydrogen atoms selected from the group consisting of fluoroalkyl, halo, nitro, cyano, decyl and alkylcarbonyl are substituted or unsubstituted; R 3 is hydrogen or carbon An alkyl group having an atomic number of from 1 to 4; a is an integer from 1 to 4, b is an integer from 0 to 5, c is an integer from 1 to 3, d is an integer from 1 to 3; and A+ is an organic counter ion.

優選,X為羰基。 Preferably, X is a carbonyl group.

優選,V1及V2各自獨立地為氟基;W1及W2各自獨立地為氫原子或氟基;X為羰基;R1及R2各自獨立地為由選自三氟甲 基、氟基、氯基、硝基、氰基及乙醯基組成的群組中的吸電子體取代或未取代的碳原子數為6-30的芳基;R3為氫原子或甲基;a為1-3的整數,b為0-2的整數,c為1或2的整數,且d為1或2的整數。 Preferably, V 1 and V 2 are each independently a fluorine group; W 1 and W 2 are each independently a hydrogen atom or a fluorine group; X is a carbonyl group; and R 1 and R 2 are each independently selected from a trifluoromethyl group; An electron withdrawing group in the group consisting of a fluorine group, a chlorine group, a nitro group, a cyano group and an ethyl fluorenyl group; a substituted or unsubstituted aryl group having 6 to 30 carbon atoms; and R 3 is a hydrogen atom or a methyl group; An integer of 1-3, b is an integer of 0-2, c is an integer of 1 or 2, and d is an integer of 1 or 2.

所述化學式1中,陰離子部分為更優選選自由下述化學式4a-4f組成的群組。 In the Chemical Formula 1, the anion moiety is more preferably selected from the group consisting of the following Chemical Formulas 4a to 4f.

優選,A+為選自由硫鎓類、碘鎓類、磷鎓類、重氮鹽類、吡啶嗡類及醯亞胺類組成的群組的有機抗衡離子,更優選由下述化學式5a或5b表示的有機抗衡離子: Preferably, A+ is an organic counter ion selected from the group consisting of sulfoniums, iodoniums, phosphoniums, diazonium salts, pyridiniums and quinones, more preferably represented by the following chemical formula 5a or 5b Organic counter ions:

化學式5a及化學式5b中,X1、X2、Y1及Y2各自獨立地為選自氫原子、碳原子數為1-10的烷基、烯丙基、碳原子數為1-10的全氟烷基、苯甲基、碳原子數為6-30的芳基及它們的組合組成的群組中的一種;X1和X2及Y1和Y2可互相結合形成碳原子數為3-30的飽和或不飽和烴 環;且X3、X4、X5、Y3、Y4、及Y5各自獨立地為選自氫原子、碳原子數為1-30的烷基、鹵素基、碳原子數為1-30的烷氧基、碳原子數為6-30的芳基、硫代苯氧基、碳原子數為1-30的硫代烷氧基、碳原子數為1-20的烷氧基羰基甲氧基及它們的組合組成的群組中的一種。 In Chemical Formula 5a and Chemical Formula 5b, X 1 , X 2 , Y 1 and Y 2 are each independently selected from a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, an allyl group, and a carbon number of 1 to 10; a group consisting of a perfluoroalkyl group, a benzyl group, an aryl group having 6 to 30 carbon atoms, and a combination thereof; X 1 and X 2 and Y 1 and Y 2 may be bonded to each other to form a carbon atom. a saturated or unsaturated hydrocarbon ring of 3 to 30; and X 3 , X 4 , X 5 , Y 3 , Y 4 , and Y 5 are each independently selected from a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, a halogen group, an alkoxy group having 1 to 30 carbon atoms, an aryl group having 6 to 30 carbon atoms, a thiophenoxy group, a thioalkoxy group having 1 to 30 carbon atoms, and having a carbon number of One of the group consisting of 1-20 alkoxycarbonylmethoxy groups and combinations thereof.

更優選,A+為具有由下述化學式6a至化學式6v表示的結構的有機抗衡離子: More preferably, A+ is an organic counter ion having a structure represented by the following Chemical Formula 6a to Chemical Formula 6v:

更優選,化學式1的光酸產生劑為選自下述化學式1a至化學式1f的化合物組成的群組中: More preferably, the photoacid generator of Chemical Formula 1 is a group consisting of compounds of the following Chemical Formula 1a to Chemical Formula 1f:

根據本發明另一實施例,提供所述化學式1的光酸產生劑的製備方法,該方法包括:將下述化學式9和下述化學式10表示的化合物在鹼催化條件下進行反應的步驟 According to another embodiment of the present invention, there is provided a process for producing a photoacid generator of the chemical formula 1, which comprises the step of reacting a compound represented by the following Chemical Formula 9 and the following Chemical Formula 10 under a base catalysis condition

在化學式9及10中,V1及V2各自獨立地為鹵素基;W1及W2各自獨立地為氫原子或鹵素基;X選自伸烷基、伸烯基、NR'、S、O、CO及它們的組合組成的群組中,所述R'為氫或碳原子數為1-4的烷基;Y為鹵素基;R為氫原子中的1-5個由氟烷基、鹵素基、硝基、氰基、甲醯基、及烷基羰基組成的群組中選擇的一種以上吸電子體取代或未取代的碳原子數為6-18的芳基;R3為氫原子或碳原子數為1-4的烷基;a為1-4的整數,b為0-5的整數,c為1-3的整數,d為1-3的整數;A+為有機抗衡離子。 In Chemical Formulas 9 and 10, V 1 and V 2 are each independently a halogen group; W 1 and W 2 are each independently a hydrogen atom or a halogen group; and X is selected from an alkyl group, an alkenyl group, NR', S, In the group consisting of O, CO and a combination thereof, R' is hydrogen or an alkyl group having 1 to 4 carbon atoms; Y is a halogen group; and R is 1 to 5 of a hydrogen atom. a substituted or unsubstituted aryl group having 6 to 18 carbon atoms selected from the group consisting of halogen, nitro, cyano, decyl, and alkylcarbonyl; R 3 is hydrogen An atom or an alkyl group having 1 to 4 carbon atoms; a is an integer from 1 to 4, b is an integer from 0 to 5, c is an integer from 1 to 3, and d is an integer from 1 to 3; A+ is an organic counter ion .

根據本發明另一實施例,提供含有所述光酸產生劑的抗蝕劑組成物。 According to another embodiment of the present invention, a resist composition containing the photoacid generator is provided.

根據本發明的另一實施例,提供含有所述光酸產生劑的遠紅外微影用抗蝕劑組成物。 According to another embodiment of the present invention, a resist composition for far infrared lithography containing the photoacid generator is provided.

根據本發明另一實施例,提供下述化學式9表示的化合物: According to another embodiment of the present invention, there is provided a compound represented by the following Chemical Formula 9:

化學式9中各個取代基如上所述。 Each substituent in Chemical Formula 9 is as described above.

其他本發明的實施例的具體內容都包含在以下詳細說明中。 The details of other embodiments of the invention are included in the following detailed description.

根據本發明的光酸產生劑包含龐大(bulky)的陰離子部(anion moiety)而能夠抑制酸擴散,PEB(曝光後烘烤,post exposure baking)時每個分子產生1-3個酸,從而增加酸產率,此外,所述PEB時由於陰離子部的分解生成的含羥基化合物和酸形成氫鍵結合,抑制酸擴散,從而減少線邊緣粗糙度。特別是,酸產率增加效果優異,能夠顯著地提高EUV圖案材料的光敏度,結果所述光酸產生劑作為EUV微影抗蝕劑材料特別有用。 The photoacid generator according to the present invention contains a bulky anion moiety capable of suppressing acid diffusion, and PEB (post exposure baking) produces 1-3 acids per molecule, thereby increasing In addition, in the PEB, the hydroxyl group-containing compound formed by the decomposition of the anion portion forms a hydrogen bond with the acid to suppress acid diffusion, thereby reducing line edge roughness. In particular, the acid yield increasing effect is excellent, and the photosensitivity of the EUV pattern material can be remarkably improved, and as a result, the photoacid generator is particularly useful as an EUV lithography resist material.

圖1是合成例1中製備的化合物ii的1H NMR檢測圖。 1 is a 1H NMR chart of the compound ii prepared in Synthesis Example 1.

圖2是實施例2中製備的化合物vi的1H NMR檢測圖。 2 is a 1H NMR detection chart of the compound vi prepared in Example 2.

下面,詳細通過實施例詳細說明本發明。但,這些實施 例僅用於例示本發明,本發明的範圍不由這些實施例來限定,而是通過隨附的申請專利範圍的範圍來限定。 Hereinafter, the present invention will be described in detail by way of examples. But these implementations The examples are intended to be illustrative only, and the scope of the invention is not limited by the examples, but is defined by the scope of the appended claims.

在本說明書中沒有特別說明的情況下,鹵素基是指選自由氟、氯、溴及碘組成的群組中的一種。 In the case where there is no particular description in the specification, the halogen group means one selected from the group consisting of fluorine, chlorine, bromine and iodine.

在本說明書中沒有特別說明的情況下,烷基是指直鏈或支鏈的碳原子數為1-30的烷基,所述烷基包括一元烷基、二元烷基及三元烷基。所述烷基的具體實例有甲基、乙基、丙基、異丙基、丁基、異丁基、叔丁基等,但不限於此。 In the case where there is no particular description in the specification, the alkyl group means a linear or branched alkyl group having 1 to 30 carbon atoms, and the alkyl group includes a monoalkyl group, a dibasic alkyl group and a tribasic alkyl group. . Specific examples of the alkyl group are a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group, an isobutyl group, a t-butyl group and the like, but are not limited thereto.

在本說明書中沒有特別說明的情況下,環烷基是指碳原子數為3-30的環烷基,包括一環式、二環式、三環式、四環式。此外,包括金剛烷基、降冰片基及含有降冰片基的多環式環烷基。 In the case where there is no particular description in the specification, the cycloalkyl group means a cycloalkyl group having 3 to 30 carbon atoms, and includes a monocyclic, bicyclic, tricyclic or tetracyclic ring. Further, it includes an adamantyl group, a norbornyl group, and a polycyclic cycloalkyl group having a norbornyl group.

在本說明書中沒有特別說明的情況下,芳基是指包含苯環的化合物及其衍生物,例如,可以是苯環上連接側鏈的甲苯或二甲苯等;兩個以上的苯環通過單鍵結合的聯苯等;兩個以上的苯環通過環烷基或雜環烷基作為媒介結合的芴、氧雜蒽或蒽醌等;兩個以上的苯環縮合而成的萘或蒽等。在本說明書中沒有特別說明的情況下,所述芳基是指碳原子數為6-30的芳基。 In the case where there is no particular description in the specification, the aryl group means a compound containing a benzene ring and a derivative thereof, and for example, it may be toluene or xylene which are linked to a side chain of a benzene ring; and two or more benzene rings are passed through a single Bonded biphenyl, etc.; two or more benzene rings which are bonded via a cycloalkyl or heterocycloalkyl group as a medium, oxime, oxime, etc.; two or more benzene rings condensed by naphthalene or anthracene, etc. . In the case where there is no particular description in the specification, the aryl group means an aryl group having 6 to 30 carbon atoms.

在本說明書中所有化合物或取代基在沒有特別說明的情況下可以是被取代的或未被取代的。在此,被取代是指氫由選自鹵素原子、烷基、全氟烷基、全氟烷氧基、羥基、羧基、羰基、氰基、硝基、氨基、硫基、烷硫基、烷氧基、醯基、醛基、環烷基、雜環基、烯丙基、芳基、它們的衍生物及它們的組合組成的 群組中選擇的一種代替。 In the present specification, all compounds or substituents may be substituted or unsubstituted unless otherwise specified. Here, substituted means that hydrogen is selected from a halogen atom, an alkyl group, a perfluoroalkyl group, a perfluoroalkoxy group, a hydroxyl group, a carboxyl group, a carbonyl group, a cyano group, a nitro group, an amino group, a thio group, an alkylthio group, or an alkyl group. Oxygen, mercapto, aldehyde, cycloalkyl, heterocyclyl, allyl, aryl, derivatives thereof, and combinations thereof One of the selected ones in the group instead.

此外,在本說明書中「它們的組合」在沒有特別說明的情況下,是指兩個以上的取代基通過單鍵結合或連接基結合或兩個以上的取代基縮合連接的。 In addition, in the present specification, "the combination thereof" means that two or more substituents are condensed and bonded by a single bond or a linker bond or two or more substituents, unless otherwise specified.

本發明的特徵在於光酸產生劑的製備時包含龐大(bulky)的陰離子部(anion moiety)而能夠抑制酸擴散,PEB時每個分子產生1-3個酸,從而增加酸產率,此外,所述PEB時由於陰離子部的分解生成的含羥基化合物和酸形成氫鍵結合,抑制酸擴散,從而減少線邊緣粗糙度。 The present invention is characterized in that the photoacid generator contains a bulky anion moiety at the time of preparation to inhibit acid diffusion, and 1-3 acids per molecule in PEB, thereby increasing acid yield, and further, In the PEB, the hydroxyl group-containing compound formed by the decomposition of the anion portion forms a hydrogen bond with the acid to suppress acid diffusion, thereby reducing line edge roughness.

即,根據本發明一實施例的光酸產生劑是包含陰離子部及具有其有機抗衡離子的陽離子部的鎓鹽類化合物,可以以下述化學式1表示: That is, the photoacid generator according to an embodiment of the present invention is an onium salt compound containing an anion portion and a cationic portion having an organic counter ion thereof, and can be represented by the following Chemical Formula 1:

化學式1的陰離子部中,V1及V2各自獨立地為鹵素基,優選各自獨立地為氟基。 In the anion portion of Chemical Formula 1, each of V 1 and V 2 is independently a halogen group, and each of them is preferably a fluorine group.

W1及W2各自獨立地為氫原子或鹵素基,優選各自獨立地為氫原子或氟基。 W 1 and W 2 are each independently a hydrogen atom or a halogen group, and are each preferably independently a hydrogen atom or a fluorine group.

X為伸烷基、伸烯基、NR'、S、O、CO、及它們的組合組成的群組中,此時,所述R'為氫原子或碳原子數為1-4的烷基。 X優選為羰基(CO)。 X is a group consisting of an alkyl group, an alkenyl group, NR', S, O, CO, and a combination thereof, in which case, R' is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms. . X is preferably a carbonyl group (CO).

R1及R2各自獨立地為氫原子中的1-5個由選自氟烷基、鹵素基、硝基、氰基、甲醯基及烷基羰基組成的群組中的一種以上的吸電子體取代或未取代的碳原子數為6-18的芳基;優選選自下述化學式2a-2e組成的群組中: R 1 and R 2 are each independently one or more of 1-5 of a hydrogen atom selected from the group consisting of a fluoroalkyl group, a halogen group, a nitro group, a cyano group, a decyl group, and an alkylcarbonyl group. The electron-substituted or unsubstituted aryl group having 6 to 18 carbon atoms; preferably selected from the group consisting of the following chemical formulas 2a to 2e:

化學式2a-2e中,R11、R12、R13及R14各自獨立地為選自氟烷基、鹵素基、硝基、氰基、甲醯基、及烷基羰基組成的群組中的吸電子體,優選選自三氟甲基、氟基、氯基、硝基、氰基及乙醯基組成的群組中的吸電子體,另外,h為0-5的整數,i及p為0-3的整數,所述j、k及l各自獨立地為0-4的整數,n、o及q各自獨立地為0-2的整數,但0i+j5,0k+l+m5且0n+o+p+q5。 In Chemical Formula 2a-2e, R 11 , R 12 , R 13 and R 14 are each independently selected from the group consisting of a fluoroalkyl group, a halogen group, a nitro group, a cyano group, a decyl group, and an alkylcarbonyl group. The electron withdrawing body is preferably an electron withdrawing body selected from the group consisting of a trifluoromethyl group, a fluorine group, a chlorine group, a nitro group, a cyano group and an ethyl fluorenyl group, and h is an integer of 0-5, i and p An integer of 0-3, wherein j, k, and l are each independently an integer of 0-4, and n, o, and q are each independently an integer of 0-2, but 0 i+j 5,0 k+l+m 5 and 0 n+o+p+q 5.

更優選所述R1及R2各自獨立地為選自下述化學式3a-3d組成的群組中的吸電子體: More preferably, each of R 1 and R 2 is independently an electron-withdrawing body selected from the group consisting of the following chemical formulas 3a to 3d:

此外,化學式1中,R3為氫或碳原子數為1-4的烷基,優選氫或甲基。 Further, in Chemical Formula 1, R 3 is hydrogen or an alkyl group having 1 to 4 carbon atoms, preferably hydrogen or methyl.

此外,a為1-4的整數,b為0-5的整數,c為1-3的整數,且d為1-3的整數;優選,a為1-3的整數,b為0-2的整數,c為1或2的整數,且d為1或2的整數。 Further, a is an integer of 1-4, b is an integer of 0-5, c is an integer of 1-3, and d is an integer of 1-3; preferably, a is an integer of 1-3, and b is 0-2 An integer, c is an integer of 1 or 2, and d is an integer of 1 or 2.

優選,化學式1中的陰離子部分選自由下述化學式4a-至化學式4f組成的群組中: Preferably, the anion moiety in Chemical Formula 1 is selected from the group consisting of Chemical Formula 4a to Chemical Formula 4f:

另外,化學式1的陽離子部中,A為有機抗衡離子,具體為選自硫鎓類、碘鎓類、磷鎓類、重氮鹽類、吡啶嗡類及醯亞胺類組成的群組中的陽離子。 Further, in the cation portion of Chemical Formula 1, A is an organic counter ion, and is specifically selected from the group consisting of thioindigos, iodoniums, phosphoniums, diazonium salts, pyridiniums, and quinones. cation.

A+為硫鎓類有機抗衡離子時,A+優選為下述化學式5a或化學式5b表示的有機陽離子。 When A+ is a sulfonium-based organic counter ion, A+ is preferably an organic cation represented by the following Chemical Formula 5a or Chemical Formula 5b.

化學式5a及5b中,X1、X2、Y1及Y2各自獨立地為選自氫原子、碳原子數為1-10的烷基、烯丙基、碳原子數為1-10的全氟烷基、苄基、碳原子數為6-30的芳基及它們的組合組成的群組中的一種,X1和X2 及Y1和Y2可以互相結合形成碳原子數為3-30的飽和或不飽和烴環。 In Chemical Formulas 5a and 5b, X 1 , X 2 , Y 1 and Y 2 are each independently selected from a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, an allyl group, and a total of 1 to 10 carbon atoms. One of a group consisting of a fluoroalkyl group, a benzyl group, an aryl group having 6 to 30 carbon atoms, and a combination thereof, X 1 and X 2 and Y 1 and Y 2 may be bonded to each other to form a carbon atom of 3 30 saturated or unsaturated hydrocarbon rings.

此外,X3、X4、X5、Y3、Y4及Y5各自獨立地為選自氫原子、碳原子數為1-30的烷基、鹵素基、碳原子數為1-30的烷氧基、碳原子數為6-30的芳基、硫代苯氧基、碳原子數為1-30的硫代烷氧基、碳原子數為1-20的烷氧基羰基甲氧基及它們的組合組成的群組中的任意一種。 Further, X 3 , X 4 , X 5 , Y 3 , Y 4 and Y 5 are each independently selected from a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, a halogen group, and a carbon number of 1 to 30. Alkoxy group, aryl group having 6 to 30 carbon atoms, thiophenoxy group, thioalkoxy group having 1 to 30 carbon atoms, alkoxycarbonylmethoxy group having 1 to 20 carbon atoms And any of the groups of their combinations.

更優選A+為具有下述化學式6a至化學式6v表示的結構的有機抗衡離子: More preferably, A + is an organic counter ion having a structure represented by the following Chemical Formula 6a to Chemical Formula 6v:

此外,A為碘鎓類有機抗衡離子的情況時,A優選為下述化學式7a或化學式7b表示的有機抗衡離子:[化學式7a] Further, when A is an iodonium-based organic counter ion, A is preferably an organic counter ion represented by the following Chemical Formula 7a or Chemical Formula 7b: [Chemical Formula 7a]

化學式7a及7b中,Z11-Z13及Z21-Z23各自獨立地為選自氫原子、碳原子數為1-10的烷基、烯丙基、碳原子數為1-10的全氟烷基、碳原子數為6-30的芳基及它們的組合組成的群組中的任意一種;Z14及Z24各自獨立地為選自鹵素基、碳原子數為1-30的烷基、碳原子數為1-30的烷氧基、碳原子數為6-30的芳基、硫代苯氧基、碳原子數為1-30的硫代烷氧基、碳原子數為1-20的烷氧基羰基甲氧基及它們的組合組成的群組中的任意一種。 In Chemical Formulas 7a and 7b, Z 11 -Z 13 and Z 21 -Z 23 are each independently selected from a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, an allyl group, and a total of 1 to 10 carbon atoms. Any one of a group consisting of a fluoroalkyl group, an aryl group having 6 to 30 carbon atoms, and a combination thereof; and Z 14 and Z 24 are each independently an alkyl group selected from a halogen group and having 1 to 30 carbon atoms. a group, an alkoxy group having 1 to 30 carbon atoms, an aryl group having 6 to 30 carbon atoms, a thiophenoxy group, a thioalkoxy group having 1 to 30 carbon atoms, and 1 carbon atom Any one of the group consisting of alkoxycarbonylmethoxy groups of -20 and combinations thereof.

更優選A+為具有下述化學式8a至化學式8i所示的結構的有機抗衡離子: More preferably, A + is an organic counter ion having a structure represented by the following Chemical Formula 8a to Chemical Formula 8i:

所述有機抗衡離子中更優選硫鎓類有機抗衡離子。 Among the organic counter ions, a sulfonium-based organic counter ion is more preferable.

更優選根據本發明的化學式1的光酸產生劑選自下述化學式1a至化學式1f所示的化合物組成的群組中: More preferably, the photoacid generator of Chemical Formula 1 according to the present invention is selected from the group consisting of the compounds represented by the following Chemical Formula 1a to Chemical Formula 1f:

具有上述結構的根據本發明一實施例的所述化學式1的光酸產生劑可以通過下述方法製備,該方法包括將下述化學式9的化合物和下述化學式10的化合物在鹼性催化劑條件下進行反應的步驟。 The photoacid generator of Chemical Formula 1 according to an embodiment of the present invention having the above structure can be produced by a method comprising the following compound of Chemical Formula 9 and a compound of the following Chemical Formula 10 under basic catalyst conditions; The step of carrying out the reaction.

化學式9和10中,A+、V1、V2、W1、W2、X、R3及a-d如前面所定義。 In Chemical Formulas 9 and 10, A+, V 1 , V 2 , W 1 , W 2 , X, R 3 and ad are as defined above.

R為氫原子中1-5個被吸電子體取代或未取代的碳原子數為6-30的芳基,如前面所定義的R2或R3相同,且Y為鹵素基,優選為氟基。 R is an aryl group having 6 to 30 carbon atoms which is substituted or unsubstituted by an electron withdrawing electron in the hydrogen atom, R 2 or R 3 as defined above, and Y is a halogen group, preferably fluorine. base.

下述反應式1示出根據本發明一實施例的光酸產生劑的製備的反應步驟。下述反應式1只是用於說明本發明的一例,但本發明並不限於此。 The following Reaction Scheme 1 shows a reaction step of preparation of a photoacid generator according to an embodiment of the present invention. The following Reaction Formula 1 is only an example for explaining the present invention, but the present invention is not limited thereto.

如反應式1所示,根據本發明的化學式1的光酸產生劑(1b)可以通過將化學式9的化合物9a與化學式10的磺醯鹵類化合物10a進行反應而製備,其中,化學式10的化合物是氫原子中1-5個被選自氟烷基、鹵素基、硝基、氰基、甲醯基及烷基羰基(為R-(CO)-,在這裏,R為碳原子數為1-8的烷基)組成的群組中的一種以上吸電子體取代或未取代的碳原子數為6-18的芳基。根據所述反應,化學式9中的二醇基與化學式10的包含被吸電子體取代或未取代的芳基的磺醯基進行反應。 The photoacid generator (1b) of Chemical Formula 1 according to the present invention can be produced by reacting the compound 9a of Chemical Formula 9 with the sulfonium halide compound 10a of Chemical Formula 10, as shown in Reaction Scheme 1, wherein the compound of Chemical Formula 10 One to five hydrogen atoms are selected from the group consisting of a fluoroalkyl group, a halogen group, a nitro group, a cyano group, a decyl group, and an alkylcarbonyl group (which is R-(CO)-, where R is a carbon number of 1 One or more electron withdrawing groups in the group consisting of -8 alkyl groups are substituted or unsubstituted aryl groups having 6 to 18 carbon atoms. According to the reaction, the diol group in Chemical Formula 9 is reacted with a sulfonyl group of Chemical Formula 10 containing an aryl group substituted or unsubstituted by an electron withdrawing group.

化學式9的化合物9a還可以通過將下述化學式11的化合物用對甲苯磺酸等酸處理來分解化學式11的化合物中的二噁烷基來製備,或者,也可以通過在甲醇等醇類溶劑中,將下述化學式11的化合物用離子交換樹脂(例如,DOWEXTM 50W(Merck Chemicals公司製造)、S-SepharoseTM、SP-SepharoseTM、S-SephadexTM、SP-SephadexTM、SP-ToyopearlTM 550C、SP-ToyopearlTM 550M、SP-ToyopearlTM 650C、SP-ToyopearlTM 650M、Trill Light TM SCR-B、Trill Light TM SCR-04等陽離子交換樹脂)處理的方法來製備。 The compound 9a of Chemical Formula 9 can also be produced by decomposing a dioxo group in the compound of Chemical Formula 11 by treating the compound of the following Chemical Formula 11 with an acid such as p-toluenesulfonic acid, or by using an alcohol solvent such as methanol. , the compound of chemical formula 11 below using an ion exchange resin (e.g., DOWEX TM 50W (manufactured Merck Chemicals Corporation), S-Sepharose TM, SP -Sepharose TM, S-Sephadex TM, SP-Sephadex TM, SP-Toyopearl TM 550C , SP-Toyopearl TM 550M, SP -Toyopearl TM 650C, SP-Toyopearl TM 650M, Trill Light TM SCR-B, Trill Light TM SCR-04 and the like to prepare a cation exchange resin) treatment method.

化學式11中,A+、V1、V2、W1、W2、X、R3及a-d如前面所定義,L1及L2各自獨立地為選自碳原子數為1-10的烷基、碳原子數為3-30的環烷基、碳原子數為3-30的芳基、碳原子數為1-10的烷氧基及它們的組合組成組中,優選各自獨立地為選自甲基、乙基、環丙基、苯基、甲氧基及乙氧基組成的群組中。 In Chemical Formula 11, A+, V 1 , V 2 , W 1 , W 2 , X, R 3 and ad are as defined above, and L 1 and L 2 are each independently selected from an alkyl group having 1 to 10 carbon atoms. a cycloalkyl group having 3 to 30 carbon atoms, an aryl group having 3 to 30 carbon atoms, an alkoxy group having 1 to 10 carbon atoms, and a combination thereof, preferably each independently selected from the group consisting of In the group consisting of methyl, ethyl, cyclopropyl, phenyl, methoxy and ethoxy.

化學式11的化合物還可以如下述反應式2所示,通過包括如下步驟的製備方法製備,該製備方法包括:將下述化學式11a的化合物(例如,三甲基二噁烷甲酸(trimethyl dioxane carboxylic acid)等)與化學式11b的羰基二咪唑(carbonyl diimidazole)進行反應製得下述化學式11c的化合物的步驟(步驟1);將上述步驟中製備的化學式11c的化合物與下述化學式11d的化合物(例如,1,1-二氟甲基-2-羥乙基磺酸等)進行反應製得下述化學式11e的化合物的步驟(步驟2);及將上述步驟中製備的化學式11e的化合物與下述化學式11f的化合物(例如,三苯基硫三氟甲烷磺酸鹽(triphenylsulfonium triflate)等)進行反應的步驟。此時,可以使用選自N-溴代丁二醯亞胺、碘化鉀、亞硫醯氯及它們的混合物組成的群組中的化合物來代替所述化學式11b的羰基二咪唑。 The compound of Chemical Formula 11 can also be produced by a production method comprising the following steps, as shown in the following Reaction Scheme 2, which comprises: a compound of the following Chemical Formula 11a (for example, trimethyl dioxane carboxylic acid) a step of reacting a compound of the following formula 11c with a carbonyl diimidazole of the formula 11b (step 1); a compound of the formula 11c prepared in the above step and a compound of the following formula 11d (for example) a step of preparing a compound of the following formula 11e by the reaction (1,1-difluoromethyl-2-hydroxyethylsulfonic acid, etc.); and a compound of the formula 11e prepared in the above step and the following A step of carrying out a reaction of a compound of Chemical Formula 11f (for example, triphenylsulfonium triflate or the like). At this time, a compound selected from the group consisting of N-bromodenimide, potassium iodide, sulfoxide, and a mixture thereof may be used instead of the carbonyldiimidazole of the chemical formula 11b.

[反應式2] [Reaction formula 2]

所述反應式2中,A+、V1、V2、W1、W2、R3、X、L1、L2及a-d如前面所定義;所述M+為選自Li+、Na+及K+組成的群組中的一種;所述Q1為咪唑基;所述Q2-為選自(OSO2CF3)-、(OSO2C4F9)-、(OSO2C8F17)-、(N(CF3)2)-、(N(C2F5)2)-、(N(C4F9)2)-、(C(CF3)3)-、(C(C2F5)3)-、(C(C4F9)3)-、F-、Cl-、Br-、I-、BF4-、AsF6-及PF6-組成的群組中的一種。 In the reaction formula 2, A+, V 1 , V 2 , W 1 , W 2 , R 3 , X, L 1 , L 2 and ad are as defined above; and the M+ is selected from the group consisting of Li+, Na+ and K+. One of the group; the Q 1 is an imidazolyl group; the Q 2 - is selected from the group consisting of (OSO 2 CF 3 )-, (OSO 2 C 4 F 9 )-, (OSO 2 C 8 F 17 )- , (N(CF 3 ) 2 )-, (N(C 2 F 5 ) 2 )-, (N(C 4 F 9 ) 2 )-, (C(CF 3 ) 3 )-, (C(C 2 ) F 5 ) 3 )-, (C(C 4 F 9 ) 3 )-, F-, Cl-, Br-, I-, BF 4 -, AsF 6 - and PF 6 - one of the group consisting of.

此時,所述反應式2中,化學式11b可以使用選自N-溴代丁二醯亞胺、碘化鉀、亞硫醯氯及它們的混合物組成的群組中的化合物來代替羰基二咪唑。將這些化合物與化學式11a的化合 物進行反應時,能夠製得Q1為選自氟、氯、溴及碘組成的群組中的鹵素基的化學式11c的化合物。 At this time, in the above Reaction Scheme 2, the chemical formula 11b may be a compound selected from the group consisting of N-bromosylimine, potassium iodide, sulfoxide, and a mixture thereof in place of the carbonyl diimidazole. When these compounds are reacted with the compound of Chemical Formula 11a, a compound of Chemical Formula 11c in which Q 1 is a halogen group selected from the group consisting of fluorine, chlorine, bromine and iodine can be obtained.

上述反應式1中,化學式10的化合物10a可以使用磺醯鹵類化合物,所述磺醯鹵類化合物包含氫原子中的1-5個由選自氟烷基、鹵素基、硝基、氰基、甲醯基及烷基羰基組成的群組中的一種以上的吸電子體取代或未取代的碳原子數為6-18的芳基,具體地,可以使用雙(三氟甲基苯磺醯氯)(bis trifluoromethyl benzene sulfonyl chloride)或三氟甲基苯磺醯氯(trifluoromethyl benzene sulfonyl chloride)。 In the above Reaction Scheme 1, the compound 10a of Chemical Formula 10 may be a sulfonium halide compound containing 1-5 of the hydrogen atoms selected from a fluoroalkyl group, a halogen group, a nitro group, and a cyano group. a substituted or unsubstituted aryl group having 6 to 18 carbon atoms in the group consisting of a methyl group and an alkylcarbonyl group, and specifically, bis(trifluoromethylbenzenesulfonate) may be used. (bis) bis trifluoromethyl benzene sulfonyl chloride or trifluoromethyl benzene sulfonyl chloride.

此外,所述鹼性催化劑可以使用選自三乙胺、二乙基胺、吡啶、二乙基異丙基胺及它們的組合組成的群組中的一種。 Further, the basic catalyst may be one selected from the group consisting of triethylamine, diethylamine, pyridine, diethylisopropylamine, and a combination thereof.

所述反應式1中的化學式9和化學式10的化合物反應時,為了所述兩種化合物都能耗盡從而提高反應效率,優選所述化學式9的化合物和所述化學式10的化合物以1:1至1:3的莫耳比進行反應。 When the compound of the chemical formula 9 and the chemical formula 10 in the reaction formula 1 is reacted, in order to deplete the both compounds to improve the reaction efficiency, it is preferred that the compound of the chemical formula 9 and the compound of the chemical formula 10 are 1:1. The reaction was carried out at a molar ratio of 1:3.

此外,所述化學式9的化合物和所述鹼性催化劑,為了縮短反應時間並容易地去除殘留鹼性催化劑,優選以1:1至1:4的莫耳比進行反應。 Further, in the compound of Chemical Formula 9 and the basic catalyst, in order to shorten the reaction time and easily remove the residual basic catalyst, it is preferred to carry out the reaction at a molar ratio of 1:1 to 1:4.

所述反應式1中的反應可以在反應溶劑中進行。具體地,所述反應溶劑可以使用選自酯類、醚類、內酯類、酮類、胺類、醇類及它們的組合組成的群組中,優選使用選自二氯甲烷、氯仿、二氯乙烷、乙腈、甲苯、二甲基甲醯胺、四氫呋喃、二甲基甲醯 胺、二甲基亞碸就它們的組合組成組中的一種。 The reaction in the reaction formula 1 can be carried out in a reaction solvent. Specifically, the reaction solvent may be selected from the group consisting of esters, ethers, lactones, ketones, amines, alcohols, and combinations thereof, preferably selected from the group consisting of dichloromethane, chloroform, and Ethyl chloride, acetonitrile, toluene, dimethylformamide, tetrahydrofuran, dimethylformamidine The amine, dimethyl hydrazine is one of the group consisting of them.

具體地,所述反應通過將所述化學式9的化合物和所述化學式10的化合物在所述反應溶劑中溶解製備反應混合物,然後將所述反應混合物進行攪拌的條件下,滴加所述鹼性催化劑的工序來進行。 Specifically, the reaction is carried out by dissolving the basic mixture of the compound of Chemical Formula 9 and the compound of Chemical Formula 10 in the reaction solvent to prepare a reaction mixture, and then stirring the reaction mixture, and adding the basicity The process of the catalyst is carried out.

此時,優選所述攪拌工序在20℃-120℃下實施1-4小時,有利於提高產物的收率,並形成最少的副產物。 At this time, it is preferred that the stirring step is carried out at 20 ° C to 120 ° C for 1-4 hours, which is advantageous for increasing the yield of the product and forming a minimum by-product.

所述反應混合物的反應終點(終止,quenching)後,所得的反應物按照通常的方法進行結晶,得到所述化學式1的光酸產生劑。 After the reaction end of the reaction mixture is quenched, the obtained reactant is crystallized according to a usual method to obtain the photoacid generator of the chemical formula 1.

按照上述製備方法利用所述化學式9的化合物能夠通過有效且簡便的方法製備出化學式1的光酸產生劑。 According to the above production method, the photoacid generator of Chemical Formula 1 can be produced by an efficient and simple method using the compound of Chemical Formula 9.

從而,根據本發明的另一實施例,還提供有用於製備化學式1的光酸產生劑的所述化學式9的化合物。 Thus, according to another embodiment of the present invention, there is further provided the compound of the Chemical Formula 9 for use in the preparation of the photoacid generator of Chemical Formula 1.

此外,通過所述製備方法製備的化學式1的光酸產生劑用於抗蝕劑時,在光照射後通過下述反應式3所示的機制,顯示出酸擴散抑制及酸產率增加的效果。 Further, when the photoacid generator of Chemical Formula 1 prepared by the above-described production method is used for a resist, it exhibits an effect of suppressing acid diffusion and increasing acid yield by a mechanism shown by the following Reaction Formula 3 after light irradiation. .

下述反應式3簡略示出根據本發明的光酸產生劑的隨著曝光的酸擴散減少效果。這僅用於說明本發明,本發明並不由此來限定。 The following Reaction Formula 3 schematically shows the effect of reducing the acid diffusion with exposure of the photoacid generator according to the present invention. This is only for the purpose of illustrating the invention, and the invention is not limited thereby.

[反應式3] [Reaction formula 3]

具體地,當本發明的光酸產生劑A受到光照射時,則就會通過陽離子分解形成酸B。此時,形成的酸B非常大(bulky)而擴散速度慢,結果能夠減少線邊緣粗糙度。 Specifically, when the photoacid generator A of the present invention is irradiated with light, acid B is formed by cation decomposition. At this time, the formed acid B is very bulky and the diffusion speed is slow, and as a result, the line edge roughness can be reduced.

此外,在抗蝕工序中進行曝光後烘烤(PEB:post exposure baking),所述酸B就會分解生成化合物C和兩個D。此時,所生成的C和兩個D化合物均作為酸起作用而酸的數量增加,結果在用於EUV抗蝕時可作為酸增值劑,從而改善低的酸產率(acid yield)。 Further, after performing post exposure baking (PEB) in the resist process, the acid B is decomposed to form compound C and two D. At this time, both the generated C and the two D compounds act as an acid and the amount of the acid increases, and as a result, it can be used as an acid value-enhancing agent when used for EUV corrosion, thereby improving low acid yield.

此外,所述化合物C如上所述作為酸起作用的同時,含有兩個羥基而能夠抑制氫鍵結合導致的酸擴散,從而進一步減少線邊緣粗糙度。 Further, the compound C acts as an acid as described above, and contains two hydroxyl groups to suppress acid diffusion caused by hydrogen bonding, thereby further reducing line edge roughness.

根據本發明的光酸產生劑適用於抗蝕劑時,由於分子量 大而無法大量使用,但是實際上在光照射後產生3個酸,少量使用也能夠解決EUV的小光子數引起的低酸產率及線邊緣粗糙度增加的問題。 The photoacid generator according to the present invention is suitable for use in a resist due to molecular weight It is too large to be used in a large amount, but in fact, three acids are generated after light irradiation, and a small amount of use can also solve the problem of low acid yield and increased line edge roughness caused by the small photon number of EUV.

從而,根據本發明另一實施例,提供含有所述光酸產生劑的抗蝕劑組成物,特別是提供EUV抗蝕劑用組成物。 Thus, according to another embodiment of the present invention, a resist composition containing the photoacid generator is provided, and in particular, a composition for an EUV resist is provided.

具體地,所述抗蝕劑組成物含有所述光酸產生劑、原料聚合物及溶劑。 Specifically, the resist composition contains the photoacid generator, a base polymer, and a solvent.

所述光酸產生劑如前面所述相同,可單獨使用也可兩種以上混合使用。此外,相對於聚合物固體成分100重量份,所述光酸產生劑的含量為0.3-15重量份;優選0.5-10重量份;更優選2-10重量份。光酸產生劑的含量超過15重量份時,圖案的垂直性顯著降低;小於0.3重量份時,圖案的可塑度可能會降低。 The photoacid generators are the same as described above, and may be used singly or in combination of two or more. Further, the photoacid generator is contained in an amount of from 0.3 to 15 parts by weight, preferably from 0.5 to 10 parts by weight, more preferably from 2 to 10 parts by weight, per 100 parts by weight of the polymer solid component. When the content of the photoacid generator exceeds 15 parts by weight, the perpendicularity of the pattern is remarkably lowered; when it is less than 0.3 parts by weight, the plasticity of the pattern may be lowered.

所述抗蝕劑用原料聚合物只要是在形成抗蝕膜時用作原料樹脂的就可以使用,不受特別的限制。具體實例有,所述抗蝕劑用原料聚合物可以選自以下化合物組成的群組中:(甲基)丙烯酸酯聚合物;(α-三氟甲基)丙烯酸酯-馬來酸酐共聚物;環烯-馬來酸酐共聚物;聚降冰片烯;環烯的開環複分解反應得到的高分子化合物;環烯的開環複分解反應得到的聚合物添加氫而得到的高分子化合物;羥基苯乙烯和(甲基)丙烯酸酯的衍生物;選自苯乙烯(styrene)、乙烯萘酯、乙烯基蒽、乙烯基芘、羥基乙烯萘酯、羥基乙烯基蒽、茚(indene)、羥基茚、苊烯、降冰片二烯類中的任意一種共聚而得的高分子化合物;酚醛樹脂及它們的混合物。 The raw material polymer for a resist can be used as long as it is used as a raw material resin in forming a resist film, and is not particularly limited. Specific examples are that the raw material polymer for resist may be selected from the group consisting of: (meth) acrylate polymer; (α-trifluoromethyl) acrylate-maleic anhydride copolymer; a cycloolefin-maleic anhydride copolymer; a polynorbornene; a polymer compound obtained by ring-opening metathesis reaction of a cycloolefin; a polymer compound obtained by adding a hydrogen to a polymer obtained by ring-opening metathesis reaction of a cycloolefin; hydroxystyrene And a derivative of (meth) acrylate; selected from the group consisting of styrene, vinyl naphthalate, vinyl anthracene, vinyl anthracene, hydroxyvinyl naphthyl ester, hydroxyvinyl anthracene, indene, hydroxy anthracene, anthracene a polymer compound obtained by copolymerizing any one of an alkene and a norbornadiene; a phenol resin; and a mixture thereof.

相對於抗蝕劑組成物總重量,所述原料聚合物含有3-20重量%。所述聚合物的含量不足3重量%,組成物的黏度過低,無法形成所需厚度的薄膜,由於相對多的光酸產生劑而導致圖案損失(pattern loss)嚴重;超過20重量%,薄膜的厚度過厚,放射線的透過性降低,很難得到垂直圖案。 The base polymer contains 3 to 20% by weight based on the total weight of the resist composition. The content of the polymer is less than 3% by weight, the viscosity of the composition is too low to form a film of a desired thickness, and the pattern loss is severe due to a relatively large amount of the photoacid generator; more than 20% by weight, the film The thickness is too thick, the transmittance of radiation is lowered, and it is difficult to obtain a vertical pattern.

為了得到均勻和平坦的抗蝕劑塗覆膜,優選將所述聚合物和光酸產生劑溶解在具有適當的蒸發速度和黏性的溶劑中使用。本發明中可使用的溶劑有乙二醇單甲醚、乙二醇單乙醚、乙二醇單丙醚、醋酸甲氧乙酯、醋酸乙氧乙酯、丙二醇單甲醚醋酸酯、丙二醇單乙醚醋酸酯、丙二醇單丙醚醋酸酯等酯類;甲基異丙酮、環己酮、2-羥基丙酸甲酯、2-羥基丙酸乙酯、2-庚酮、乳酸乙酯、γ-丁內酯等酮類,可以選擇其中一種來單獨使用或選擇2種以上混合使用。 In order to obtain a uniform and flat resist coating film, it is preferred to dissolve the polymer and photoacid generator in a solvent having an appropriate evaporation rate and viscosity. Solvents which can be used in the present invention are ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, methoxyethyl acetate, ethoxyethyl acetate, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether. Esters such as acetate, propylene glycol monopropyl ether acetate; methyl isopropanone, cyclohexanone, methyl 2-hydroxypropionate, ethyl 2-hydroxypropionate, 2-heptanone, ethyl lactate, γ-butyl A ketone such as a lactone may be used alone or in combination of two or more.

所述溶劑可以根據溶劑的物理性質,即,揮發性、黏度等適當調整其使用量,以能夠形成均勻的抗蝕膜。 The solvent can be appropriately adjusted in accordance with physical properties of the solvent, that is, volatility, viscosity, and the like, so that a uniform resist film can be formed.

此外,本發明的抗蝕劑組成物為了達到提高塗覆性等的目的,可進一步含有添加劑。 Further, the resist composition of the present invention may further contain an additive for the purpose of improving coatability and the like.

所述添加劑只要是通常用於抗蝕劑組成物中的添加劑即可,沒有特別的限制,具體地可以是鹼溶解抑制劑、酸捕捉劑、表面活性劑等,可以包含其中一種添加劑或包含混合2種以上的添加劑。 The additive is not particularly limited as long as it is an additive generally used in a resist composition, and may specifically be an alkali dissolution inhibitor, an acid scavenger, a surfactant, etc., and may contain one or a mixture thereof. Two or more additives.

所述鹼溶解抑制劑只要是通常用於抗蝕劑組成物的鹼溶 解抑制劑均可以使用,具體地可以是苯酚或羧酸衍生物等。 The alkali dissolution inhibitor is as long as it is an alkali solution generally used for a resist composition Any inhibitor can be used, and specifically, it may be a phenol or a carboxylic acid derivative or the like.

所述酸捕捉劑能夠阻止由於光照射而由光酸產生劑產生的酸向抗蝕膜擴散時的擴散現象,並抑制未曝光部分的化學反應。使用這種酸捕捉劑能夠提高感光樹脂組成物的儲藏穩定性,同時進一步提高抗蝕劑的解析度,還能夠抑制曝光到顯像處理之間的時間(PED)變化導致的抗蝕圖的線寬變化。 The acid scavenger can prevent the diffusion phenomenon of the acid generated by the photoacid generator from diffusing to the resist film due to light irradiation, and suppress the chemical reaction of the unexposed portion. The use of such an acid scavenger can improve the storage stability of the photosensitive resin composition, and at the same time further improve the resolution of the resist, and can also suppress the line of the resist pattern caused by the change in time (PED) between exposure processes. Wide change.

如上所述的酸捕捉劑可以使用鹼性化合物,具體地,可以使用氨)、甲胺、異丙胺、正己胺、環戊胺、亞甲基二胺、乙二胺、二甲胺、二異丙胺、二乙基二胺、N,N-二甲基甲二胺、N,N-二甲基乙二胺、三甲胺、三乙胺、N,N,N',N'-四甲基亞甲二胺、N,N,N',N'-四甲基乙二胺、N,N,N',N'-四甲基四乙基五胺、二甲基乙胺、甲基乙基丙胺、苄胺、苯基乙基胺、苄基二甲胺、四甲基氫氧化銨、苯胺、N,N-二甲基甲苯胺、三苯胺(酸捕捉劑)、對苯二胺、吡咯、噁唑、異噁唑、噻唑、異異噻唑、咪唑、吡唑、吡咯啉、吡咯烷、咪唑啉衍生物、吡啶衍生物、噠嗪衍生物、嘧啶衍生物、吡嗪衍生物、吡唑啉衍生物、吡唑烷衍生物、呱啶衍生物、呱嗪衍生物、嗎啉等胺類;氨基苯甲酸、吲哚羧酸、氨基酸衍生物(例如,煙酸、丙氨酸、精氨酸、天冬氨酸等)、3-吡啶磺酸、對甲苯磺酸吡啶、2-羥基吡啶、氨基甲基苯酚、2,4-喹啉二醇、2-(2-羥乙基)吡啶、1-(2-羥乙基)呱啶等含氮化合物;甲醯胺、N-甲基甲醯胺、N,N-二甲基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、丙醯胺、苯甲醯胺等的醯胺衍生物;或鄰苯二甲醯亞胺、琥珀醯 亞胺、馬來醯亞胺等亞胺類衍生物。 As the acid scavenger as described above, a basic compound can be used, specifically, ammonia, methylamine, isopropylamine, n-hexylamine, cyclopentylamine, methylenediamine, ethylenediamine, dimethylamine, diiso) can be used. Propylamine, diethyldiamine, N,N-dimethyldiamine, N,N-dimethylethylenediamine, trimethylamine, triethylamine, N,N,N',N'-tetramethyl Methylenediamine, N,N,N',N'-tetramethylethylenediamine, N,N,N',N'-tetramethyltetraethylpentamine, dimethylethylamine, methyl b Propylamine, benzylamine, phenylethylamine, benzyldimethylamine, tetramethylammonium hydroxide, aniline, N,N-dimethyltoluidine, triphenylamine (acid scavenger), p-phenylenediamine, Pyrrole, oxazole, isoxazole, thiazole, isoisothiazole, imidazole, pyrazole, pyrroline, pyrrolidine, imidazoline derivative, pyridine derivative, pyridazine derivative, pyrimidine derivative, pyrazine derivative, pyridyl An oxazoline derivative, a pyrazolidine derivative, an acridine derivative, a pyridazine derivative, an amine such as morpholine; an aminobenzoic acid, an anthracene carboxylic acid, an amino acid derivative (for example, nicotinic acid, alanine, fine) Acid, aspartic acid, etc.), 3-pyridine sulfonic acid, p-toluenesulfonate Nitrogen-containing compounds such as pyridine, 2-hydroxypyridine, aminomethylphenol, 2,4-quinolinediol, 2-(2-hydroxyethyl)pyridine, 1-(2-hydroxyethyl)acridine; Amine, N-methylformamide, N,N-dimethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide, acetamide, benzo a guanamine derivative such as guanamine; or phthalimide, amber An imine derivative such as an imine or a maleimide.

相對於聚合物的固體成分含量100重量份,所述酸捕捉劑的含量為0.01-5重量份,優選0.1-1重量份。如果酸捕捉劑的含量小於0.01重量份,隨著曝光後的延遲時間影響越大,對圖案的形狀產生影響;如果大於5重量份,解析度和光敏度會降低。 The acid scavenger is contained in an amount of from 0.01 to 5 parts by weight, preferably from 0.1 to 1 part by weight, per 100 parts by weight of the solid content of the polymer. If the content of the acid scavenger is less than 0.01 parts by weight, the influence of the delay time after exposure is greater, which affects the shape of the pattern; if it is more than 5 parts by weight, the resolution and photosensitivity may be lowered.

所述表面活性劑是用於改善塗覆性及顯像性等,具體地可以是月桂醇聚氧乙烯醚(Polyoxyethylene lauryl ether)、聚氧乙烯硬脂醚(polyoxyethylene stearyl ether)、聚氧乙烯(polyoxyethylene)、聚乙二醇二月桂酸酯(polyethylene glycol dilaurate)等,但不限於此。 The surfactant is used for improving coating properties, developing properties, etc., and specifically may be polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene (polyoxyethylene) Polyoxyethylene), polyethylene glycol dilaurate, etc., but is not limited thereto.

具有如上所述的組成的本發明抗蝕劑組成物含有具有酸擴散抑制效果的光酸產生劑,在形成抗蝕膜時,能夠減少非曝光/曝光鄰接部位的酸擴散導致的線邊緣粗糙度。 The resist composition of the present invention having the composition as described above contains a photoacid generator having an acid diffusion suppressing effect, and can reduce line edge roughness caused by acid diffusion of non-exposure/exposure adjacent portions when a resist film is formed. .

下面,對本發明的實施例進行詳細說明,以使本領域技術人員能夠容易地實施。但本發明可以通過多種不同的形態實施,不限於在此說明的實施例。 Hereinafter, the embodiments of the present invention will be described in detail so as to be easily implemented by those skilled in the art. However, the invention may be embodied in a variety of different forms and is not limited to the embodiments described herein.

在圓底燒瓶中,將10g的化合物i溶解於100ml的二氯乙 烷中製備溶液,攪拌該溶液,利用油槽將反應溫度設置為55℃,在所述溶液中添加0.5g催化劑量的對甲苯磺酸,繼續攪拌3小時。利用1H NMR確定反應終點,得到7g的化合物ii。 In a round bottom flask, 10 g of the compound i was dissolved in 100 ml of dichloroethane to prepare a solution, the solution was stirred, the reaction temperature was set to 55 ° C using an oil bath, and 0.5 g of a catalyst amount of p-toluene was added to the solution. The sulfonic acid was stirred for 3 hours. The end point of the reaction was determined by 1 H NMR to give 7 g of compound ii.

1H NMR(CDCl3,內部標準:四甲基矽烷):(ppm)1.00(s,3H),3.6(d,2H),3.8(d,2H),4.65(t,2H),7.8(m,15H) 1 H NMR (CDCl 3 , internal standard: tetramethyl decane): (ppm) 1.00 (s, 3H), 3.6 (d, 2H), 3.8 (d, 2H), 4.65 (t, 2H), 7.8 (m) , 15H)

實施例1Example 1

將5g的所述合成例1中製備的化合物ii溶解在50ml的二氯乙烷中製備溶液,在該溶液中添加5.7g的雙(三氟甲基)苯磺醯氯化合物iii,在常溫下攪拌。此時,邊攪拌邊滴加1.7g鹼性催化劑三乙胺。 5 g of the compound ii prepared in the synthesis example 1 was dissolved in 50 ml of dichloroethane to prepare a solution, and 5.7 g of bis(trifluoromethyl)benzenesulfonium chloride compound iii was added to the solution at room temperature. Stir. At this time, 1.7 g of a basic catalyst triethylamine was added dropwise with stirring.

反應終點通過TLC及1H NMR來確認,反應終止後將所得反應物使用蒸餾水洗滌3次。所獲得的有機物進行減壓蒸餾去除溶劑,真空乾燥,得到5.5g的化合物iv。 The end of the reaction was confirmed by TLC and 1 H NMR. After the reaction was terminated, the obtained mixture was washed three times with distilled water. The obtained organic substance was subjected to distillation under reduced pressure to remove the solvent, and dried in vacuo to give 5.5 g of Compound iv.

1HNMR(CDCl3,內部標準:四甲基矽烷):(ppm)1.20(s,3H),3.6(d,2H),4.2(d,2H),4.6(t,2H),7.8(m,15H),8.0(s,2H),8.1(s,4H) 1 H NMR (CDCl 3 , internal standard: tetramethyl decane): (ppm) 1.20 (s, 3H), 3.6 (d, 2H), 4.2 (d, 2H), 4.6 (t, 2H), 7.8 (m, 15H), 8.0 (s, 2H), 8.1 (s, 4H)

實施例2Example 2

將5g的所述合成例1中製備的化合物ii溶解在50ml的二氯乙烷中製備溶液,在該溶液中添加4.5g的三氟甲基苯磺氯化合物v,在常溫下攪拌。此時,邊攪拌邊滴加1.7g鹼性催化劑三乙胺(triethylamine)。 5 g of the compound ii prepared in the synthesis example 1 was dissolved in 50 ml of dichloroethane to prepare a solution, and 4.5 g of trifluoromethylbenzenesulfonate compound v was added to the solution, followed by stirring at normal temperature. At this time, 1.7 g of a basic catalyst triethylamine was added dropwise with stirring.

反應終點通過TLC及1H NMR來確認,反應終止後將所得反應物使用蒸餾水洗滌3次。所獲得的有機物進行減壓蒸餾去除溶劑,真空乾燥,得到6g的化合物vi。 The end of the reaction was confirmed by TLC and 1 H NMR. After the reaction was terminated, the obtained mixture was washed three times with distilled water. The obtained organic substance was subjected to distillation under reduced pressure to remove the solvent, and dried in vacuo to give 6 g of compound vi.

1H NMR(CDCl3,內部標準:四甲基矽烷):(ppm)1.20(s,3H),3.4(d,2H),3.8(d,2H),4.8(t,2H),7.7(d,4H),7.9(d,4H),7.6~8.0(s,15H) 1 H NMR (CDCl 3 , internal standard: tetramethyl decane): (ppm) 1.20 (s, 3H), 3.4 (d, 2H), 3.8 (d, 2H), 4.8 (t, 2H), 7.7 (d) , 4H), 7.9 (d, 4H), 7.6~8.0 (s, 15H)

實驗例Experimental example

利用所述實施例1和2中製備的光酸產生劑形成抗蝕圖,然後對所製備的抗蝕圖進行各種評估。 A resist pattern was formed using the photoacid generators prepared in the above Examples 1 and 2, and various evaluations were performed on the prepared resist pattern.

具體地,作為原料樹脂的下述化學式12所示的化合物 (Mw:8500g/mol,Mw/Mn:1.75,各重複單元的莫耳比(x:y:z:w)為1:1:1:1)100重量份、按照下表1中記載的含量的作為光酸產生劑的所示實施例1和2中製備的化合物及作為鹼性添加劑的0.5重量份的四甲基氫氧化銨(tetramethyl ammoniumhydroxide)溶解在1000重量份的丙二醇單乙醚醋酸酯(propyleneglycol monoethyl ether acetate,PGMEA)中,然後用0.2μm的膜篩檢程式過濾,製備了抗蝕劑組成物。 Specifically, a compound represented by the following Chemical Formula 12 as a raw material resin (Mw: 8500 g/mol, Mw/Mn: 1.75, molar ratio (x: y: z: w) of each repeating unit: 1:1: 1:1) 100 parts by weight, according to the content shown in Table 1 below The compound prepared in the illustrated Examples 1 and 2 as a photoacid generator and 0.5 part by weight of tetramethyl ammonium hydroxide as a basic additive were dissolved in 1000 parts by weight of propylene glycol monoethyl ether acetate ( In a propyleneglycol monoethyl ether acetate (PGMEA), a 0.2 μm membrane screening procedure was then used to prepare a resist composition.

製得的抗蝕劑組成物利用旋塗機(spinor)塗覆到基板上,在110℃下乾燥90秒,形成厚度為0.20μm的塗膜。所形成的塗膜使用ArF準分子雷射步進機(棱鏡數值孔徑:0.78)進行曝光後,在110℃下熱處理90秒。接著,使用2.38重量%的四甲基氫氧化銨水溶液進行40秒的顯影,洗滌乾燥形成抗蝕圖。 The obtained resist composition was applied onto a substrate by a spin coat, and dried at 110 ° C for 90 seconds to form a coating film having a thickness of 0.20 μm. The formed coating film was exposed to light using an ArF excimer laser stepper (prism numerical aperture: 0.78), and then heat-treated at 110 ° C for 90 seconds. Next, development was carried out for 40 seconds using a 2.38 wt% aqueous solution of tetramethylammonium hydroxide, followed by washing and drying to form a resist pattern.

對所製備的抗蝕圖進行各種評估,並將其結果在下表1中示出。 Various evaluations were performed on the prepared resist pattern, and the results are shown in Table 1 below.

下表1中的光敏度是將顯像後形成的0.10μm的線寬和間距(L/S)圖案以1比1的線寬形成的曝光量為最佳曝光量,並以該曝光量為光敏度時,顯像的最小圖案的尺寸作為解析度。 The photosensitivity in Table 1 below is the exposure amount formed by the line width and pitch (L/S) pattern of 0.10 μm formed after development at a line width of 1 to 1, which is the optimum exposure amount, and the exposure amount is In the case of photosensitivity, the size of the smallest pattern of development is taken as the resolution.

此外,針對LWR(線寬粗糙度,line width roughness),對顯像後形成的0.10μm的線寬和間距(L/S)圖案的粗糙度進行觀察,並測定了LWR(數值越小表示LWR越優異)。 Further, for LWR (line width roughness), the roughness of the line width and pitch (L/S) pattern of 0.10 μm formed after development was observed, and LWR was measured (the smaller the value, the LWR) The more excellent).

所述表1中的光酸產生劑的量是相對於100重量份原料樹脂的重量份值,相對於100重量份的光酸產生劑,添加30重量份的三乙胺作為基本淬滅劑(base quencher)進行評估。 The amount of the photoacid generator in Table 1 is a part by weight relative to 100 parts by weight of the raw material resin, and 30 parts by weight of triethylamine is added as a basic quencher with respect to 100 parts by weight of the photoacid generator ( Base quencher) for evaluation.

通過所述表1的結果可以知道,相比比較例1中製備的抗蝕劑組成物,製備實施例1-3中製備的抗蝕劑組成物的感光度、解析度及LWR特性顯著地優異。 As is apparent from the results of Table 1, the resist composition prepared in Example 1-3 was significantly superior in sensitivity, resolution, and LWR characteristics to the resist composition prepared in Comparative Example 1. .

以上對本發明的優選實施例進行了詳細說明,但是本發 明的申請專利範圍的範圍並不由此來限定,隨附的申請專利範圍中所定義的利用本發明的基本概念的各種變形計改良形態也落入本發明的權利範圍。 The preferred embodiment of the present invention has been described in detail above, but the present invention The scope of the invention is not limited by the scope of the invention, and various modified modifications of the basic concept of the invention as defined in the appended claims are also within the scope of the invention.

Claims (12)

一種光酸產生劑,如以下化學式1所示: 在化學式1中,V1及V2各自獨立地為鹵素基;W1及W2各自獨立地為氫原子或鹵素基;X選自由伸烷基、伸烯基、NR'、S、O、CO及它們的組合組成的群組中,R'為碳原子數為1-4的烷基;R1及R2各自獨立地為氫原子中的1-5個由選自氟烷基、鹵素基、硝基、氰基、甲醯基及烷基羰基組成的群組中的一種以上的吸電子體取代或未取代的碳原子數為6-18的芳基;R3為氫或碳原子數為1-4的烷基;a為1-4的整數,b為0-5的整數,c為1-3的整數,d為1-3的整數;以及A+為有機抗衡離子。 A photoacid generator, as shown in the following Chemical Formula 1: In Chemical Formula 1, V 1 and V 2 are each independently a halogen group; W 1 and W 2 are each independently a hydrogen atom or a halogen group; and X is selected from an alkyl group, an alkenyl group, NR', S, O, In the group consisting of CO and a combination thereof, R' is an alkyl group having 1 to 4 carbon atoms; and R 1 and R 2 are each independently 1 to 5 of a hydrogen atom selected from a fluoroalkyl group and a halogen. One or more electron withdrawing groups in the group consisting of a group consisting of a nitro group, a cyano group, a decyl group and an alkylcarbonyl group, substituted or unsubstituted aryl group having 6 to 18 carbon atoms; and R 3 is hydrogen or a carbon atom. A number of 1-4 alkyl groups; a is an integer from 1 to 4, b is an integer from 0 to 5, c is an integer from 1 to 3, d is an integer from 1 to 3; and A+ is an organic counterion. 如申請專利範圍第1項所述的光酸產生劑,其中,X為羰基。 The photoacid generator according to claim 1, wherein X is a carbonyl group. 如申請專利範圍第1項所述的光酸產生劑,其中,V1及V2各自獨立地為氟基;W1及W2各自獨立地為氫原子或氟基;X為羰基;R1及R2各自獨立地為選自由三氟甲基、氟基、氯基、硝 基、氰基及乙醯基組成的群組中的吸電子體取代或未取代的碳原子數為6-30的芳基;R3為氫原子或甲基;為1-3的整數,b為0-2的整數,c為1或2的整數,且d為1或2的整數。 The photoacid generator according to claim 1, wherein V 1 and V 2 are each independently a fluorine group; W 1 and W 2 are each independently a hydrogen atom or a fluorine group; X is a carbonyl group; R 1 And R 2 are each independently substituted or unsubstituted with an electron withdrawing group selected from the group consisting of a trifluoromethyl group, a fluorine group, a chloro group, a nitro group, a cyano group and an ethyl fluorenyl group, and the number of carbon atoms is 6-30. R 3 is a hydrogen atom or a methyl group; an integer of 1-3, b is an integer of 0-2, c is an integer of 1 or 2, and d is an integer of 1 or 2. 如申請專利範圍第1項所述的光酸產生劑,其中,化學式1中,陰離子部分為選自由下述化學式4a至化學式4f組成的群組中: (4e) (4f)。 The photoacid generator according to claim 1, wherein in the chemical formula 1, the anion moiety is selected from the group consisting of the following chemical formula 4a to chemical formula 4f: (4e) (4f). 如申請專利範圍第1項所述的光酸產生劑,其中,A+為選自由硫鎓類、碘鎓類、磷鎓類、重氮鹽類、吡啶嗡類及醯亞胺類組成的群組中的有機抗衡離子。 The photoacid generator according to claim 1, wherein A+ is a group selected from the group consisting of thioindigos, iodoniums, phosphoniums, diazonium salts, pyridiniums and quinones. Organic counter ions in the middle. 如申請專利範圍第1項所述的光酸產生劑,其中,A+為由下述化學式5a或化學式5b表示的有機抗衡離子: 化學式5a及化學式5b中,X1、X2、Y1及Y2各自獨立地為選自由氫原子、碳原子數為1-10的烷基、烯丙基、碳原子數為1-10的全氟烷基、苯甲基、碳原子數為6-30的芳基及它們的組合組成的群組中的一種;X1和X2及Y1和Y2可互相結合形成碳原子數為3-30的飽和或不飽和烴環;且X3、X4、X5、Y3、Y4及Y5各自獨立地為選自由氫原子、碳原子數為1-30的烷基、鹵素基、碳原子數為1-30的烷氧基、碳原 子數為6-30的芳基、硫代苯氧基(thiophenoxy)、碳原子數為1-30的硫代烷氧基(thioalkoxy)、碳原子數為1-20的烷氧基羰基甲氧基(alkoxycarbonylmethoxy)及它們的組合組成的群組中的一種。 The photoacid generator according to claim 1, wherein A+ is an organic counter ion represented by the following Chemical Formula 5a or Chemical Formula 5b: In Chemical Formula 5a and Chemical Formula 5b, X 1 , X 2 , Y 1 and Y 2 are each independently selected from a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, an allyl group, and a carbon number of 1 to 10; a group consisting of a perfluoroalkyl group, a benzyl group, an aryl group having 6 to 30 carbon atoms, and a combination thereof; X 1 and X 2 and Y 1 and Y 2 may be bonded to each other to form a carbon atom. a saturated or unsaturated hydrocarbon ring of 3 to 30; and X 3 , X 4 , X 5 , Y 3 , Y 4 and Y 5 are each independently selected from the group consisting of a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, and a halogen. a group, an alkoxy group having 1 to 30 carbon atoms, an aryl group having 6 to 30 carbon atoms, a thiophenoxy group, a thioalkoxy group having 1 to 30 carbon atoms And one of the group consisting of alkoxycarbonylmethoxy having 1 to 20 carbon atoms and a combination thereof. 如申請專利範圍第1項所述的光酸產生劑,其中,A+為具有由下述化學式6a至化學式6v表示的結構的有機抗衡離子: The photoacid generator according to claim 1, wherein A+ is an organic counter ion having a structure represented by the following Chemical Formula 6a to Chemical Formula 6v: 如申請專利範圍第1項所述的光酸產生劑,其中,所述光酸產生劑選自下述化學式1a至化學式1f的化合物組成的群組中: The photoacid generator according to claim 1, wherein the photoacid generator is selected from the group consisting of the following chemical formulas 1a to 1f: 一種下述化學式1的光酸產生劑的製備方法,包括:將下述化學式9和下述化學式10表示的化合物在鹼性催化劑條件下進行反應的步驟: 在化學式1、化學式9及化學式10中,V1及V2各自獨立地為鹵素基;W1及W2各自獨立地為氫原子或鹵素基;X選自由伸烷基、伸烯基、NR'、S、O、CO及它們的組合組成的群組中,R'為氫或碳原子數為1-4的烷基;Y為鹵素基;R、R1及R2各自獨立地為氫原子中的1-5個由氟烷基、鹵素基、硝基、氰基、甲醯基及烷基羰基組成的群組中選擇的一種以上吸電子體取代或未取代的碳原子數為6-18的芳基;R3為氫原子或碳原子數為1-4的烷基;a為1-4的整數,b為0-5的整數,c為1-3的整數,d為1-3的整數;以及A+為有機抗衡離子。 A process for producing a photoacid generator of the following Chemical Formula 1, comprising the steps of reacting a compound represented by the following Chemical Formula 9 and the following Chemical Formula 10 under a basic catalyst condition: In Chemical Formula 1, Chemical Formula 9, and Chemical Formula 10, V 1 and V 2 are each independently a halogen group; W 1 and W 2 are each independently a hydrogen atom or a halogen group; and X is selected from an alkyl group, an alkenyl group, and an NR group. In the group consisting of ', S, O, CO, and a combination thereof, R' is hydrogen or an alkyl group having 1 to 4 carbon atoms; Y is a halogen group; and R, R 1 and R 2 are each independently hydrogen. The number of substituted or unsubstituted carbon atoms of one or more electron-optic groups selected from the group consisting of 1-5 of a fluoroalkyl group, a halogen group, a nitro group, a cyano group, a decyl group and an alkylcarbonyl group is 6 An aryl group of -18; R 3 is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms; a is an integer of 1 to 4, b is an integer of 0 to 5, c is an integer of 1 to 3, and d is 1 An integer of -3; and A+ is an organic counterion. 一種抗蝕劑組成物,其特徵在於,含有如申請專利範圍第1項至第8項中的任一者所述的光酸產生劑。 A resist composition comprising the photoacid generator according to any one of claims 1 to 8. 一種遠紅外抗蝕用抗蝕劑組成物,其特徵在於,含有如 申請專利範圍第1項至第8項中的任一者所述的光酸產生劑。 A resist composition for far infrared resist, characterized in that it contains The photoacid generator according to any one of the items 1 to 8. 一種化合物,如下述化學式9所示: 在化學式9中,V1及V2各自獨立地為鹵素基;W1及W2各自獨立地為氫原子或鹵素基;X選自由伸烷基、伸烯基、NR'、S、O、CO及它們的組合組成的群組,R'為氫或碳原子數為1-4的烷基;R3為氫原子或碳原子數為1-4的烷基;a為1-4的整數,b為0-5的整數,c為1-3的整數,d為1-3的整數;及A+為有機抗衡離子。 A compound as shown in the following Chemical Formula 9: In Chemical Formula 9, V 1 and V 2 are each independently a halogen group; W 1 and W 2 are each independently a hydrogen atom or a halogen group; and X is selected from an alkyl group, an alkenyl group, NR', S, O, A group consisting of CO and a combination thereof, R' is hydrogen or an alkyl group having 1 to 4 carbon atoms; R 3 is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms; a is an integer of 1 to 4 , b is an integer from 0 to 5, c is an integer from 1 to 3, and d is an integer from 1 to 3; and A+ is an organic counter ion.
TW102136136A 2012-10-15 2013-10-07 Photoacid generator and preparing method thereof and resist composition and compound comprising same TWI472509B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120114212A KR101434659B1 (en) 2012-10-15 2012-10-15 Photoacid generator and resist composition comprising same

Publications (2)

Publication Number Publication Date
TW201414712A true TW201414712A (en) 2014-04-16
TWI472509B TWI472509B (en) 2015-02-11

Family

ID=50452967

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102136136A TWI472509B (en) 2012-10-15 2013-10-07 Photoacid generator and preparing method thereof and resist composition and compound comprising same

Country Status (3)

Country Link
KR (1) KR101434659B1 (en)
CN (1) CN103728834B (en)
TW (1) TWI472509B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10042251B2 (en) * 2016-09-30 2018-08-07 Rohm And Haas Electronic Materials Llc Zwitterionic photo-destroyable quenchers
JP7257149B2 (en) * 2018-01-19 2023-04-13 住友化学株式会社 Salt, acid generator, resist composition and method for producing resist pattern
KR102448080B1 (en) 2021-04-06 2022-09-27 인하대학교 산학협력단 Novel compounds and extreme ultraviolet photoresist composition containing the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1991585B (en) * 2005-12-27 2011-06-01 住友化学株式会社 Salt suitable for an acid generator and a chemically amplified corrosion resistant composition containing the same
JP5135791B2 (en) * 2005-12-27 2013-02-06 住友化学株式会社 Salt for acid generator of chemically amplified resist composition
CN101687781B (en) * 2007-02-15 2015-08-12 中央硝子株式会社 Compound for photoacid generator and use its anti-corrosion agent composition, pattern formation method
JP2010145425A (en) * 2007-03-30 2010-07-01 Fujifilm Corp Photosensitive resin composition, photosensitive film, method for forming pattern by using the photosensitive film, and printed board
KR100940915B1 (en) * 2008-03-13 2010-02-08 금호석유화학 주식회사 Acid generator for chemically amplified resist compositions
KR100973033B1 (en) * 2008-05-21 2010-07-30 금호석유화학 주식회사 Acid generating agent for chemically amplified resist compositions
KR20100031714A (en) * 2010-03-05 2010-03-24 금호석유화학 주식회사 Acid generating agent for chemically amplified resist compositions
KR101335313B1 (en) * 2010-06-25 2013-12-03 금호석유화학 주식회사 Compound, polymer comprising the same and resist protective film composition comprising the polymer
KR101172763B1 (en) * 2010-12-24 2012-08-09 금호석유화학 주식회사 Polymer, manufacturing method thereof and resist composition containing the same
KR101229312B1 (en) * 2011-01-03 2013-02-04 금호석유화학 주식회사 Sulfonium compound, photoacid generator, and mathod for manufacturing the same

Also Published As

Publication number Publication date
KR20140047895A (en) 2014-04-23
TWI472509B (en) 2015-02-11
CN103728834B (en) 2016-08-31
KR101434659B1 (en) 2014-08-28
CN103728834A (en) 2014-04-16

Similar Documents

Publication Publication Date Title
TW536549B (en) Novel onium salts, photoacid generators for photo resist compositions, photo resist compositions, and patterning process
TWI525071B (en) Novel compound
JP5111106B2 (en) Calix resorcinarene compound, photoresist substrate comprising the same, and composition thereof
TWI477495B (en) Photoacid generators and photoresists comprising same
WO2008029673A1 (en) Radiation-sensitive composition and process for producing low-molecular compound for use therein
TWI534531B (en) Resist composition, method of forming resist pattern, and polymeric compound
KR101357607B1 (en) Acid-amplifier having acetal group and photoresist composition including the same
KR102283998B1 (en) Resist composition and patterning process
TW200540569A (en) Chemically amplified positive resist composition and patterning process
TWI601730B (en) Resist composition, method of forming resist pattern, novel compound, and acid generator
TW201013333A (en) Resist processing method
WO2011118726A1 (en) Negative type resist composition, method for producing relief pattern using the resist composition, and electronic part using the resist composition
JP2019182813A (en) Composition and method for producing device using the same
JP2013142811A (en) Resist composition, method for forming resist pattern and novel compound
WO2022196258A1 (en) Onium salt, photoacid generator, composition, and method for producing device using same
TWI472509B (en) Photoacid generator and preparing method thereof and resist composition and compound comprising same
JPWO2008136372A1 (en) Photoresist base material and photoresist composition comprising the same
TWI519512B (en) Photoacid compound,photoacid generator and preparation method thereof,and resist composition comprising photoacid generator
US20150111155A1 (en) Resist composition, method of forming resist pattern, compound and polymeric compound
TW200405128A (en) Novel sulfonyldiazomethanes, photoacid generators, resist compositions, and patterning process
TW201235778A (en) Resist composition, and method of forming resist pattern
JP7249198B2 (en) ONIUM SALT, COMPOSITION, AND DEVICE MANUFACTURING METHOD USING THE SAME
JP2010159253A (en) Compound and photoresist composition
TW200918501A (en) Compounds and radiation-sensitive compositions
TWI427416B (en) Positive resist composition, method of forming resist pattern, polymeric compound, and compound