TW201306125A - Post-ash sidewall healing - Google Patents

Post-ash sidewall healing Download PDF

Info

Publication number
TW201306125A
TW201306125A TW100126021A TW100126021A TW201306125A TW 201306125 A TW201306125 A TW 201306125A TW 100126021 A TW100126021 A TW 100126021A TW 100126021 A TW100126021 A TW 100126021A TW 201306125 A TW201306125 A TW 201306125A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
low
patterned substrate
etching
Prior art date
Application number
TW100126021A
Other languages
Chinese (zh)
Inventor
zhen-jiang Cui
An-Chuan Wang
Mehul Naik
Nitin Ingle
Young Lee
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to TW100126021A priority Critical patent/TW201306125A/en
Publication of TW201306125A publication Critical patent/TW201306125A/en

Links

Abstract

Methods of decreasing the effective dielectric constant present between two conducting components of an integrated circuit are described. The methods involve the use of a gas phase etch which is selective towards the oxygen-rich portion of the low-K dielectric layer. The etch rate attenuates as the etch process passes through the relatively high-K oxygen-rich portion and reaches the low-K portion. The etch process may be easily timed since the gas phase etch process does not readily remove the desirable low-K portion.

Description

灰化後側壁修復Ashing rear sidewall repair

本案係關於一種製造半導體電子裝置的方法。This case relates to a method of manufacturing a semiconductor electronic device.

積體電路製造方法已經達到了一般在單個晶片上形成數億個電晶體的程度。每種新一代的製造技術和設備正在實現更小更快速的電晶體的商業性規模化生產,但是亦增加了製造更小更快速的電路元件的難度。電路元件尺寸的縮小(現在完全在50 nm閾值以下)已經致使晶片設計者尋找新的低電阻導電材料和新的低介電常數(即,低K)絕緣材料來提高(或簡單而言,保持)積體電路的電氣性能。Integrated circuit fabrication methods have reached the point where hundreds of millions of transistors are typically formed on a single wafer. Each new generation of manufacturing technology and equipment is enabling commercial scale production of smaller and faster transistors, but it also increases the difficulty of making smaller and faster circuit components. The shrinking of circuit component size (now completely below the 50 nm threshold) has led wafer designers to look for new low-resistance conductive materials and new low-k dielectric (ie, low-K) insulating materials to enhance (or simply maintain The electrical performance of the integrated circuit.

隨著每一區域電晶體數量的增加,寄生電容成為電晶體開關速率的顯著障礙。電容存在於積體電路內所有相鄰的電氣絕緣的導體之間,且不管導電部分是位於製造工藝流程的「前端」還是「後端」,電容都可限制開關速率。As the number of transistors in each region increases, the parasitic capacitance becomes a significant obstacle to the transistor switching rate. Capacitance is present between all adjacent electrically insulated conductors in the integrated circuit, and the capacitance limits the switching rate regardless of whether the conductive portion is at the "front end" or "back end" of the manufacturing process.

因而,需要新的技術和材料在相鄰導體之間形成低K材料。用於在導體之間提供低K分離的一類材料是氧化的有機矽烷膜,諸如Black DiamondTM膜,該等Black DiamondTM膜市場上可從美國加利福尼亞州的Santa Clara市的應用材料公司(Applied Materials,Inc.)獲得。該等膜具有比一般間隔材料(如矽氧化物和氮化物)低的介電常數(例如,大約3.5或更低)。遺憾的是,一些新的工藝涉及將低K膜暴露於可能提高有效介電常數的環境,限制了裝置性能。Thus, new technologies and materials are needed to form low K materials between adjacent conductors. One class of materials for providing a low K separation between the conductors are oxidized organosilane films, such as Black Diamond TM film, (Applied Materials from Santa Clara, California City, Applied Materials Black Diamond TM film on such market , Inc.) obtained. The films have a lower dielectric constant (e.g., about 3.5 or less) than typical spacer materials such as tantalum oxides and nitrides. Unfortunately, some new processes involve exposing low K films to environments that may increase the effective dielectric constant, limiting device performance.

因而,需要在低K膜暴露於該等環境之後保持較低的有效介電常數的新工藝。Thus, there is a need for new processes that maintain a low effective dielectric constant after exposure of the low K film to such environments.

本發明描述了用於降低存在於積體電路的兩個導電組件之間的有效介電常數的方法。該等方法涉及使用氣相蝕刻,該氣相蝕刻對於低K電介質層的富氧部分是選擇性的。當該蝕刻工藝穿過相對高K富氧部分到達低K部分時,蝕刻速率減弱。因為該氣相蝕刻工藝不容易移除所需的低K部分,所以很容易時間控制該蝕刻工藝。The present invention describes a method for reducing the effective dielectric constant present between two conductive components of an integrated circuit. The methods involve the use of a vapor phase etch that is selective for the oxygen-rich portion of the low-k dielectric layer. When the etching process passes through the relatively high K oxygen-rich portion to the low K portion, the etching rate is weakened. Since the vapor phase etching process does not easily remove the required low K portion, it is easy to time control the etching process.

本發明的實施例包括降低低K電介質材料的有效介電常數的方法,該低K電介質材料在圖案化基底上的兩個溝槽之間,該圖案化基底在基底處理區域中。該低K電介質材料形成該兩個溝槽的壁。該方法包括以下步驟:將該圖案化基底轉移到該基底處理區域中。該方法進一步包括以下步驟:對該圖案化基底進行氣相蝕刻,以藉由從該低K電介質材料移除外部電介質層來降低該低K電介質材料的平均介電常數。Embodiments of the invention include a method of reducing the effective dielectric constant of a low K dielectric material between two trenches on a patterned substrate in a substrate processing region. The low K dielectric material forms the walls of the two trenches. The method includes the step of transferring the patterned substrate into the substrate processing region. The method further includes the step of vapor phase etching the patterned substrate to reduce an average dielectric constant of the low K dielectric material by removing an outer dielectric layer from the low K dielectric material.

在下文的描述中將部分闡述本發明其他的實施例和特徵,且根據本說明書,部分對於本領域技藝人士而言將變得顯而易見,或者可從所揭示的實施例的實踐領會到。經由本說明書中描述的手段、組合和方法可實現和獲得所揭示實施例的特徵和優點。Other embodiments and features of the present invention will be set forth in part in the description. The features and advantages of the disclosed embodiments can be realized and attained by the <RTIgt;

本發明描述了用於降低在積體電路的兩個導電組件之間存在的有效介電常數的方法。該等方法涉及使用氣相蝕刻,該氣相蝕刻對於低K電介質層的富氧部分是選擇性的。隨著該蝕刻工藝穿過相對高K富氧部分而到達低K部分,蝕刻速率減弱。因為該氣相蝕刻工藝不容易移除所期望的低K部分,所以很容易時間控制該蝕刻工藝。氣相蝕刻優於液體緩衝氧化物蝕刻,尤其適於處理圖案化基底。與液體蝕刻劑相比,氣相蝕刻劑更容易從狹窄的結構移除。The present invention describes a method for reducing the effective dielectric constant present between two conductive components of an integrated circuit. The methods involve the use of a vapor phase etch that is selective for the oxygen-rich portion of the low-k dielectric layer. As the etch process passes through the relatively high K oxygen-rich portion to the low K portion, the etch rate decreases. Since the vapor phase etching process does not easily remove the desired low K portion, it is easy to time control the etching process. Vapor phase etching is superior to liquid buffered oxide etching and is particularly suitable for processing patterned substrates. Vapor etchants are easier to remove from narrow structures than liquid etchants.

本發明的實施例針對蝕刻圖案化基底上的低K材料的方法,以增加有效介電常數,由此提高裝置性能。可從本文提供的方法受益的示例性工藝流程涉及將兩個不同的光刻-蝕刻圖案轉移到基底。該等工藝可設計為對基底圖案化兩次,以在通路結構中獲得所期望的臺階,而不是一般的具有相對垂直壁的通路。該等工藝序列可要求用光阻劑塗布圖案化的基底,從而光阻劑穿透低K材料中的通路和其他間隙。移除光阻劑一般涉及灰化,亦即將該結構暴露於氧化前體。在移除填充間隙的光阻劑的同時,灰化步驟亦以增加低K材料的薄外層中的介電常數的方式改變了間隙的側壁。一些灰化涉及暴露於在等離子體中受激發的含氧化合物。在該等情形中,氧處理使低K材料的表面氧化,並且氧處理相對於碳含量增加了氧含量。為了使介電常數回歸到低K材料灰化前的水平,本文提出的方法移除了此層較薄的相對高K的材料。Embodiments of the present invention are directed to a method of etching a low K material on a patterned substrate to increase the effective dielectric constant, thereby improving device performance. An exemplary process flow that can benefit from the methods provided herein involves transferring two different lithographic-etching patterns to a substrate. The processes can be designed to pattern the substrate twice to achieve the desired steps in the via structure, rather than the generally vias having opposing vertical walls. Such process sequences may require the use of a photoresist to coat the patterned substrate such that the photoresist penetrates vias and other gaps in the low K material. Removal of the photoresist generally involves ashing, i.e., exposing the structure to an oxidizing precursor. While removing the gap-filled photoresist, the ashing step also changes the sidewalls of the gap in a manner that increases the dielectric constant in the thin outer layer of the low-K material. Some ashing involves exposure to oxygenates that are excited in the plasma. In such cases, the oxygen treatment oxidizes the surface of the low K material and the oxygen treatment increases the oxygen content relative to the carbon content. In order to return the dielectric constant to the level before the ashing of the low K material, the method proposed herein removes the relatively thin, relatively high K material of this layer.

為了更好地瞭解和理解本發明,現在參看圖1-2,圖1-2是根據所揭示的實施例,在處理過程中間隙的橫截面圖以及用於處理該等間隙的流程圖。圖1A中所示的結構是通過光刻-蝕刻-光刻-蝕刻序列產生的,在該光刻-蝕刻-光刻-蝕刻序列中,第二光刻-蝕刻步驟在低介電常數材料110-1中打開較寬溝槽。第二蝕刻僅穿透到達該溝槽底部的一部分通路,在低K材料110-1中留下臺階。該臺階的上方和下方是由低K材料形成的大致垂直的壁。在所揭示的實施例中,該等壁可能與圖1A-1B中所示的理論垂直線相偏離,但是該等壁可在偏離垂直的10°、5°或2°內。在第二蝕刻之後,一些光阻劑120保留在溝槽的底部,該等光阻劑120需要在間隙填充金屬之前被移除。當將圖案化基底轉移到處理室(操作步驟210)時,移除殘留的光阻劑120的工藝開始。將氧基(oxygen-radical)氣流導入灰化室(操作步驟215),並從溝槽內移除光阻劑。在圖1A圖示的實例中,包括了氮碳化矽(SiCN)層125-1,以保護低K材料110-1免於來自下層材料的金屬擴散。SiCN層125-1亦可經由氧基改性,從而移除溝槽底部處的部分SiCN,獲得圖案化的SiCN層125-2。示例性的SiCN層是BlokTM,該BlokTM可從美國加利福尼亞州的Santa Clara市的應用材料公司獲得。在一些實施例中存在SiCN層,而在其他實施例中不存在SiCN層。氧基氣流亦使低K材料110的壁氧化,此舉非期望地提高了靠近表面(溝槽的壁)的介電常數。示例性的低K材料是碳氧化矽(SiOC),且示例性的SiOC產品是同樣可從應用材料公司獲得的Black DiamondTM。忽略富氧(相對高K)表面層的形成並繼續用金屬沉積溝槽進行間隙填充會限制最終裝置的工作區(operational regime)。For a better understanding and understanding of the present invention, reference is now made to Figs. 1-2, which are cross-sectional views of a gap during processing and a flowchart for processing such gaps, in accordance with the disclosed embodiments. The structure shown in FIG. 1A is produced by a photolithography-etch-lithography-etch sequence in which a second photolithography-etching step is performed on the low dielectric constant material 110. Open a wider groove in -1. The second etch only penetrates a portion of the via that reaches the bottom of the trench leaving a step in the low K material 110-1. Above and below the step are substantially vertical walls formed of a low K material. In the disclosed embodiment, the walls may be offset from the theoretical vertical lines shown in Figures 1A-1B, but the walls may be within 10, 5 or 2 degrees from vertical. After the second etch, some of the photoresist 120 remains at the bottom of the trench, and the photoresist 120 needs to be removed before the gap fills the metal. When the patterned substrate is transferred to the processing chamber (operation 210), the process of removing the residual photoresist 120 begins. An oxygen-radical gas stream is introduced into the ashing chamber (operation 215) and the photoresist is removed from the trench. In the example illustrated in FIG. 1A, a lanthanum carbide niobium (SiCN) layer 125-1 is included to protect the low K material 110-1 from metal diffusion from the underlying material. The SiCN layer 125-1 may also be modified via an oxy group to remove a portion of the SiCN at the bottom of the trench to obtain a patterned SiCN layer 125-2. Exemplary SiCN layer is Blok TM, the Blok TM available from the city of Santa Clara, California, Applied Materials. In some embodiments there is a SiCN layer, while in other embodiments there is no SiCN layer. The oxygen gas stream also oxidizes the walls of the low K material 110, which undesirably increases the dielectric constant near the surface (the walls of the trench). Exemplary low K material is a silicon oxide carbon (SiOC), and exemplary SiOC same product available from Applied Materials Black Diamond TM. Ignoring the formation of an oxygen-rich (relatively high K) surface layer and continuing to fill the gap with the metal deposition trench will limit the operational regime of the final device.

使用以下的步驟,介電常數減弱的低K材料110可幾乎恢復到該低K材料110灰化前的水平。將圖案化的基底轉移到處理室的基底蝕刻區域,以進行進一步處理(操作步驟220)。將氨和三氟化氮的氣流引入到與處理區域分離的等離子體區域中(操作步驟222)。該分離的等離子體區域本文可稱作遠端等離子體區域,並且該分離的等離子體區域可以是與處理室不同的模組或者是處理室內的隔室。遠端等離子體流出物(遠端等離子體的產物)流進處理室並與基底表面反應(操作步驟225)。等離子體流出物的氣流與該表面反應,以產生固體殘餘物,該固體殘餘物包含來自等離子流出物的材料和來自起反應的低K材料110的壁的材料。在示例性的設備部分中,將提供有利於理解該工藝的詳細化學反應。然後,藉由將所述圖案化基底加熱至所述固體殘餘物的昇華點以上,來移除該固體殘餘物(操作步驟240)。藉由從該基底蝕刻區域移除該圖案化基底,完成了該工藝(操作步驟245),圖1B中圖示了最終的結構。Using the following steps, the low K material 110 with reduced dielectric constant can be restored to almost the level before the ashing of the low K material 110. The patterned substrate is transferred to a substrate etched region of the processing chamber for further processing (operational step 220). A stream of ammonia and nitrogen trifluoride is introduced into the plasma zone separated from the treatment zone (operation 222). The separated plasma region may be referred to herein as a remote plasma region, and the separated plasma region may be a different module than the processing chamber or a compartment within the processing chamber. The remote plasma effluent (product of the remote plasma) flows into the processing chamber and reacts with the surface of the substrate (operation 225). A gas stream of plasma effluent reacts with the surface to produce a solid residue comprising material from the plasma effluent and material from the wall of the reacting low K material 110. In an exemplary apparatus section, a detailed chemical reaction that facilitates understanding of the process will be provided. The solid residue is then removed by heating the patterned substrate above the sublimation point of the solid residue (operation 240). The process is completed by removing the patterned substrate from the substrate etched region (operation 245), and the final structure is illustrated in FIG. 1B.

外部電介質層的蝕刻速率大於在該外部電介質層內部的相對較低K的電介質材料的蝕刻速率。在本發明的實施例中,外部電介質層的氣相蝕刻速率比其餘低K電介質材料的蝕刻速率超出大於25、50或100的倍數。在實施例中,外部電介質層的厚度大約為150 或更小、大約為100 或更小,或者大約為50 或更小。The etch rate of the outer dielectric layer is greater than the etch rate of the relatively lower K dielectric material inside the outer dielectric layer. In an embodiment of the invention, the vapor phase etch rate of the outer dielectric layer exceeds the etch rate of the remaining low K dielectric material by more than a multiple of 25, 50 or 100. In an embodiment, the thickness of the outer dielectric layer is approximately 150 Or smaller, about 100 Or smaller, or about 50 Or smaller.

剛剛描述的示例性工藝是SiConiTM蝕刻系列的一部分,該SiConiTM蝕刻系列一般涉及同時存在含氟前體和含氫前體的氣流。在不同的實施例中,含氟前體包括三氟化氮、氟化氫、雙原子氟、單原子氟和氟取代的碳氫化合物或者上述材料的組合物。在不同的實施例中,含氫前體包括原子氫、雙原子氫、氨、碳氫化合物、鹵素不完全取代的碳氫化合物或上述材料的組合物。為了簡便起見,本文包含的一些討論可能參照了示例性SiConiTM蝕刻,該示例性SiConiTM蝕刻使用氨和三氟化氮組合。可使用任何SiConiTM蝕刻來代替圖2中描述並圖示的示例性蝕刻。包含氟和氫(但是基本沒有或者根本沒有氧)的所有SiConiTM蝕刻表現出對蝕刻氧化矽較強的選擇性。該等蝕刻工藝非常慢地移除矽、多晶矽和碳氧化矽。作為結果,即使從低K材料110的壁上消耗了氧化矽之後繼續進行蝕刻,SiConiTM仍具有基本完美地留下所期望的碳氧化矽低K材料110的額外優點。該選擇性使得該工藝可受到定時控制,而不用使用任何其他形式的終點決定方法。Exemplary process just described is part of a series SiConi TM etching, the etching SiConi TM series generally relates to the presence of fluorine-containing gas stream prior to the hydrogen-containing precursor and the body simultaneously. In various embodiments, the fluorine-containing precursor comprises nitrogen trifluoride, hydrogen fluoride, diatomic fluorine, monoatomic fluorine, and fluorine-substituted hydrocarbons or a combination of the foregoing. In various embodiments, the hydrogen-containing precursor comprises atomic hydrogen, diatomic hydrogen, ammonia, hydrocarbons, hydrocarbons that are not completely substituted by halogen, or a combination of the foregoing. For simplicity, the discussion herein may contain some of the exemplary reference SiConi TM etching, the exemplary SiConi TM etching using a combination of ammonia and nitrogen trifluoride. Etching may be used instead of any SiConi TM depicted in Figure 2 and illustrated in the exemplary etching. All SiConiTM etches containing fluorine and hydrogen (but substantially no or no oxygen at all ) exhibit a strong selectivity for etching yttrium oxide. These etching processes remove germanium, polysilicon and tantalum carbon oxide very slowly. As a result, SiConi (TM) has the additional advantage of leaving the desired carbon ruthenium low K material 110 substantially perfectly, even after etching is continued from the ruthenium oxide on the wall of the low K material 110. This selectivity allows the process to be timed without any other form of endpoint determination.

儘管本文描述的實例係關於低K電介質層的雙圖案化(LELE),但是需要在低K層內的間隙中沉積光阻劑的其他工藝流程亦是可能的。結果,所提出並主張的該等方法在涉及對任何間隙填充材料進行灰化的任何應用中都有效,該間隙填充材料可經由氧化處理來移除自身。可灰化的間隙填充材料包括底部或頂部抗反射塗層(BARC或TARC)以及各種光阻劑和其他類似的含碳材料。在所揭示的實施例中,可灰化的間隙填充材料實質上缺乏氧。氧化處理移除可灰化的間隙填充材料但非期望地改性了該等壁,提高了改性的表面層中的介電常數。可使用本文所述的方法降低該提高的介電常數。溝槽的外形可包含如圖1A-1B中所示的在溝槽壁上的臺階結構,但在其他所揭示的實施例中實質上不存在臺階。Although the examples described herein relate to double patterning (LELE) of low K dielectric layers, other process flows that require deposition of photoresist in the gaps in the low K layer are also possible. As a result, the methods proposed and claimed are effective in any application involving ashing any gap fill material that can be removed by oxidation treatment. The ashable gap fill material includes a bottom or top anti-reflective coating (BARC or TARC) as well as various photoresists and other similar carbonaceous materials. In the disclosed embodiment, the ashable gap fill material is substantially devoid of oxygen. Oxidation treatment removes the ashable gap fill material but undesirably modifies the walls, increasing the dielectric constant in the modified surface layer. The increased dielectric constant can be reduced using the methods described herein. The shape of the trench may include a stepped structure on the trench walls as shown in Figures 1A-1B, but in other disclosed embodiments there are substantially no steps.

如前面所述,間隙和溝槽形成在低K材料中。所述的示例性間隙在低K材料中的兩個近似垂直的壁之間具有臺階(見圖1)。在其他實施例中,在低K材料中沒有形成臺階,僅在低K材料中形成單個近似垂直的壁。在所揭示的實施例中,該單個垂直的壁可以在偏離垂直的10°、5°或2°內。在所揭示的實施例中,在灰化之前(或本文提出的處理之後),低K材料的介電常數可小於3.9、3.7、3.5、3.3或3.1。介電常數很大程度上是由碳氧化矽低K層內的碳的濃度決定的。根據本發明的實施例,在灰化之後,外部電介質層可具有大於3.0、大於3.2或大於3.5的介電常數,而其餘低K電介質材料具有分別小於3.0、小於3.2或小於3.5的介電常數。As previously described, the gaps and trenches are formed in the low K material. The exemplary gap has a step between two approximately vertical walls of the low K material (see Figure 1). In other embodiments, no steps are formed in the low K material, and only a single approximately vertical wall is formed in the low K material. In the disclosed embodiment, the single vertical wall may be within 10, 5 or 2 degrees from vertical. In the disclosed embodiments, the low K material may have a dielectric constant less than 3.9, 3.7, 3.5, 3.3, or 3.1 prior to ashing (or after the treatments presented herein). The dielectric constant is largely determined by the concentration of carbon in the lower K layer of the cerium oxide. According to an embodiment of the present invention, after ashing, the external dielectric layer may have a dielectric constant greater than 3.0, greater than 3.2, or greater than 3.5, while the remaining low-k dielectric materials have dielectric constants less than 3.0, less than 3.2, or less than 3.5, respectively. .

在氣相蝕刻之後可使用可選步驟。剛剛描述的氣相蝕刻可留下蝕刻後殘餘物,該蝕刻後殘餘物包含部分氣相蝕刻劑。蝕刻後殘餘物的存在性可能與相鄰導線之間的電洩漏有關。該洩漏例如可由含氟的蝕刻後殘餘物導致。因此,蝕刻後的基底可隨後用等離子體流出物處理,以移除一些蝕刻後殘餘物並緩解可能存在的任何電洩漏,該等離子體流出物來自於包含Ar、N2、NH3和H2中的一或更多種的等離子體。An optional step can be used after vapor phase etching. The vapor phase etch just described may leave a post-etch residue containing a portion of the vapor phase etchant. The presence of residues after etching may be related to electrical leakage between adjacent wires. This leakage can be caused, for example, by a fluorine-containing post-etch residue. Thus, the etched substrate can then be treated with a plasma effluent to remove some of the post-etch residue and mitigate any electrical leakage that may be present from the inclusion of Ar, N 2 , NH 3 and H 2 . One or more plasmas.

在操作步驟215期間,使用氧基移除填充間隙的光阻劑120。氧基一般形成在遠端等離子體區域中,並流到基底蝕刻區域。在一些實施例中,氧基包含中性物質,該等中性物質包括原子氧(O)和臭氧(O3)中的一或更多種。在蝕刻區域中可存在一些離子化物質,然而,離子化物質趨於比未離子化(中性)原子氧和未離子化臭氧更快速地再組合。在一些實施例中,遠端等離子體比蝕刻區域中的等離子體更較佳,以確保離子化物質具有足夠的機會中和。在所揭示的實施例中,較佳選擇從遠端等離子體到蝕刻區域的開口和路徑長度,以使中性原子氧(O)行進到基底蝕刻區域。在一些實施例中,為了使側壁鈍化以減少氧化,SiF4同時地隨氧基(使用遠端等離子體或蝕刻區域等離子體)一起流動。低K材料的氧化區域可能仍出現並且低K材料的氧化區域可能表現出增加的介電常數。因此,以此種方式形成的結構仍可以受益於本文所揭示的方法。During operation 215, the gap-filled photoresist 120 is removed using an oxy group. The oxy group is typically formed in the distal plasma region and flows to the substrate etched region. In some embodiments, the group comprises a neutral substance, such materials include neutral oxygen atoms (O) and ozone (O 3) of one or more. Some ionized species may be present in the etched regions, however, the ionized species tends to recombine more rapidly than unionized (neutral) atomic oxygen and unionized ozone. In some embodiments, the remote plasma is more preferred than the plasma in the etched region to ensure that the ionized species has sufficient chance of neutralization. In the disclosed embodiment, the opening and path length from the distal plasma to the etched region is preferably selected to cause neutral atomic oxygen (O) to travel to the substrate etched region. In some embodiments, in order to sidewall passivation to reduce oxidation, SiF 4 group simultaneously with (using a remote plasma or a plasma etch region) flow together. The oxidized regions of the low K material may still appear and the oxidized regions of the low K material may exhibit an increased dielectric constant. Thus, structures formed in this manner can still benefit from the methods disclosed herein.

上文描述了用於灰化和SiConiTM蝕刻的分離腔室。在一替代實施例中,在同一腔室中按照處理步驟的順序進行該等工藝,不必從處理室移除圖案化基底。It describes the isolation chamber for SiConi TM etching and ashing above. In an alternate embodiment, the processes are performed in the same chamber in the order of the processing steps without having to remove the patterned substrate from the processing chamber.

在描述示例性的處理系統過程中揭示額外的氣相蝕刻處理參數和處理細節。Additional vapor phase etch processing parameters and processing details are disclosed during the description of an exemplary processing system.

示例性的處理系統Exemplary processing system

圖3是圖示可進行本發明實施例的說明性處理室300的部分橫截面圖。一般而言,可經由一或更多個開口351將氨和三氟化氮引入遠端等離子體區域361-363中並被等離子體電源346激發。FIG. 3 is a partial cross-sectional view illustrating an illustrative processing chamber 300 in which embodiments of the present invention may be performed. In general, ammonia and nitrogen trifluoride can be introduced into the distal plasma regions 361-363 via one or more openings 351 and excited by the plasma power source 346.

在一個實施例中,處理室300包括室主體312、蓋組件302和支撐組件310。蓋組件302設置在室主體312的上端,且支撐組件310至少部分設置在室主體312內。處理室300和相關硬體較佳由一或更多種工藝相容材料(例如鋁、不銹鋼等)形成。In one embodiment, the processing chamber 300 includes a chamber body 312, a lid assembly 302, and a support assembly 310. A lid assembly 302 is disposed at an upper end of the chamber body 312, and the support assembly 310 is at least partially disposed within the chamber body 312. Processing chamber 300 and associated hardware are preferably formed from one or more process compatible materials (e.g., aluminum, stainless steel, etc.).

室主體312包括狹縫閥開口360,該狹縫閥開口360形成在該室主體312的側壁中,狹縫閥開口360用於提供進入處理室300內部的入口。狹縫閥開口360經由晶圓處理機械手(未圖示)選擇性地打開和關閉,以允許進入室主體312內部。在一個實施例中,晶圓可經由狹縫閥開口360輸送進處理室300或從處理室300輸送出到相鄰的轉移室及/或裝載鎖定室,或群集工具內的其他腔室。圖4中圖示了可包括處理室300的示例性群集工具。The chamber body 312 includes a slit valve opening 360 formed in a sidewall of the chamber body 312 for providing access to the interior of the processing chamber 300. The slit valve opening 360 is selectively opened and closed via a wafer processing robot (not shown) to allow access to the interior of the chamber body 312. In one embodiment, the wafers may be transported into or out of the process chamber 300 via the slit valve opening 360 to an adjacent transfer chamber and/or load lock chamber, or other chamber within the cluster tool. An exemplary clustering tool that can include the processing chamber 300 is illustrated in FIG.

在一或更多個實施例中,室主體312包括室主體通道313,該室主體通道313用於使熱轉移流體流過室主體312。該熱轉移流體可以是加熱流體或冷卻劑,且該熱轉移流體用於在工藝和基底轉移過程中控制室主體312的溫度。室主體312的溫度對於防止在腔室壁上非期望地聚集氣體或副產物非常重要。示例性的熱轉移流體包括水、乙二醇或兩者的混合物。示例性的熱轉移流體亦可包括氮氣。支撐組件310可具有支撐組件通道304,該支撐組件通道304用於使熱轉移流體流過支撐組件310,由此影響基底溫度。In one or more embodiments, the chamber body 312 includes a chamber body passage 313 for flowing a heat transfer fluid through the chamber body 312. The heat transfer fluid can be a heating fluid or a coolant, and the heat transfer fluid is used to control the temperature of the chamber body 312 during process and substrate transfer. The temperature of the chamber body 312 is important to prevent undesired accumulation of gases or by-products on the walls of the chamber. Exemplary heat transfer fluids include water, ethylene glycol, or a mixture of the two. An exemplary thermal transfer fluid can also include nitrogen. The support assembly 310 can have a support assembly channel 304 for flowing a heat transfer fluid through the support assembly 310, thereby affecting the substrate temperature.

室主體312可進一步包括襯套333,該襯套333包圍支撐組件310。襯套333較佳是可移除的,以用於維修和清洗。襯套333可由諸如鋁之類的金屬、或陶瓷材料製成。然而,襯套333可以是任何工藝相容的材料。襯套333可以經噴砂處理(bead blasted),以增加沉積在該襯套333上的任何材料的粘著性,由此防止材料的脫落,該材料脫落導致處理室300被污染。在一或更多個實施例中,襯套333包括在襯套333中形成的一或更多個開口335和泵通道329,泵通道329與真空系統進行液體流通。開口335為氣體提供了進入泵通道329的流路,如此給處理室300內的氣體提供了出口。The chamber body 312 can further include a bushing 333 that surrounds the support assembly 310. Bushing 333 is preferably removable for servicing and cleaning. The bushing 333 may be made of a metal such as aluminum, or a ceramic material. However, the bushing 333 can be any process compatible material. The bushing 333 may be bead blasted to increase the adhesion of any material deposited on the bushing 333, thereby preventing the material from falling off, which causes the process chamber 300 to become contaminated. In one or more embodiments, the bushing 333 includes one or more openings 335 formed in the bushing 333 and a pump passage 329 that is in fluid communication with the vacuum system. The opening 335 provides a flow path for the gas to enter the pump passage 329, thus providing an outlet for the gas within the process chamber 300.

真空系統可包括真空泵325和節流閥327,以調節通過處理室300的氣體流動。真空泵325與設置在室主體312上的真空埠331耦接,因此與形成在襯套333內的泵通道329進行液體流通。可交換使用術語「氣體」和「多種氣體」,除非另有說明,上述術語是指一或更多種反應物、催化劑、載體、淨化劑、清洗劑、上述物質的組合,以及任何其他引入處理室312內的流體。使用術語「前體」用於指代參與反應以從表面移除或沉積材料的任何工藝氣體。The vacuum system can include a vacuum pump 325 and a throttle valve 327 to regulate the flow of gas through the process chamber 300. The vacuum pump 325 is coupled to the vacuum crucible 331 provided on the chamber main body 312, and thus is in fluid communication with the pump passage 329 formed in the bushing 333. The terms "gas" and "multiple gases" are used interchangeably and, unless otherwise indicated, the above terms mean one or more reactants, catalysts, carriers, scavengers, cleaning agents, combinations of the foregoing, and any other introduced treatments. The fluid within chamber 312. The term "precursor" is used to refer to any process gas that participates in a reaction to remove or deposit material from a surface.

開口335使泵通道329能夠與室主體312內的處理區域340進行液體流通。處理區域340由蓋組件302的下表面和支撐組件310的上表面限定,且處理區域340被襯套333包圍。開口335可為均勻尺寸且開口335可圍繞襯套333均勻間隔開。然而,可使用任何數量、位置、尺寸或形狀的開口,彼等設計參數的每一個都可以根據氣體在基底接收表面上的期望流動圖案而變化,下文將更加詳細討論。此外,開口335的尺寸、數量和位置配置為達成離開處理室300的氣體的均勻流動。此外,開口尺寸和位置可配置為提供快速或高容量的泵作用,以便於從處理室300快速排出氣體。例如,緊密靠近真空埠331的開口335的數量和尺寸可小於遠離真空埠331設置的開口335的數量和尺寸。The opening 335 enables the pump passage 329 to be in fluid communication with the treatment region 340 within the chamber body 312. The treatment region 340 is defined by the lower surface of the lid assembly 302 and the upper surface of the support assembly 310, and the treatment region 340 is surrounded by the liner 333. The openings 335 can be of uniform size and the openings 335 can be evenly spaced around the liner 333. However, any number, location, size or shape of openings may be used, each of which may vary depending on the desired flow pattern of gas on the substrate receiving surface, as discussed in more detail below. Moreover, the size, number, and location of the openings 335 are configured to achieve a uniform flow of gas exiting the process chamber 300. Additionally, the opening size and position can be configured to provide a fast or high volume pumping action to facilitate rapid venting of gas from the processing chamber 300. For example, the number and size of the openings 335 that are in close proximity to the vacuum crucible 331 can be smaller than the number and size of the openings 335 that are disposed away from the vacuum crucible 331.

通常使用氣體供給面板(未圖示),以便經由一或更多個開口351給處理室300提供工藝氣體。所使用的特定的氣體或多種氣體取決於在處理室300內進行的工藝或多種工藝。說明性的氣體可以包括(但並不限於)一或更多種前體、還原劑、催化劑、載體、淨化劑、清洗劑或上述物質的任何混合物或組合物。通常,引入處理室300的一或更多種氣體經由頂板350中的開口351流進等離子體空間361中。可替換或者組合地,工藝氣體可更直接地經由開口352引入處理區域340中。開口352避免了遠端等離子體激發,並且對於涉及不需要等離子體激發的氣體的工藝或不從額外氣體激發受益的工藝而言很有用。遠端等離子體中產生的活性氧可經由開口被引入到處理區域340中,而不穿過區域361、362和363。可使用電操作閥門及/或流動控制機構(未圖示),以控制從氣體供給源到處理室300的氣體流動。根據該工藝,可向處理室300輸送任意多種氣體,並可在處理室中或在氣體被輸送到處理室300之前混合該等氣體。A gas supply panel (not shown) is typically used to provide process gas to the process chamber 300 via one or more openings 351. The particular gas or gases used may depend on the process or processes being performed within the process chamber 300. Illustrative gases can include, but are not limited to, one or more precursors, reducing agents, catalysts, carriers, scavengers, cleaning agents, or any mixture or combination of the foregoing. Typically, one or more gases introduced into the process chamber 300 flow into the plasma space 361 via openings 351 in the top plate 350. Alternatively or in combination, the process gas may be introduced into the processing region 340 more directly via the opening 352. The opening 352 avoids remote plasma excitation and is useful for processes involving gases that do not require plasma excitation or processes that do not benefit from additional gas excitation. Active oxygen generated in the remote plasma can be introduced into the treatment zone 340 via the opening without passing through the zones 361, 362, and 363. Electrically operated valves and/or flow control mechanisms (not shown) may be used to control the flow of gas from the gas supply to the process chamber 300. Depending on the process, any of a variety of gases can be delivered to the processing chamber 300 and can be mixed in the processing chamber or before the gases are delivered to the processing chamber 300.

蓋組件302可進一步包括電極345,電極345在蓋組件302內產生活性物質的等離子體。在一個實施例中,電極345由頂板350支撐,並且電極345藉由插入電氣絕緣環347與頂板350電氣絕緣,電氣絕緣環347由氧化鋁或任何其他絕緣且工藝相容的材料製成。在一或更多個實施例中,電極345耦接至電源346,而其餘的蓋組件302與地連接。因此,可在遠端等離子體區域中產生一或更多種工藝氣體的等離子體,該遠端等離子體區域由空間361、362及/或363組成,該空間361、362及/或363在電極345與環形安裝凸緣322之間。在實施例中,環形安裝凸緣包括或環形安裝凸緣支撐氣體輸送板320。例如,可在電極345與阻擋器組件330的一個或兩個阻擋器板之間引入和保持等離子體。或者,在不存在阻擋器組件330時,可在電極345與氣體輸送板320之間產生並包含等離子體。在任一實施例中,等離子體被完全限制或包含在蓋組件302內。相應地,因為沒有活性等離子體與基底直接接觸,所以等離子體為「遠端等離子體」,該基底設置在室主體312內。結果,因為等離子體與基底表面分離,所以可避免等離子體對基底的損害。The lid assembly 302 can further include an electrode 345 that produces a plasma of active species within the lid assembly 302. In one embodiment, electrode 345 is supported by top plate 350 and electrode 345 is electrically insulated from top plate 350 by insertion of an electrically insulating ring 347 made of alumina or any other insulating and process compatible material. In one or more embodiments, electrode 345 is coupled to power source 346 and the remaining cover assembly 302 is coupled to ground. Thus, a plasma of one or more process gases can be generated in the remote plasma region, the remote plasma region being comprised of spaces 361, 362 and/or 363, the spaces 361, 362 and/or 363 being at the electrodes 345 is between the annular mounting flange 322. In an embodiment, the annular mounting flange includes or an annular mounting flange to support the gas delivery plate 320. For example, plasma can be introduced and held between electrode 345 and one or both of the blockers of blocker assembly 330. Alternatively, in the absence of the blocker assembly 330, a plasma can be created and contained between the electrode 345 and the gas delivery plate 320. In either embodiment, the plasma is completely confined or contained within the lid assembly 302. Accordingly, since there is no active plasma in direct contact with the substrate, the plasma is a "distal plasma" which is disposed within the chamber body 312. As a result, since the plasma is separated from the surface of the substrate, damage of the substrate by the plasma can be avoided.

各種電源346能將氨和三氟化氮氣體激發為活性物質。例如,可使用基於射頻(RF)、直流(DC)或微波(MW)的放電技術。該激發還可經由基於熱的技術、氣體解離技術、高強度光源(例如UV能量)或暴露於x射線源來產生。或者,可使用遠端激發源(諸如遠端等離子體產生器)來產生活性物質的等離子體,該活性物質的等離子體隨後被輸送到處理室300中。示例性的遠端等離子體產生器可從供應商獲得,該等供應商諸如MKS Instruments,Inc.和Advanced Energy Industries,Inc.。在示例性的處理系統中,RF電源耦接到電極345。高功率微波電源346在亦將使用電源346產生活性氧的情況中是有利的。Various power sources 346 can excite ammonia and nitrogen trifluoride gas as active species. For example, radio frequency (RF), direct current (DC) or microwave (MW) based discharge techniques can be used. The excitation can also be generated via a thermal based technique, a gas dissociation technique, a high intensity light source (eg, UV energy), or exposure to an x-ray source. Alternatively, a remote excitation source, such as a remote plasma generator, can be used to generate a plasma of the active material, which is then delivered to the processing chamber 300. Exemplary remote plasma generators are available from suppliers such as MKS Instruments, Inc. and Advanced Energy Industries, Inc. In an exemplary processing system, an RF power source is coupled to electrode 345. The high power microwave power source 346 is advantageous in situations where the power source 346 will also be used to generate active oxygen.

可藉由使熱轉移介質分別流過室主體通道313和支撐組件通道304,來控制處理室主體312和基底每一者的溫度。可在支撐組件301內形成支撐組件通道304,以便於熱能的轉移。室主體312和支撐組件310可單獨冷卻或加熱。例如,加熱流體可流過室主體312和支撐組件310中的一個,而冷卻流體流過室主體312和支撐組件310中的另一個。The temperature of each of the process chamber body 312 and the substrate can be controlled by flowing the heat transfer medium through the chamber body channel 313 and the support assembly channel 304, respectively. A support assembly channel 304 can be formed within the support assembly 301 to facilitate transfer of thermal energy. The chamber body 312 and the support assembly 310 can be individually cooled or heated. For example, the heating fluid can flow through one of the chamber body 312 and the support assembly 310 while the cooling fluid flows through the other of the chamber body 312 and the support assembly 310.

可使用其他方法控制基底溫度。可藉由用電阻加熱器加熱支撐組件310(或支撐組件310的一部分,諸如底座)或經由一些其他方式來加熱基底。在另一個配置中,氣體輸送板320可維持在高於基底溫度的溫度,且基底被抬起,以升高基底溫度。在此情形中,基底被輻射加熱,或藉由使用氣體將熱量從氣體輸送板320傳導到基底而加熱基底。基底可藉由升高支撐組件310或藉由使用提升栓而被抬起。Other methods can be used to control the substrate temperature. The substrate can be heated by heating the support assembly 310 (or a portion of the support assembly 310, such as a base) with a resistive heater or via some other means. In another configuration, the gas delivery plate 320 can be maintained at a temperature above the substrate temperature and the substrate is raised to raise the substrate temperature. In this case, the substrate is heated by radiation, or the substrate is heated by conducting heat from the gas delivery plate 320 to the substrate using a gas. The substrate can be lifted by raising the support assembly 310 or by using a lifting bolt.

在本文所述的蝕刻工藝期間,在不同的實施例中,室主體312可維持在近似50℃到80℃之間、55℃到75℃之間,或60℃到70℃之間的溫度範圍內。在暴露於等離子體流出物及/或氧化劑期間,在不同的實施例中,基底可維持在大約100℃以下、大約65℃以下、在大約15℃和大約50℃之間,或者在大約22℃和大約40℃之間。During the etching process described herein, in various embodiments, the chamber body 312 can be maintained at a temperature range between approximately 50 ° C and 80 ° C, between 55 ° C and 75 ° C, or between 60 ° C and 70 ° C. Inside. During exposure to the plasma effluent and/or oxidant, in various embodiments, the substrate can be maintained below about 100 ° C, below about 65 ° C, between about 15 ° C and about 50 ° C, or at about 22 ° C. And between about 40 ° C.

等離子體流出物包括各種分子、分子片段和離子化物質。當前受歡迎的SiConiTM蝕刻的理論機制可能或可能不完全正確,但等離子體流出物被認為包括NH4F和NH4F.HF,NH4F和NH4F.HF易於與本文所述的低溫基底反應。等離子體流出物可與氧化矽表面反應,以形成產物(NH4)2SiF6、NH3和H2O。NH3和H2O在本文所述的處理條件下為汽化液體,並且可經由真空泵325從處理區域340移除。(NH4)2SiF6固體副產物的連續或不連續薄層留在基底表面上。Plasma effluents include various molecules, molecular fragments, and ionized species. The current popular theory SiConi TM etching mechanism may or may not be entirely correct, but the plasma effluent is considered to include NH 4 F and NH 4 F.HF, NH 4 F and NH 4 F.HF and readily described herein Low temperature substrate reaction. The plasma effluent can react with the cerium oxide surface to form products (NH 4 ) 2 SiF 6 , NH 3 and H 2 O. NH 3 and H 2 O are vaporized liquids under the processing conditions described herein and can be removed from treatment zone 340 via vacuum pump 325. A continuous or discontinuous thin layer of (NH 4 ) 2 SiF 6 solid by-product remains on the surface of the substrate.

在當從低K材料移除相對較高K的薄膜時暴露於等離子體流出物並且固體副產物在溝槽(包括臺階的溝槽)垂直壁上相應地積累之後,可加熱基底以移除副產物。在實施例中,藉由在氣體輸送板320內或靠近氣體輸送板320組合加熱元件370,氣體輸送板320是可加熱的。可藉由減小基底與加熱的氣體輸送板之間的距離來加熱基底。在不同的實施例中,氣體輸送板320可被加熱到大約100℃和150℃之間、大約110℃和140℃之間,或者大約120℃和130℃之間。藉由減小基底與加熱的氣體輸送板之間的間隔距離,在不同的實施例中,基底可被加熱到大約75℃以上、大約90℃以上、大約100℃以上或在大約115℃和大約150℃之間。從氣體輸送板320輻射到基底的熱量應當足夠將基底上的固體(NH4)2SiF6解離或昇華為揮發性產物SiF4、NH3和HF,該揮發性產物SiF4、NH3和HF可從處理區域340抽出。After the plasma effluent is exposed when the relatively high K film is removed from the low K material and the solid byproducts accumulate on the vertical walls of the trench (including the trench of the step), the substrate can be heated to remove the pair product. In an embodiment, the gas delivery plate 320 is heatable by combining the heating elements 370 within or adjacent to the gas delivery plate 320. The substrate can be heated by reducing the distance between the substrate and the heated gas delivery plate. In various embodiments, the gas delivery plate 320 can be heated to between about 100 ° C and 150 ° C, between about 110 ° C and 140 ° C, or between about 120 ° C and 130 ° C. By reducing the separation distance between the substrate and the heated gas delivery plate, in various embodiments, the substrate can be heated to above about 75 °C, above about 90 °C, above about 100 °C, or at about 115 °C and about Between 150 ° C. The heat radiated from the gas delivery plate 320 to the substrate should be sufficient to dissociate or sublimate the solid (NH 4 ) 2 SiF 6 on the substrate into volatile products SiF 4 , NH 3 and HF, the volatile products SiF 4 , NH 3 and HF. It can be extracted from the processing area 340.

在不同的實施例中,氨(或一般而言,含氫的前體)可以以在大約50 sccm和大約300 sccm之間、在大約75 sccm和大約250 sccm之間、在大約100 sccm和大約200 sccm之間或在大約120 sccm和大約170 sccm之間的流量流到遠端等離子體空間361中。在不同的實施例中,三氟化氮(或一般而言,含氟的前體)可以以在大約25 sccm和大約150 sccm之間、在大約40 sccm和大約175 sccm之間、在大約50 sccm和大約100 sccm之間或在大約60 sccm和大約90 sccm之間的流量流到遠端等離子體空間361中。含氫和含氟前體進入遠端等離子體區域的組合流量可以占整體氣體混合體積的0.05%到大約20%,其餘為載體氣體。在一個實施例中,淨化劑或載體氣體在彼等活性氣體之前先被引入到遠端等離子體區域中,以穩定遠端等離子體區域內的壓力。In various embodiments, ammonia (or, in general, a hydrogen-containing precursor) can be between about 50 sccm and about 300 sccm, between about 75 sccm and about 250 sccm, at about 100 sccm and about A flow rate between 200 sccm or between about 120 sccm and about 170 sccm flows into the distal plasma space 361. In various embodiments, the nitrogen trifluoride (or, in general, the fluorine-containing precursor) can be between about 25 sccm and about 150 sccm, between about 40 sccm and about 175 sccm, at about 50. A flow rate between sccm and about 100 sccm or between about 60 sccm and about 90 sccm flows into the distal plasma space 361. The combined flow of hydrogen-containing and fluorine-containing precursors into the remote plasma region can range from 0.05% to about 20% of the total gas mixing volume, with the remainder being carrier gases. In one embodiment, the scavenger or carrier gas is introduced into the distal plasma region prior to the reactive gases to stabilize the pressure in the distal plasma region.

藉由相對於蓋組件302的其餘部分,給電極345施加等離子體能量,在空間361、362及/或363內產生等離子體流出物的產物。等離子能量可以是各種頻率或多種頻率的組合。在示例性的處理系統中,由輸送給電極345的RF能量來提供等離子體。在不同的實施例中,該RF能量可以在大約1 W和大約1000 W之間、在大約5 W和大約600 W之間、在大約10 W和大約300 W之間或在大約20 W和大約100 W之間。在該示例性的處理系統中應用的RF頻率在不同的實施例中可小於大約200 kHz、小於大約150 kHz、小於大約120 kHz或在大約50 kHz和大約90 kHz之間。The plasma effluent product is created in spaces 361, 362 and/or 363 by applying plasma energy to electrode 345 relative to the remainder of cap assembly 302. The plasma energy can be a combination of various frequencies or multiple frequencies. In an exemplary processing system, the plasma is provided by RF energy delivered to electrode 345. In various embodiments, the RF energy can be between about 1 W and about 1000 W, between about 5 W and about 600 W, between about 10 W and about 300 W, or at about 20 W and about Between 100 W. The RF frequency applied in the exemplary processing system can be less than about 200 kHz, less than about 150 kHz, less than about 120 kHz, or between about 50 kHz and about 90 kHz in different embodiments.

在灰化工藝過程中,可在處理室外部或在相同腔室(361-362)中形成活性氧,該相同腔室(361-362)用於激發蝕刻劑氣體。在實施例中,活性氧可包含隨更穩定的分子氧(O2)一起流動的原子氧(O)和臭氧(O3),該等物質的組合在本文稱作活性氧。活性氧的流量在不同的實施例中可在大約1 slm和大約50 slm之間、在大約2 slm和大約30 slm之間或在大約5 slm和大約10 slm之間。活性氧的氣流可在經由開口352進入處理區域340之前與額外的相關惰性氣體(例如He、Ar)的氣流組合。包含該相關惰性載體具有多種益處,包括增加等離子體密度。During the ashing process, active oxygen may be formed outside the processing chamber or in the same chamber (361-362), which is used to excite the etchant gas. In an embodiment, the active oxygen may comprise molecular oxygen with a more stable (O 2) oxygen atoms (O) and ozone flow together (O 3), the combination of such active oxygen species is referred to herein. The flow rate of active oxygen may be between about 1 slm and about 50 slm, between about 2 slm and about 30 slm, or between about 5 slm and about 10 slm in different embodiments. The flow of reactive oxygen species may be combined with additional gas streams of associated inert gases (e.g., He, Ar) prior to entering the treatment zone 340 via opening 352. The inclusion of this associated inert carrier has a number of benefits including increased plasma density.

處理區域340可在臭氧、氧、載體氣體及/或等離子體流出物流進處理區域340的過程中維持在各種壓力下。該壓力在不同實施例中可維持在大約500毫托和大約30托之間、在大約1托和大約10托之間或者在大約3托和大約6托之間。還可在處理區域340內使用低壓。該壓力在不同實施例中可維持在大約500毫托或以下、大約250毫托或以下、大約100毫托或以下、大約50毫托或以下,或者大約20毫托或以下。Processing zone 340 can be maintained at various pressures during the flow of ozone, oxygen, carrier gas, and/or plasma effluent into processing zone 340. The pressure can be maintained between about 500 mTorr and about 30 torr, between about 1 torr and about 10 torr, or between about 3 torr and about 6 torr in different embodiments. Low pressure can also be used within the processing zone 340. The pressure can be maintained at about 500 mTorr or less, about 250 mTorr or less, about 100 mTorr or less, about 50 mTorr or less, or about 20 mTorr or less, in various embodiments.

在一或更多個實施例中,處理室300可整合到各種多處理平臺,該等多處理平臺包括ProducerTM GT、CenturaTM AP和EnduraTM平臺,該ProducerTM GT、CenturaTM AP和EnduraTM平臺可從位於美國加利福尼亞州的Santa Clara市的應用材料公司獲得。此種處理平臺能夠不用破壞真空就可進行多種處理操作。In one or more embodiments, the processing chamber 300 may be integrated into a variety of multi-processing platform, such multi-processing platform includes a Producer TM GT, Centura TM AP and Endura TM platform, the Producer TM GT, Centura TM AP and Endura TM The platform is available from Applied Materials, Inc., of Santa Clara, California. This type of processing platform can perform a variety of processing operations without breaking the vacuum.

圖4是說明性多腔室處理系統400的示意性頂視圖。系統400可包括一或更多個裝載鎖定室402、404,該裝載鎖定室402、404用於將基底轉移進系統400或轉移出系統400。通常,因為系統400處於真空,所以裝載鎖定室402、404可對引入系統400中的基底進行「抽吸」(pump down)。第一機械手410可在裝載鎖定室402、404與第一組一或更多個基底處理室412、414、416、418(圖示了四個基底處理室)之間轉移基底。每個處理室412、414、416、418可以被成套裝配以進行多個基底處理操作,該多個基底處理操作除包括循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、脫氣、定向和其他基底工藝之外,亦包括本文所述的幹蝕刻工藝。FIG. 4 is a schematic top view of an illustrative multi-chamber processing system 400. System 400 can include one or more load lock chambers 402, 404 for transferring substrates into system 400 or out of system 400. Typically, because the system 400 is under vacuum, the load lock chambers 402, 404 can "pump down" the substrate in the introduction system 400. The first robot 410 can transfer the substrate between the load lock chambers 402, 404 and the first set of one or more substrate processing chambers 412, 414, 416, 418 (four substrate processing chambers are illustrated). Each of the processing chambers 412, 414, 416, 418 can be assembled in a kit to perform a plurality of substrate processing operations including, in addition to, cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition ( In addition to CVD), physical vapor deposition (PVD), etching, pre-cleaning, degassing, orientation, and other substrate processes, the dry etching process described herein is also included.

第一機械手410亦可將基底轉移到一或更多個轉移室422、424,或從一或更多個轉移室422、424轉移出基底。轉移室422、424可用於在使基底在系統400內轉移的同時維持超高真空條件。第二機械手430可在轉移室422、424與第二組一或更多個處理室432、434、436、438之間轉移基底。與處理室412、414、416、418類似,處理室432、434、436、438可以被成套裝配以進行各種基底處理操作,該各種基底處理操作例如除了包括循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、脫氣和定向之外,亦包括本文所述的幹蝕刻工藝。若對於由系統400進行的特定工藝不是必需的,則可從系統400移除任一基底處理室412、414、416、418、432、434、436、438。在氣體被輸送到示例性的處理室之前,氣體可以由氣體處理系統455提供、沿路徑輸送並混合。The first robot 410 can also transfer the substrate to one or more of the transfer chambers 422, 424 or from one or more of the transfer chambers 422, 424. Transfer chambers 422, 424 can be used to maintain ultra-high vacuum conditions while transferring the substrate within system 400. The second robot 430 can transfer the substrate between the transfer chambers 422, 424 and the second set of one or more processing chambers 432, 434, 436, 438. Similar to processing chambers 412, 414, 416, 418, processing chambers 432, 434, 436, 438 can be assembled in kits for various substrate processing operations including, for example, including cyclic layer deposition (CLD), atomic layer deposition. In addition to (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etching, pre-cleaning, degassing, and orientation, the dry etching process described herein is also included. Any of the substrate processing chambers 412, 414, 416, 418, 432, 434, 436, 438 can be removed from the system 400 if it is not necessary for the particular process performed by the system 400. Gas may be provided by gas processing system 455, transported along the path, and mixed prior to delivery of the gas to the exemplary processing chamber.

系統控制器457用於控制馬達、閥門、流動控制器、電源和進行本文所述的工藝步驟所需的其他功能。系統控制器457可依靠光學感測器的回饋,以決定並調整可移動機械組件的位置。機械組件可包括機械手、節流閥和基座,上述組件在系統控制器457的控制下由馬達移動。System controller 457 is used to control motors, valves, flow controllers, power supplies, and other functions required to perform the process steps described herein. System controller 457 can rely on feedback from the optical sensor to determine and adjust the position of the movable mechanical assembly. The mechanical assembly can include a robot, a throttle, and a base that is moved by the motor under the control of the system controller 457.

在一示例性的實施例中,系統控制器457包括硬碟機(記憶體)、USB埠、軟碟機和處理器。系統控制器457包括類比和數位輸入/輸出板、介面板和步進馬達控制器板。包含處理室300的多腔室處理系統400的各個部分由系統控制器457控制。該系統控制器以電腦程式的形式執行系統控制軟體,該電腦程式儲存在電腦可讀取媒體(諸如硬碟、軟碟或快閃記憶體隨身碟)上。亦可使用其他類型的記憶體。電腦程式包括指令組,該等指令組指示計時、氣體混合物、腔室壓力、腔室溫度、RF功率位準、基座位置和特定工藝的其他參數。In an exemplary embodiment, system controller 457 includes a hard disk drive (memory), a USB port, a floppy disk drive, and a processor. System controller 457 includes analog and digital input/output boards, interface panels, and stepper motor controller boards. The various portions of the multi-chamber processing system 400 including the processing chamber 300 are controlled by the system controller 457. The system controller executes the system control software in the form of a computer program stored on a computer readable medium (such as a hard disk, a floppy disk, or a flash memory flash drive). Other types of memory can also be used. The computer program includes a set of instructions that indicate timing, gas mixture, chamber pressure, chamber temperature, RF power level, base position, and other parameters of a particular process.

可使用由控制器執行的電腦程式產品實施用於在基底上沉積膜的工藝或用於清洗腔室15的工藝。電腦程式代碼可以以任何一般電腦可讀程式設計語言編寫:例如,68000組合語言、C、C++、Pascal、Fortran或其他程式設計語言。使用一般的文字編輯器將適合的程式碼輸入到單個檔案或多個檔案,並將該適合的程式碼儲存或實施在電腦可用媒體中,諸如電腦的記憶體系統中。若輸入的代碼文字是高階語言,則編譯該代碼,然後將最終的編譯代碼與預編譯的Microsoft Windows庫常式的物件代碼相連結。為了執行該連結的編譯的物件代碼,系統使用者調用該物件代碼,使電腦系統將該代碼裝載在記憶體中。然後CPU讀取並執行該代碼,以進行該程式中識別的任務。A process for depositing a film on a substrate or a process for cleaning the chamber 15 may be implemented using a computer program product executed by a controller. Computer code can be written in any general computer readable programming language: for example, 68000 combined languages, C, C++, Pascal, Fortran, or other programming languages. Use a general text editor to enter the appropriate code into a single file or multiple files and store or implement the appropriate code in a computer-usable medium, such as a computer's memory system. If the input code text is a high-level language, compile the code and then compile the final compiled code with pre-compiled Microsoft Windows The library code of the library routine is linked. In order to execute the compiled object code of the link, the system user invokes the object code to cause the computer system to load the code in the memory. The CPU then reads and executes the code to perform the tasks identified in the program.

使用者與控制器之間的介面可經由觸摸敏感顯示器,使用者與控制器之間的介面亦可包括滑鼠和鍵盤。在一個實施例中,使用了兩個顯示器,一個顯示器安裝在乾淨的房間牆壁中供操作者使用,另一個顯示器位於牆後面供服務技師使用。在僅有一個顯示器被配置為一次性接收輸入的情形中,該兩個顯示器可同時顯示相同的資訊。為了選擇特定的螢幕或功能,操作者用手指或滑鼠觸摸顯示螢幕上的指定區域。觸摸區域改變觸摸區域的高亮顏色,或者顯示新的功能表或螢幕,由此確認操作者的選擇。The interface between the user and the controller can be via a touch sensitive display, and the interface between the user and the controller can also include a mouse and a keyboard. In one embodiment, two displays are used, one mounted in a clean room wall for use by an operator and the other behind a wall for service technicians. In the case where only one display is configured to receive input at one time, the two displays can simultaneously display the same information. In order to select a particular screen or function, the operator touches a designated area on the screen with a finger or a mouse. The touch area changes the highlight color of the touch area, or displays a new menu or screen, thereby confirming the operator's selection.

本文使用的「基底」可以是在支撐基底上面形成或沒有形成層的支撐基底。支撐基底可以是具有各種摻雜濃度和外形的絕緣體或半導體,並且支撐基底例如可以是半導體基底,該半導體基底具有在積體電路的製造中所使用類型。使用「氧化矽」層作為含矽氧材料的簡略表達並且「氧化矽」層可與含矽氧材料互換使用。因此,氧化矽可包括其他元素組分的濃度,諸如氮、氫、碳等。在一些實施例中,氧化矽實質上由矽和氧組成。「激發態」中的氣體描述了如此一種氣體,在該氣體中,至少一些氣體分子處於振盪激發、解離及/或離子化狀態。氣體可以是兩種或兩種以上氣體的組合。通篇使用術語「溝槽」和「間隙」,並不暗指蝕刻的幾何形狀具有較大的水平方位比率。從表面上方看時,溝槽和間隙可呈現為圓形、橢圓形、多邊形、矩形或各種其他形狀。使用術語「通路」指代較低水平方位比率的溝槽(當從上面看時),該溝槽可填充或可不填充金屬以形成垂直電連接。As used herein, a "substrate" may be a support substrate with or without a layer formed on a support substrate. The support substrate may be an insulator or a semiconductor having various doping concentrations and shapes, and the support substrate may be, for example, a semiconductor substrate having a type used in the manufacture of integrated circuits. The "yttria" layer is used as a simple expression of the cerium-containing material and the "yttria" layer can be used interchangeably with the cerium-containing material. Therefore, cerium oxide may include concentrations of other elemental components such as nitrogen, hydrogen, carbon, and the like. In some embodiments, cerium oxide consists essentially of cerium and oxygen. The gas in the "excited state" describes a gas in which at least some of the gas molecules are in an excited, dissociated, and/or ionized state. The gas may be a combination of two or more gases. The terms "groove" and "gap" are used throughout and do not imply that the etched geometry has a large horizontal azimuthal ratio. The grooves and gaps may appear as a circle, an ellipse, a polygon, a rectangle, or various other shapes when viewed from above the surface. The term "via" is used to refer to a trench of a lower horizontal azimuthal ratio (when viewed from above) that may or may not be filled with metal to form a vertical electrical connection.

已經揭示了幾個實施例,本領域技藝人士可認識到,在不脫離所揭示的實施例的精神的情況下,可使用各種修改、替換的結構和均等物。此外,為了避免不必要的使本發明難以理解,沒有描述多個熟知的工藝和元件。因此,上文的描述不應認為限制本發明的範圍。Having described several embodiments, those skilled in the art will recognize that various modifications, alternatives, and equivalents can be used without departing from the spirit of the disclosed embodiments. In addition, many well-known processes and components are not described in order to avoid obscuring the invention. Therefore, the above description should not be taken as limiting the scope of the invention.

當提供數值範圍時,應當理解亦具體揭示位於該範圍上限和下限之間的每個中間值,一直到下限單位的十分之一,除非文中明確表述了其他方式。包含了在任何規定值或規定範圍內的中間值與任何其他規定值或在該規定範圍內的中間值之間的每個較小的範圍。該等小範圍的上限和下限可獨立包括或不包括在該範圍中,本發明亦包含了其中在該等小範圍中包括界限值之一、不包括界限值或包括全部界限值的每個範圍,不包括在該規定範圍中任何特定排除的界限值。當該規定範圍包括一個或兩個界限值時,亦包括了排除彼等包括的界限值之一者或兩者的範圍。Where a range of values is provided, it is understood that each intermediate value between the upper and lower limits of the Each minor range between any intermediate value within any stated value or range and any other stated value or intermediate value within the specified range is included. The upper and lower limits of the small ranges may or may not be included in the range, and the invention also includes each of the ranges including the one of the threshold, the limit, or the total. Excludes any specific excluded threshold values within the scope of this regulation. When the stated range includes one or two thresholds, it also includes the exclusion of one or both of the limits included.

如本文和所附申請專利範圍中使用的,單數形式「一」(「a」、「an」)和「該」(「the」)包括數個指代物,除非文中清楚表示了其他情形。因而,例如,涉及「一工藝」包括涉及複數個此種工藝,並且涉及「該電介質材料」包括涉及一或更多種電介質材料以及對於本領域技藝人士已知的均等物,等等。As used herein and the appended claims, the singular forms " " " " " " " " " " " " " " " " " " " " " " " " " " " Thus, for example, reference to "a process" includes a plurality of such processes, and reference to "the dielectric material" includes reference to one or more dielectric materials and equivalents known to those skilled in the art, and so forth.

此外,用語「包括」、「包含」和「含有」當在該說明書中和隨後的申請專利範圍中使用時,意指明確說明存在所述的特徵、整體、元件或步驟,但並不排除存在或附加一或更多種其他特徵、整體、元件、步驟、操作或群組。In addition, the terms "including", "comprising" and "comprising", when used in the specification and the scope of the claims, are intended to be Or one or more other features, integers, elements, steps, operations or groups.

110...低K材料110. . . Low K material

120...光阻劑120. . . Photoresist

125...SiCN層125. . . SiCN layer

210-245...操作步驟210-245. . . Steps

300...處理室300. . . Processing room

302...蓋組件302. . . Cover assembly

304...支撐組件通道304. . . Support assembly channel

310...支撐組件310. . . Support assembly

312...室主體312. . . Room main body

313...室主體通道313. . . Room main passage

320...氣體輸送板320. . . Gas conveyor

322...環形安裝凸緣322. . . Ring mounting flange

325...真空泵325. . . Vacuum pump

327...節流閥327. . . Throttle valve

329...泵通道329. . . Pump channel

330...阻擋器組件330. . . Blocker assembly

331...真空埠331. . . Vacuum

333...襯套333. . . bushing

335...開口335. . . Opening

340...處理區域340. . . Processing area

345...電極345. . . electrode

346...電源346. . . power supply

347...電氣絕緣環347. . . Electrical insulation ring

350...頂板350. . . roof

351...開口351. . . Opening

352...開口352. . . Opening

360...狹縫閥開口360. . . Slit valve opening

361-363...區域361-363. . . region

370...加熱元件370. . . Heating element

400...處理系統400. . . Processing system

402-404...裝載鎖定室402-404. . . Load lock room

410...第一機械手410. . . First robot

412-418...處理室412-418. . . Processing room

422-424...轉移室422-424. . . Transfer room

430...第二機械手430. . . Second robot

432-438...處理室432-438. . . Processing room

455...氣體處理系統455. . . Gas treatment system

457...系統控制器457. . . System controller

藉由參照說明書的其餘部分和附圖可實現所揭示實施例的特性和優點的進一步理解。A further understanding of the features and advantages of the disclosed embodiments can be realized by reference to the <RTIgt;

圖1A-1B是根據該等所揭示實施例的在處理期間間隙的橫截面圖。1A-1B are cross-sectional views of a gap during processing in accordance with the disclosed embodiments.

圖2是根據該等所揭示實施例的填充間隙的光阻劑移除工藝的流程圖。2 is a flow diagram of a fill-filled photoresist removal process in accordance with the disclosed embodiments.

圖3是根據該等所揭示實施例的處理室的橫截面圖。3 is a cross-sectional view of a processing chamber in accordance with the disclosed embodiments.

圖4是根據該等所揭示實施例的處理系統。4 is a processing system in accordance with the disclosed embodiments.

在附圖中,相同的元件及/或特徵具有相同的元件符號。此外,相同類型的各種元件可經由在元件符號後由破折號和區分該等相同元件的第二符號來區分。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號的任意一個相同的元件,而不管第二元件符號如何。In the drawings, the same elements and/or features have the same element symbols. Furthermore, various elements of the same type may be distinguished by a dash and a second symbol that distinguishes the same elements. If only the first component symbol is used in the specification, the description applies to any one of the same components having the same first component symbol, regardless of the second component symbol.

110...低K材料110. . . Low K material

120...光阻劑120. . . Photoresist

125...SiCN層125. . . SiCN layer

Claims (15)

一種降低一低K電介質材料的有效介電常數的方法,該低K電介質材料在一圖案化基底上的兩個溝槽之間,該圖案化基底在一基底處理區域中,其中該低K電介質材料形成該兩個溝槽的壁,該方法包括以下步驟:將該圖案化基底轉移到該基底處理區域中;以及對該圖案化基底進行氣相蝕刻,以藉由從該低K電介質材料移除一外部電介質層,降低該低K電介質材料的平均介電常數。A method of reducing the effective dielectric constant of a low K dielectric material between two trenches on a patterned substrate, the patterned substrate in a substrate processing region, wherein the low K dielectric A material forms a wall of the two trenches, the method comprising the steps of: transferring the patterned substrate into the substrate processing region; and vapor etching the patterned substrate to be removed from the low K dielectric material In addition to an external dielectric layer, the average dielectric constant of the low K dielectric material is reduced. 如請求項1之方法,其中該氣相蝕刻之步驟包括以下步驟:使一含氟前體和一含氫前體流進一第一遠端等離子體區域,該第一遠端等離子體區域與該基底處理區域流動耦接,同時在該第一遠端等離子體區域中形成一等離子體,以產生等離子體流出物;藉由使該等等離子體流出物流進該基底處理區域,蝕刻該圖案化基底,同時在該基底的表面上形成固體副產物;和藉由使該基底的一溫度升高到該等固體副產物的一昇華溫度以上,使該等固體副產物昇華。The method of claim 1, wherein the step of vapor phase etching comprises the step of flowing a fluorine-containing precursor and a hydrogen-containing precursor into a first distal plasma region, the first distal plasma region and The substrate processing region is flow coupled while forming a plasma in the first distal plasma region to generate a plasma effluent; etching the pattern by flowing the plasma effluent into the substrate processing region a substrate while forming a solid by-product on the surface of the substrate; and sublimating the solid by-products by raising a temperature of the substrate above a sublimation temperature of the solid byproducts. 如請求項2之方法,其中該含氟前體包括至少一種前體,該至少一種前體選自由下列物質所組成的群組:三氟化氮、氟化氫、雙原子氟、單原子氟和氟取代的碳氫化合物。The method of claim 2, wherein the fluorine-containing precursor comprises at least one precursor selected from the group consisting of nitrogen trifluoride, hydrogen fluoride, diatomic fluorine, monoatomic fluorine, and fluorine. Substituted hydrocarbons. 如請求項2之方法,其中該含氫前體包括至少一種前體,該至少一種前體選自由下列物質所組成的群組:原子氫、分子氫、氨、一碳氫化合物和一鹵素不完全取代的碳氫化合物。The method of claim 2, wherein the hydrogen-containing precursor comprises at least one precursor selected from the group consisting of atomic hydrogen, molecular hydrogen, ammonia, a hydrocarbon, and a halogen. A completely substituted hydrocarbon. 如請求項2之方法,其中在昇華該等固體副產物之步驟的操作期間,將該基底的一溫度升高到大約100℃或更高。The method of claim 2, wherein during the operation of the step of sublimating the solid by-products, a temperature of the substrate is raised to about 100 ° C or higher. 如請求項1之方法,其中該外部電介質層具有大於3.0的一介電常數,並且其餘的該低K電介質材料具有小於3.0的一介電常數。The method of claim 1, wherein the external dielectric layer has a dielectric constant greater than 3.0, and the remaining low K dielectric material has a dielectric constant less than 3.0. 如請求項1之方法,其中該外部電介質層的相對高的介電常數由等離子體灰化導致。The method of claim 1, wherein the relatively high dielectric constant of the outer dielectric layer is caused by plasma ashing. 如請求項1之方法,進一步包括以下步驟:在該氣相蝕刻之步驟的操作之前對該圖案化基底進行一灰化的操作。The method of claim 1, further comprising the step of performing an ashing operation on the patterned substrate prior to the step of the vapor phase etching step. 如請求項1之方法,其中從該兩個溝槽的該等壁移除該外部電介質層。The method of claim 1 wherein the outer dielectric layer is removed from the walls of the two trenches. 如請求項8之方法,其中在將該圖案化基底轉移到該基底處理區域中的該操作之後,發生對該圖案化基底進行灰化的該操作。The method of claim 8, wherein the operation of ashing the patterned substrate occurs after the operation of transferring the patterned substrate into the substrate processing region. 如請求項8之方法,其中在將該圖案化基底轉移到該基底處理區域中的該操作之前,發生對該圖案化基底進行等離子體灰化的該操作。The method of claim 8, wherein the operation of plasma ashing the patterned substrate occurs prior to the operation of transferring the patterned substrate into the substrate processing region. 如請求項1之方法,其中該外部電介質層的厚度大約為150 或更小。The method of claim 1, wherein the outer dielectric layer has a thickness of about 150 Or smaller. 如請求項1之方法,其中在氣相蝕刻過程中,該外部電介質層的蝕刻速率比其餘的該低K電介質材料的蝕刻速率超出大於50倍。The method of claim 1, wherein the etch rate of the outer dielectric layer exceeds the etch rate of the remaining low-k dielectric material by more than 50 times during the vapor phase etching process. 如請求項1之方法,其中對該圖案化基底進行氣相蝕刻的該操作之後,緊接著在一氣氛中對該圖案化基底進行等離子體處理,以移除蝕刻後的殘餘物,該氣氛包含氬氣、氮氣(N2)、氨(NH3)或氫氣(H2)中的至少一種。The method of claim 1, wherein after the operation of vapor-etching the patterned substrate, the patterned substrate is subjected to plasma treatment in an atmosphere to remove the etched residue, the atmosphere comprising At least one of argon, nitrogen (N 2 ), ammonia (NH 3 ) or hydrogen (H 2 ). 如請求項14之方法,其中該蝕刻後的殘餘物包含氟。The method of claim 14, wherein the etched residue comprises fluorine.
TW100126021A 2011-07-22 2011-07-22 Post-ash sidewall healing TW201306125A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100126021A TW201306125A (en) 2011-07-22 2011-07-22 Post-ash sidewall healing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100126021A TW201306125A (en) 2011-07-22 2011-07-22 Post-ash sidewall healing

Publications (1)

Publication Number Publication Date
TW201306125A true TW201306125A (en) 2013-02-01

Family

ID=48169256

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100126021A TW201306125A (en) 2011-07-22 2011-07-22 Post-ash sidewall healing

Country Status (1)

Country Link
TW (1) TW201306125A (en)

Similar Documents

Publication Publication Date Title
US9754800B2 (en) Selective etch for silicon films
US20120009796A1 (en) Post-ash sidewall healing
TWI556305B (en) Selective etch of silicon by way of metastable hydrogen termination
US8211808B2 (en) Silicon-selective dry etch for carbon-containing films
TWI598953B (en) Etch remnant removal
TWI434346B (en) Invertable pattern loading with dry etch
KR101931134B1 (en) Uniform dry etch in two stages
TWI445081B (en) Smooth siconi etch for silicon-containing films
US9093390B2 (en) Conformal oxide dry etch
KR102159750B1 (en) Silicon-carbon-nitride selective etch
TWI601204B (en) Radical-component oxide etch
KR101509033B1 (en) Dry-etch for silicon-and-nitrogen-containing films
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
JP2013048127A (en) Recovery of side wall after ashing
CN102931130A (en) Method for repairing ashed side wall
TW201306125A (en) Post-ash sidewall healing
KR20130022433A (en) Post-ash sidewall healing
SG187276A1 (en) Post-ash sidewall healing