TW201303506A - Method of forming pattern and actinic-ray-sensitive or radiation-sensitive resin composition used in thereof - Google Patents

Method of forming pattern and actinic-ray-sensitive or radiation-sensitive resin composition used in thereof Download PDF

Info

Publication number
TW201303506A
TW201303506A TW101122912A TW101122912A TW201303506A TW 201303506 A TW201303506 A TW 201303506A TW 101122912 A TW101122912 A TW 101122912A TW 101122912 A TW101122912 A TW 101122912A TW 201303506 A TW201303506 A TW 201303506A
Authority
TW
Taiwan
Prior art keywords
group
sensitive
solvent
radiation
film
Prior art date
Application number
TW101122912A
Other languages
Chinese (zh)
Other versions
TWI548942B (en
Inventor
Hidenori Takahashi
Keita Kato
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of TW201303506A publication Critical patent/TW201303506A/en
Application granted granted Critical
Publication of TWI548942B publication Critical patent/TWI548942B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1818C13or longer chain (meth)acrylate, e.g. stearyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1806C6-(meth)acrylate, e.g. (cyclo)hexyl (meth)acrylate or phenyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1807C7-(meth)acrylate, e.g. heptyl (meth)acrylate or benzyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1808C8-(meth)acrylate, e.g. isooctyl (meth)acrylate or 2-ethylhexyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1811C10or C11-(Meth)acrylate, e.g. isodecyl (meth)acrylate, isobornyl (meth)acrylate or 2-naphthyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1812C12-(meth)acrylate, e.g. lauryl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

The method of forming the pattern could be executed inexpensively and a property of a formed pattern is excellent on a substrate of level difference. A characteristic of the method of forming the pattern of the invention includes steps below: (i) a step of forming a film by using the actinic-ray-sensitive or radiation-sensitive resin composition, wherein the actinic-ray-sensitive or radiation-sensitive resin composition include a resin (P) containing at least one repeating unit (a) represented by at least one of a general formula (I) and a general formula (II) as shown below and at least one repeating unit (b) represented by at least one of a general formula (III), a general formula (IV) and a general formula (V) as shown below, and a compound (B) that generates an acid by exposing to actinic rays or radiation; (ii) a step of exposing the film by KrF excimer laser; and (iii) a step of forming a negative pattern by using a developing solution containing an organic solvent to develop the film.

Description

圖案形成方法及用於該方法的感光化射線性或感放射線性樹脂組成物 Pattern forming method and sensitized ray- or radiation-sensitive resin composition used in the method

本發明是有關於一種可於IC等之半導體製造步驟、液晶及熱感應頭(thermal head)等電路基板之製造、進而其他感光蝕刻加工(photofabrication)之微影(lithography)步驟中適宜使用的圖案形成方法、用於該方法的感光化射線性或感放射線性樹脂組成物、及使用該組成物之感光化射線性或感放射線性膜。而且,本發明亦有關於一種包含所述圖案形成方法之電子裝置的製造方法及藉由該方法而製造的電子裝置。本發明之圖案形成方法特別適於利用KrF曝光裝置之曝光。 The present invention relates to a pattern which can be suitably used in a semiconductor manufacturing step of an IC or the like, a circuit substrate such as a liquid crystal or a thermal head, and another lithography step of photofabrication. A forming method, a sensitizing ray-sensitive or radiation-sensitive resin composition used in the method, and a sensitizing ray-sensitive or radiation-sensitive film using the composition. Moreover, the present invention also relates to a method of manufacturing an electronic device including the pattern forming method and an electronic device manufactured by the method. The pattern forming method of the present invention is particularly suitable for exposure using a KrF exposure apparatus.

於KrF準分子雷射(248nm)用抗蝕劑出現以後,為了彌補由於光吸收所造成之感光度降低,使用所謂化學放大之影像形成方法而作為抗蝕劑之影像形成方法。若舉例說明正型化學放大之影像形成方法,則為如下之影像形成方法:藉由準分子雷射、電子束、極紫外光等之曝光,曝光部之酸產生劑分解而生成酸,於曝光後烘烤(PEB:Post Exposure Bake)中將該產生酸用作反應觸媒而使鹼不溶性基變化為鹼可溶性基,藉由鹼性顯影液將曝光部除去。 After the appearance of the resist for the KrF excimer laser (248 nm), in order to compensate for the decrease in sensitivity due to light absorption, an image forming method using a so-called chemically amplified image forming method as a resist is used. If an image forming method for positive chemical amplification is exemplified, the image forming method is as follows: by exposure of an excimer laser, an electron beam, an extreme ultraviolet light, or the like, the acid generator of the exposed portion is decomposed to generate an acid for exposure. In the post-baking (PEB: Post Exposure Bake), the generated acid is used as a reaction catalyst to change the alkali-insoluble group to an alkali-soluble group, and the exposed portion is removed by an alkaline developing solution.

於上述方法中,作為鹼性顯影液,提出了各種鹼性顯影液,通用地使用2.38質量%之TMAH(四甲基氫氧化銨水溶液)之水系鹼性顯影液。 In the above method, various alkaline developing solutions have been proposed as the alkaline developing solution, and a water-based alkaline developing solution of 2.38 mass% of TMAH (tetramethylammonium hydroxide aqueous solution) has been used in general.

另外,不僅僅是現在主流的正型,而且亦開發了使用 負型顯影液、亦即包含有機溶劑之顯影液的圖案形成方法(例如參照專利文獻1~專利文獻3)。其原因在於:於製造半導體元件等時,存在形成具有線、溝、洞等各種形狀之圖案的要求,另一方面,存在難以藉由現狀之正型抗蝕劑而形成的圖案。 In addition, it is not only the mainstream positive type, but also developed and used. A pattern forming method of a negative developing solution, that is, a developing solution containing an organic solvent (for example, refer to Patent Document 1 to Patent Document 3). The reason for this is that when a semiconductor element or the like is manufactured, there is a demand for forming a pattern having various shapes such as lines, grooves, and holes, and on the other hand, there is a pattern which is difficult to form by a conventional positive resist.

近年來,開發進展之最前列的圖案形成方法不僅僅是正型,於負型中亦可藉由使用ArF準分子雷射,進一步進行液浸曝光而形成解像性高的圖案。然而,於半導體製造中,不僅僅要求極限的微細化,而且要求現有設備之有效利用及低成本化。因此,亦研究藉由KrF曝光而代替先前ArF曝光中所進行之製程之一部分,但其超過了KrF曝光之極限,因此逆行了ArF曝光技術發展之歷史典故。現狀是此種用KrF曝光代替ArF曝光製程之一部分之操作不僅僅存在上述微細化之課題,而且亦存在如下各種課題:由所使用的材料(樹脂等)之改良之必要性、曝光機制之不同等所帶來的技術上難以解決的問題等。 In recent years, the pattern forming method at the forefront of development has been more than a positive type. In the negative type, an ArF excimer laser can be used to further perform immersion exposure to form a pattern having high resolution. However, in semiconductor manufacturing, not only the miniaturization of the limit is required, but also the effective use and low cost of existing equipment are required. Therefore, it is also studied to replace part of the process performed in the previous ArF exposure by KrF exposure, but it exceeds the limit of KrF exposure, thus retrograde the historical allusion of the development of ArF exposure technology. The current situation is that the operation of using KrF exposure instead of one part of the ArF exposure process is not only subject to the above-mentioned miniaturization, but also has various problems such as the necessity of improvement of the materials (resin, etc.) used, and the difference in exposure mechanism. Problems that are technically difficult to solve, etc.

[先前技術文獻] [Previous Technical Literature] [專利文獻] [Patent Literature]

[專利文獻1]日本專利特開2010-40849號公報 [Patent Document 1] Japanese Patent Laid-Open Publication No. 2010-40849

[專利文獻2]日本專利特開2008-292975號公報 [Patent Document 2] Japanese Patent Laid-Open Publication No. 2008-292975

[專利文獻3]日本專利特開2010-217884號公報 [Patent Document 3] Japanese Patent Laid-Open Publication No. 2010-217884

本發明之目的在於提供可廉價地實施且於階差基板上之圖案形成性優異之圖案形成方法、及用於該方法的感光 化射線性或感放射線性樹脂組成物。 An object of the present invention is to provide a pattern forming method which can be inexpensively implemented and which is excellent in pattern formation property on a step substrate, and sensitization for the method A ray- or radiation-sensitive linear resin composition.

本發明例如如下所示。 The present invention is as follows, for example.

[1]一種圖案形成方法,其包含如下步驟:(一)使用感光化射線性或感放射線性樹脂組成物而形成膜的步驟,所述感光化射線性或感放射線性樹脂組成物含有具有由下述通式(I)及通式(II)之至少1個所表示之至少1種重複單元(a)、以及由下述通式(III)、通式(IV)及通式(V)之至少1個所表示之至少1種重複單元(b)的樹脂(P)與藉由照射光化射線或放射線而產生酸之化合物(B);(二)藉由KrF準分子雷射對所述膜進行曝光的步驟;以及(三)使用包含有機溶劑之顯影液對所述膜進行顯影,形成負型圖案的步驟, [1] A pattern forming method comprising the steps of: (1) forming a film by using a sensitizing ray-sensitive or radiation-sensitive resin composition, wherein the sensitized ray-sensitive or radiation-sensitive resin composition contains At least one repeating unit (a) represented by at least one of the following general formula (I) and formula (II), and the following general formula (III), general formula (IV) and general formula (V) At least one resin (P) of at least one repeating unit (b) and a compound (B) which generates an acid by irradiation with actinic rays or radiation; (b) a film by a KrF excimer laser a step of performing exposure; and (c) a step of developing the film using a developer containing an organic solvent to form a negative pattern,

式中,R11、R21、R31、R41、R51各自獨立地表示氫原子或甲基;R12表示氫原子或-COOCH3基;X表示亞甲基或氧原子;R32、R42、R52各自獨立地表示碳數為1~4之烷基;m表示0或1;以及n表示1或2。 Wherein R 11 , R 21 , R 31 , R 41 and R 51 each independently represent a hydrogen atom or a methyl group; R 12 represents a hydrogen atom or a -COOCH 3 group; X represents a methylene group or an oxygen atom; R 32 , R 42 and R 52 each independently represent an alkyl group having 1 to 4 carbon atoms; m represents 0 or 1; and n represents 1 or 2.

[2]如[1]所述之圖案形成方法,其中,所述重複單元(a)包含至少1個所述通式(I)所表示之重複單元,所述重複單元(b)包含至少1個所述通式(IV)所表示之重複單元。 [2] The pattern forming method according to [1], wherein the repeating unit (a) comprises at least one repeating unit represented by the above formula (I), and the repeating unit (b) comprises at least 1 a repeating unit represented by the above formula (IV).

[3]如[1]所述之圖案形成方法,其中,所述顯影液中所含之有機溶劑是選自由酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑所構成之群組的至少1種溶劑。 [3] The pattern forming method according to [1], wherein the organic solvent contained in the developer is selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, and an ether solvent. At least one solvent of the group formed.

[4]如[1]所述之圖案形成方法,其中,於使用所述顯影液而對所述膜進行顯影之步驟之後,進一步包含:(四)使用含有有機溶劑之沖洗液對所述膜進行清洗之步驟。 [4] The pattern forming method according to [1], wherein after the step of developing the film using the developer, the method further comprises: (d) using the rinsing liquid containing an organic solvent to the film The step of cleaning.

[5]如[1]所述之圖案形成方法,其中,所述負型圖案形成於階差基板上。 [5] The pattern forming method according to [1], wherein the negative pattern is formed on a step substrate.

[6]一種感光化射線性或感放射線性樹脂組成物,其 用於如[1]~[5]中任一項所述之圖案形成方法中。 [6] A photosensitive ray-sensitive or radiation-sensitive resin composition, The pattern forming method according to any one of [1] to [5].

[7]一種感光化射線性或感放射線性膜,其藉由如[6]所述之感光化射線性或感放射線性樹脂組成物而形成。 [7] A photosensitive ray-sensitive or radiation-sensitive linear film formed by the photosensitive ray-sensitive or radiation-sensitive resin composition as described in [6].

[8]一種電子裝置的製造方法,其包含如[1]~[5]中任一項所述之圖案形成方法。 [8] A method of producing an electronic device, comprising the pattern forming method according to any one of [1] to [5].

[9]一種電子裝置,其藉由如[8]所述之電子裝置的製造方法而製造。 [9] An electronic device manufactured by the method of manufacturing an electronic device according to [8].

藉由本發明,可提供可廉價地實施且於階差基板上之圖案形成性優異之圖案形成方法、及用於該方法的感光化射線性或感放射線性樹脂組成物。 According to the present invention, it is possible to provide a pattern forming method which can be inexpensively implemented and which is excellent in pattern formation property on a step substrate, and a sensitizing ray-sensitive or radiation-sensitive resin composition used in the method.

以下,對本發明之實施形態加以詳細說明。 Hereinafter, embodiments of the present invention will be described in detail.

另外,於此處,未明示經取代或未經取代之基及原子團包含不具取代基者與具有取代基者之雙方。例如,未明示經取代或未經取代之「烷基」不僅僅是不具取代基之烷基(未經取代之烷基),亦包含具有取代基之烷基(經取代之烷基)。 Further, here, it is not indicated that the substituted or unsubstituted group and the atomic group include both a non-substituent group and a substituent group. For example, it is not expressly stated that the substituted or unsubstituted "alkyl group" is not only an unsubstituted alkyl group (unsubstituted alkyl group) but also a substituted alkyl group (substituted alkyl group).

<圖案形成方法> <pattern forming method>

本發明之圖案形成方法包含如下步驟:(一)使用感光化射線性或感放射線性樹脂組成物而形成膜的步驟,所述感光化射線性或感放射線性樹脂組成物含有具有由下述通式(I)及通式(II)之至少1個所表示之至少1種重複單元(a)、以及由下述通式(III)、通式(IV)及通式(V) 之至少1個所表示之至少1種重複單元(b)的樹脂(P)與藉由照射光化射線或放射線而產生酸之化合物(B);(二)藉由KrF準分子雷射對所述膜進行曝光的步驟;以及(三)使用包含有機溶劑之顯影液對所述膜進行顯影,形成負型圖案的步驟, The pattern forming method of the present invention comprises the steps of: (1) forming a film by using a sensitizing ray-sensitive or radiation-sensitive resin composition, the sensitizing ray-sensitive or radiation-sensitive resin composition having the following At least one repeating unit (a) represented by at least one of the formula (I) and the formula (II), and at least one of the following formula (III), formula (IV) and formula (V) a resin (P) representing at least one repeating unit (b) and a compound (B) which generates an acid by irradiation with actinic rays or radiation; (2) exposing the film by a KrF excimer laser And; (iii) developing the film using a developer containing an organic solvent to form a negative pattern,

(式中,R11、R21、R31、R41、R51各自獨立地表示氫原子或甲基;R12表示氫原子或-COOCH3基;X表示亞甲基或氧原子;R32、R42、R52各自獨立地表示碳數為1~4之烷基;m表示0或1;以及n表示1或2)。 (wherein R 11 , R 21 , R 31 , R 41 and R 51 each independently represent a hydrogen atom or a methyl group; R 12 represents a hydrogen atom or a -COOCH 3 group; X represents a methylene group or an oxygen atom; R 32 And R 42 and R 52 each independently represent an alkyl group having a carbon number of 1 to 4; m represents 0 or 1; and n represents 1 or 2).

此處,所謂負型圖案是表示藉由負型顯影(若曝光則相對於顯影液而言溶解性減少,曝光部作為圖案而殘存,未曝光部被除去的顯影)而所得的圖案。 Here, the negative pattern is a pattern obtained by negative development (developing when the exposure is reduced with respect to the developer, and the exposed portion remains as a pattern, and the unexposed portion is removed).

於本發明之圖案形成方法中所使用的感光化射線性或感放射線性樹脂組成物是於使用ArF曝光之圖案形成中所通常使用者。因此,於本發明之圖案形成方法中,可使用通常所使用的廉價的樹脂組成物,且可使用現有的KrF曝光用設備,因此可實現成本降低。而且,若利用本發明之圖案形成方法,則於階差基板上形成圖案之情形時,可獲得良好的圖案。 The sensitizing ray-sensitive or radiation-sensitive resin composition used in the pattern forming method of the present invention is a usual user in pattern formation using ArF exposure. Therefore, in the pattern forming method of the present invention, an inexpensive resin composition which is generally used can be used, and the conventional KrF exposure apparatus can be used, so that cost reduction can be achieved. Further, according to the pattern forming method of the present invention, when a pattern is formed on the step substrate, a good pattern can be obtained.

於本發明之圖案形成方法中,可藉由通常已知的方法而進行於基板上形成感光化射線性或感放射線性樹脂組成物之膜的步驟、對膜進行曝光的步驟、及顯影步驟。 In the pattern forming method of the present invention, the step of forming a film of a sensitizing ray-sensitive or radiation-sensitive resin composition on a substrate, the step of exposing the film, and the developing step can be carried out by a generally known method.

於本發明之圖案形成方法中所使用的顯影液較佳的是含有選自由酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、醚系溶劑及烴系溶劑所構成之群組的至少1種有機溶劑的顯影液(以下亦稱為有機系顯影液)。 The developer used in the pattern forming method of the present invention preferably contains a group selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, an ether solvent, and a hydrocarbon solvent. A developer of at least one organic solvent (hereinafter also referred to as an organic developer).

酮系溶劑例如可列舉1-辛酮、2-辛酮、1-壬酮、2-壬酮、丙酮、2-庚酮(甲基戊基酮)、4-庚酮、1-己酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、丁酮、甲基異丁基酮、乙醯丙酮、丙酮基丙酮、紫羅蘭酮、二丙酮基醇、乙醯基甲醇、苯乙酮、甲基萘基酮、異佛爾酮、碳酸丙二酯等。 Examples of the ketone solvent include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 2-heptanone (methyl amyl ketone), 4-heptanone, and 1-hexanone. 2-hexanone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, phenylacetone, methyl ethyl ketone, methyl isobutyl ketone, acetamidine acetone, acetone acetone, ionone, diacetone alcohol , Ethyl mercapto methanol, acetophenone, methyl naphthyl ketone, isophorone, propylene carbonate and the like.

酯系溶劑例如可列舉乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、乙酸異戊酯、乙酸戊酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯、二乙二醇單乙醚乙酸酯、3-乙氧基丙酸乙酯、乙酸 -3-甲氧基丁酯、乙酸-3-甲基-3-甲氧基丁酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯等。 Examples of the ester solvent include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, and ethylene glycol monoethyl ether. Acid ester, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl 3-ethoxypropionate, acetic acid -3-methoxybutyl ester, acetic acid-3-methyl-3-methoxybutyl ester, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, lactate Ester and the like.

醇系溶劑例如可列舉甲醇、乙醇、正丙醇、異丙醇、正丁醇、第二丁醇、第三丁醇、異丁醇、正己醇、正庚醇、正辛醇、正癸醇等醇或乙二醇、二乙二醇、三乙二醇等二醇系溶劑,或乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚、甲氧基甲基丁醇等二醇醚系溶劑等。 Examples of the alcohol solvent include methanol, ethanol, n-propanol, isopropanol, n-butanol, second butanol, third butanol, isobutanol, n-hexanol, n-heptanol, n-octanol, and n-nonanol. Alcohol or glycol solvent such as ethylene glycol, diethylene glycol or triethylene glycol, or ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol single A glycol ether solvent such as methyl ether, triethylene glycol monoethyl ether or methoxymethylbutanol.

醚系溶劑例如除了上述二醇醚系溶劑以外,亦可列舉二噁烷、四氫呋喃等。 The ether solvent may, for example, be dioxane or tetrahydrofuran in addition to the above glycol ether solvent.

醯胺系溶劑例如可使用N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺、六甲基磷醯三胺、1,3-二甲基-2-咪唑啶酮等。 As the amide-based solvent, for example, N-methyl-2-pyrrolidone, N,N-dimethylacetamide, N,N-dimethylformamide, hexamethylphosphonium triamine, 1, 3-dimethyl-2-imidazolidinone and the like.

烴系溶劑例如可列舉甲苯、二甲苯等芳香族烴系溶劑,戊烷、己烷、辛烷、癸烷等脂肪族烴系溶劑。 Examples of the hydrocarbon-based solvent include an aromatic hydrocarbon solvent such as toluene or xylene, and an aliphatic hydrocarbon solvent such as pentane, hexane, octane or decane.

上述溶劑可多種混合,亦可與上述以外之溶劑或水混合而使用。其中,為了充分發揮本發明之效果,較佳的是顯影液全體之含水率不足10質量%,更佳的是實質上不含水分。 The above solvents may be used in a plurality of types, or may be used in combination with a solvent or water other than the above. In order to fully exhibit the effects of the present invention, it is preferred that the water content of the entire developer is less than 10% by mass, and more preferably, it does not substantially contain moisture.

亦即,作為有機溶劑相對於有機系顯影液之使用量,較佳的是相對於顯影液之總量而言為90質量%以上、100質量%以下,更佳的是95質量%以上、100質量%以下。 In other words, the amount of the organic solvent to be used in the organic developer is preferably 90% by mass or more and 100% by mass or less, more preferably 95% by mass or more, based on the total amount of the developer. Below mass%.

有機系顯影液之蒸汽壓於20℃下較佳的是5 kPa以 下,更佳的是3 kPa以下,特佳的是2 kPa以下。藉由使有機系顯影液之蒸汽壓為5 kPa以下,顯影液於基板上或顯影槽內之蒸發受到抑制,晶圓面內之溫度均一性提高,其結果晶圓面內之尺寸均一性變佳。 The vapor pressure of the organic developer is preferably 5 kPa at 20 ° C. Next, it is more preferably 3 kPa or less, and particularly preferably 2 kPa or less. When the vapor pressure of the organic developing solution is 5 kPa or less, the evaporation of the developing solution on the substrate or in the developing tank is suppressed, and the temperature uniformity in the wafer surface is improved, and as a result, the dimensional uniformity in the wafer surface is changed. good.

具有5 kPa以下之蒸汽壓的具體例可列舉1-辛酮、2-辛酮、1-壬酮、2-壬酮、2-庚酮(甲基戊基酮)、4-庚酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、甲基異丁基酮等酮系溶劑,乙酸丁酯、乙酸戊酯、乙酸異戊酯、乙酸戊酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯、二乙二醇單乙醚乙酸酯、3-乙氧基丙酸乙酯、乙酸-3-甲氧基丁酯、乙酸-3-甲基-3-甲氧基丁酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯等酯系溶劑,正丙醇、異丙醇、正丁醇、第二丁醇、第三丁醇、異丁醇、正己醇、正庚醇、正辛醇、正癸醇等醇系溶劑,乙二醇、二乙二醇、三乙二醇等二醇系溶劑,或乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚、甲氧基甲基丁醇等二醇醚系溶劑,四氫呋喃等醚系溶劑,N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺之醯胺系溶劑,甲苯、二甲苯等芳香族烴系溶劑,辛烷、癸烷等脂肪族烴系溶劑。 Specific examples of the vapor pressure of 5 kPa or less include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, 2-heptanone (methyl amyl ketone), 4-heptanone, and 2 a ketone solvent such as ketone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, phenylacetone or methyl isobutyl ketone, butyl acetate, amyl acetate, isoamyl acetate, pentane acetate Ester, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl 3-ethoxypropionate, An ester solvent such as 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, butyl formate, propyl formate, ethyl lactate, butyl lactate or propyl lactate. Alcohol solvent such as propanol, isopropanol, n-butanol, second butanol, third butanol, isobutanol, n-hexanol, n-heptanol, n-octanol, n-nonanol, ethylene glycol, diethyl a glycol solvent such as a diol or a triethylene glycol, or ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, or triethylene glycol monoethyl ether. , glycol ether solvent such as methoxymethylbutanol, tetrahydrogen Oral ether solvent, N-methyl-2-pyrrolidone, N,N-dimethylacetamide, N,N-dimethylformamide amine solvent, toluene, xylene, etc. A hydrocarbon solvent, an aliphatic hydrocarbon solvent such as octane or decane.

具有作為特佳之範圍的2 kPa以下之蒸汽壓的具體例可列舉1-辛酮、2-辛酮、1-壬酮、2-壬酮、4-庚酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮等酮系溶劑, 乙酸丁酯、乙酸戊酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯、二乙二醇單乙醚乙酸酯、3-乙氧基丙酸乙酯、乙酸-3-甲氧基丁酯、乙酸-3-甲基-3-甲氧基丁酯、乳酸乙酯、乳酸丁酯、乳酸丙酯等酯系溶劑,正丁醇、第二丁醇、第三丁醇、異丁醇、正己醇、正庚醇、正辛醇、正癸醇等醇系溶劑,乙二醇、二乙二醇、三乙二醇等二醇系溶劑,或乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚、甲氧基甲基丁醇等二醇醚系溶劑,N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺之醯胺系溶劑,二甲苯等芳香族烴系溶劑,辛烷、癸烷等脂肪族烴系溶劑。 Specific examples of the vapor pressure of 2 kPa or less which is a particularly preferable range include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, 4-heptanone, 2-hexanone, and diisobutylene. Ketone solvents such as ketone, cyclohexanone, methylcyclohexanone, and phenylacetone, Butyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, 3-ethoxy Ester ester of ethyl propionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, ethyl lactate, butyl lactate, propyl lactate, n-butanol , an alcohol solvent such as a second butanol, a third butanol, an isobutanol, a n-hexanol, an n-heptanol, an n-octanol or a n-nonanol, or a glycol such as ethylene glycol, diethylene glycol or triethylene glycol. a solvent, or ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether, methoxy methyl butanol, etc. Alcohol ether solvent, N-methyl-2-pyrrolidone, N,N-dimethylacetamide, amide solvent of N,N-dimethylformamide, aromatic hydrocarbon system such as xylene A solvent, an aliphatic hydrocarbon solvent such as octane or decane.

於有機系顯影液中可視需要而添加適當量的界面活性劑。 An appropriate amount of a surfactant may be added to the organic developer as needed.

界面活性劑並無特別限定,例如可使用離子性或非離子性之氟系及/或矽系界面活性劑等。該些氟及/或矽系界面活性劑例如可列舉日本專利特開昭62-36663號公報、日本專利特開昭61-226746號公報、日本專利特開昭61-226745號公報、日本專利特開昭62-170950號公報、日本專利特開昭63-34540號公報、日本專利特開平7-230165號公報、日本專利特開平8-62834號公報、日本專利特開平9-54432號公報、日本專利特開平9-5988號公報、美國專利第5405720號說明書、美國專利第5360692號說明書、美國專利第5529881號說明書、美國專利第5296330號說 明書、美國專利第5436098號說明書、美國專利第5576143號說明書、美國專利第5294511號說明書、美國專利第5824451號說明書中所記載的界面活性劑,較佳的是非離子性之界面活性劑。非離子性之界面活性劑並無特別限定,更佳的是使用氟系界面活性劑或矽系界面活性劑。 The surfactant is not particularly limited, and for example, an ionic or nonionic fluorine-based and/or a lanthanoid surfactant can be used. Examples of the fluorine and/or lanthanum-based surfactants include, for example, Japanese Patent Laid-Open No. Sho 62-36663, Japanese Patent Laid-Open Publication No. SHO 61-226746, Japanese Patent Laid-Open No. 61-226745, and Japanese Patent No. Japanese Laid-Open Patent Publication No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. Patent Publication No. 9-5988, U.S. Patent No. 5,405, 720, U.S. Patent No. 5,360,692, U.S. Patent No. 5,529,881, U.S. Patent No. 5,296,330 The surfactant described in the specification, U.S. Patent No. 5, 460, 998, U.S. Patent No. 5,576, 143, U.S. Patent No. 5,294, 511, and U.S. Patent No. 5,824,451 is preferably a nonionic surfactant. The nonionic surfactant is not particularly limited, and a fluorine-based surfactant or a quinone-based surfactant is more preferably used.

界面活性劑之使用量相對於顯影液之總量而言通常為0.001質量%~5質量%,較佳的是0.005質量%~2質量%,更佳的是0.01質量%~0.5質量%。 The amount of the surfactant to be used is usually 0.001% by mass to 5% by mass, preferably 0.005% by mass to 2% by mass, and more preferably 0.01% by mass to 0.5% by mass based on the total amount of the developer.

顯影方法例如可應用:將基板浸漬於充滿顯影液之槽中一定時間的方法(浸漬法)、藉由利用表面張力而於基板表面累積顯影液,靜止一定時間而顯影的方法(覆液法)、於基板表面噴霧顯影液之方法(噴霧法)、於以一定速度旋轉的基板上一面藉由一定速度掃描顯影液噴出嘴一面噴出顯影液的方法(動態滴塗法)等。 For the development method, for example, a method in which a substrate is immersed in a tank filled with a developing solution for a certain period of time (dipping method), a developing solution is accumulated on the surface of the substrate by surface tension, and development is performed for a certain period of time (liquid coating method). A method of spraying a developing solution on a surface of a substrate (spraying method), a method of discharging a developing solution while scanning a developing solution discharge nozzle at a constant speed on a substrate rotating at a constant speed (dynamic dispensing method), or the like.

於上述各種顯影方法包含自顯影裝置之顯影管嘴向抗蝕膜噴出顯影液之步驟之情形時,所噴出之顯影液之噴出壓力(所噴出之顯影液之單位面積之流速)較佳的是2 mL/sec/mm2以下,更佳的是1.5 mL/sec/mm2以下,進一步更佳的是1 mL/sec/mm2以下。並無特別流速之下限,若考慮處理量,則較佳的是0.2 mL/sec/mm2以上。 In the case where the above various development methods include the step of ejecting the developing solution from the developing nozzle of the developing device to the resist film, the ejection pressure of the developing solution to be ejected (the flow rate per unit area of the ejected developing solution) is preferably 2 mL/sec/mm 2 or less, more preferably 1.5 mL/sec/mm 2 or less, still more preferably 1 mL/sec/mm 2 or less. There is no lower limit of the specific flow rate, and in consideration of the treatment amount, it is preferably 0.2 mL/sec/mm 2 or more.

藉由使所噴出之顯影液的噴出壓力為上述範圍,可顯著減低顯影後之源自抗蝕劑殘渣的圖案缺陷。 By setting the discharge pressure of the developer to be discharged to the above range, pattern defects derived from the resist residue after development can be remarkably reduced.

其詳細機制尚不確定,很可能是由於藉由使噴出壓力為上述範圍,使顯影液給予抗蝕膜之壓力變小,從而抑制 抗蝕膜、抗蝕劑圖案不慎被削去或潰散。 The detailed mechanism is not certain, and it is likely that the pressure of the developer applied to the resist film is reduced by making the discharge pressure within the above range, thereby suppressing The resist film and the resist pattern are inadvertently cut or broken.

另外,顯影液之噴出壓力(mL/sec/mm2)是顯影裝置中之顯影管嘴出口之值。 Further, the discharge pressure (mL/sec/mm 2 ) of the developer is the value of the developing nozzle outlet in the developing device.

調整顯影液之噴出壓力的方法例如可列舉:用泵等調整噴出壓力之方法,或者藉由自加壓儲罐供給而調整壓力從而改變的方法等。 The method of adjusting the discharge pressure of the developer may, for example, be a method of adjusting the discharge pressure by a pump or the like, or a method of adjusting the pressure by supplying the pressure from the pressurized storage tank.

而且,於使用包含有機溶劑之顯影液而進行顯影之步驟之後,亦可實施一面置換為其他溶劑一面停止顯影之步驟。 Further, after the step of performing development using a developing solution containing an organic solvent, a step of stopping development while replacing the solvent with another solvent may be employed.

本發明之圖案形成方法亦可於使用包含有機溶劑之顯影液而進行顯影的步驟之後,進一步包含(四)使用包含有機溶劑之沖洗液,對顯影後之膜進行清洗的步驟。 The pattern forming method of the present invention may further comprise the step of: (4) washing the developed film with a rinsing liquid containing an organic solvent, after the step of performing development using a developing solution containing an organic solvent.

作為顯影步驟後之沖洗步驟中所使用之沖洗液,若不溶解抗蝕劑圖案則並無特別限制,可使用一般的包含有機溶劑之溶液。所述沖洗液較佳的是使用含有選自由烴系溶劑、酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑所構成之群組的至少1種有機溶劑之沖洗液。 The rinse liquid used in the rinsing step after the development step is not particularly limited as long as the resist pattern is not dissolved, and a general solution containing an organic solvent can be used. It is preferable to use a rinse liquid containing at least one organic solvent selected from the group consisting of a hydrocarbon solvent, a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, and an ether solvent. .

酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑之具體例可列舉於包含有機溶劑之顯影液中所說明者相同者。 Specific examples of the ketone solvent, the ester solvent, the alcohol solvent, the guanamine solvent, and the ether solvent are the same as those described for the developer containing the organic solvent.

烴系溶劑例如可列舉甲苯、二甲苯等芳香族烴系溶劑,戊烷、己烷、辛烷、癸烷等脂肪族烴系溶劑。 Examples of the hydrocarbon-based solvent include an aromatic hydrocarbon solvent such as toluene or xylene, and an aliphatic hydrocarbon solvent such as pentane, hexane, octane or decane.

於使用包含有機溶劑之顯影液而顯影之步驟之後,更佳的是進行使用含有選自由酮系溶劑、酯系溶劑、醇系溶 劑、醯胺系溶劑所構成之群組的至少1種有機溶劑之沖洗液而加以清洗之步驟,進一步更佳的是進行使用含有醇系溶劑或酯系溶劑之沖洗液而加以清洗的步驟,特佳的是進行使用含有1元醇之沖洗液而加以清洗的步驟,最佳的是進行使用含有碳數為5以上之1元醇之沖洗液而加以清洗的步驟。 After the step of developing using a developing solution containing an organic solvent, it is more preferred to use a solvent selected from the group consisting of a ketone solvent, an ester solvent, and an alcohol. a step of washing a rinse liquid of at least one organic solvent of a group consisting of a solvent and a guanamine solvent, and more preferably, a step of washing with a rinse liquid containing an alcohol solvent or an ester solvent. It is particularly preferable to carry out the step of washing with a rinse liquid containing a monohydric alcohol, and it is preferable to carry out a step of washing with a rinse liquid containing a monohydric alcohol having 5 or more carbon atoms.

此處,沖洗步驟中所使用的1元醇可列舉直鏈狀、分支狀、環狀之1元醇,具體而言可使用1-丁醇、2-丁醇、3-甲基-1-丁醇、第三丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、環戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,特佳的碳數為5以上之1元醇可使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。 Here, the monohydric alcohol used in the rinsing step may, for example, be a linear, branched or cyclic monohydric alcohol, and specifically, 1-butanol, 2-butanol or 3-methyl-1- can be used. Butanol, tert-butanol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol, cyclopentane Alcohol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, etc., particularly preferably a monohydric alcohol having a carbon number of 5 or more. Alcohol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol, and the like.

所述各成分可多種混合,亦可與上述以外之有機溶劑混合使用。 The components may be mixed in a plurality of types or may be used in combination with an organic solvent other than the above.

沖洗液中之含水率較佳的是10質量%以下,更佳的是5質量%以下,特佳的是3質量%以下。藉由使含水率為10質量%以下,可獲得良好之顯影特性。 The water content in the rinse liquid is preferably 10% by mass or less, more preferably 5% by mass or less, and particularly preferably 3% by mass or less. By setting the water content to 10% by mass or less, good development characteristics can be obtained.

使用包含有機溶劑之顯影液而顯影之步驟之後所使用的沖洗液之蒸汽壓於20℃下較佳的是0.05 kPa以上、5 kPa以下,更佳的是0.1 kPa以上、5 kPa以下,最佳的是0.12 kPa以上、3 kPa以下。藉由使沖洗液之蒸汽壓為0.05 kPa以上、5 kPa以下,可使晶圓面內之溫度均一性提高,進一步抑制由於沖洗液之滲透所引起的膨潤,晶圓面內之尺 寸均一性變佳。 The vapor pressure of the rinse liquid used after the step of developing using the developer containing the organic solvent is preferably 0.05 kPa or more and 5 kPa or less, more preferably 0.1 kPa or more and 5 kPa or less, preferably at 20 ° C. It is 0.12 kPa or more and 3 kPa or less. By setting the vapor pressure of the rinse liquid to 0.05 kPa or more and 5 kPa or less, the temperature uniformity in the wafer surface can be improved, and the swelling due to the penetration of the rinse liquid can be further suppressed. The uniformity of the inch is better.

亦可於沖洗液中添加適當量之界面活性劑而使用。 It is also possible to use an appropriate amount of a surfactant in the rinse solution.

於沖洗步驟中,使用所述包含有機溶劑的沖洗液對使用包含有機溶劑之顯影液進行了顯影的晶圓進行清洗處理。清洗處理之方法並無特別限定,例如可應用於以一定速度旋轉的基板上持續噴出沖洗液之方法(旋轉塗佈法)、將基板浸漬於充滿沖洗液之槽中一定時間的方法(浸漬法)、於基板表面噴霧沖洗液之方法(噴霧法)等,其中較佳的是藉由旋轉塗佈方法進行清洗處理,於清洗後以2000 rpm~4000 rpm之轉速使基板旋轉,將沖洗液自基板上除去。而且,亦較佳的是於沖洗步驟後包含加熱步驟(Post Bake,後烘烤)。藉由烘烤而除去圖案間及圖案內部所殘留的顯影液及沖洗液。沖洗步驟後的加熱步驟通常於40℃~160℃下、較佳的是於70℃~95℃下,通常進行10秒~3分鐘,較佳的是進行30秒至90秒。 In the rinsing step, the wafer subjected to development using the developer containing the organic solvent is subjected to a cleaning treatment using the rinsing liquid containing the organic solvent. The method of the cleaning treatment is not particularly limited, and for example, it can be applied to a method of continuously discharging a rinse liquid on a substrate rotating at a constant speed (spin coating method), and immersing the substrate in a tank filled with a rinse liquid for a certain period of time (dipping method) a method of spraying a rinsing liquid on a surface of a substrate (spraying method), etc., wherein it is preferred to perform a cleaning treatment by a spin coating method, and after the cleaning, the substrate is rotated at a rotation speed of 2000 rpm to 4000 rpm, and the rinsing liquid is self-cleaned. Removed on the substrate. Moreover, it is also preferred to include a heating step (Post Bake) after the rinsing step. The developer and the rinse liquid remaining between the patterns and the inside of the pattern are removed by baking. The heating step after the rinsing step is usually carried out at 40 ° C to 160 ° C, preferably at 70 ° C to 95 ° C, usually for 10 seconds to 3 minutes, preferably for 30 seconds to 90 seconds.

本發明之圖案形成方法亦較佳的是於製膜後、曝光步驟前包含前加熱步驟(PB;Prebake,預烤)。 It is also preferred that the pattern forming method of the present invention comprises a pre-heating step (PB; Prebake, pre-bake) after film formation and before the exposure step.

而且,亦較佳的是於曝光步驟後且顯影步驟前包含曝光後加熱步驟(PEB;Post Exposure Bake,曝光後烘烤)。 Moreover, it is also preferred to include a post-exposure heating step (PEB; Post Exposure Bake) after the exposure step and before the development step.

作為加熱溫度,PB、PEB均較佳的是於70℃~130℃下進行,更佳的是於80℃~120℃下進行。 As the heating temperature, both PB and PEB are preferably carried out at 70 ° C to 130 ° C, more preferably at 80 ° C to 120 ° C.

加熱時間較佳的是30秒~300秒,更佳的是30秒~180秒,進一步更佳的是30秒~90秒。 The heating time is preferably from 30 seconds to 300 seconds, more preferably from 30 seconds to 180 seconds, and even more preferably from 30 seconds to 90 seconds.

加熱可藉由通常之曝光、顯影機上所具有之裝置而進 行,亦可使用加熱板等而進行。 Heating can be carried out by the usual exposure and the device on the developing machine. The line can also be carried out using a hot plate or the like.

藉由烘烤而促進曝光部之反應,改善感光度或圖案輪廓。 The reaction of the exposed portion is promoted by baking to improve the sensitivity or pattern outline.

本發明之圖案形成方法可包含多次加熱步驟。 The pattern forming method of the present invention may comprise multiple heating steps.

本發明之圖案形成方法亦可進一步包含使用鹼性顯影液而顯影的步驟。 The pattern forming method of the present invention may further comprise a step of developing using an alkaline developing solution.

本發明之圖案形成方法進一步包含使用鹼性顯影液而顯影之步驟之情形時,鹼性顯影液例如可使用如下化合物之鹼性水溶液:氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水等無機鹼類;乙基胺、正丙基胺等一級胺類,二乙基胺、二正丁基胺等二級胺類,三乙基胺、甲基二乙基胺等三級胺類,二甲基乙醇胺、三乙醇胺等醇胺類,四甲基氫氧化銨、四乙基氫氧化銨等四級銨鹽,吡咯、 等環狀胺類等。 In the case where the pattern forming method of the present invention further includes a step of developing using an alkaline developing solution, for example, an alkaline aqueous solution of the following compounds may be used: sodium hydroxide, potassium hydroxide, sodium carbonate, sodium citrate, Inorganic bases such as sodium metasilicate, ammonia, etc.; primary amines such as ethylamine and n-propylamine; secondary amines such as diethylamine and di-n-butylamine; triethylamine and methyldiethyl Tertiary amines such as amines, alcohol amines such as dimethylethanolamine and triethanolamine, tetra-ammonium salts such as tetramethylammonium hydroxide and tetraethylammonium hydroxide, pyrrole, Such as cyclic amines and the like.

亦可進一步於上述鹼性水溶液中添加適當量之醇類、界面活性劑而使用。 Further, an appropriate amount of an alcohol or a surfactant may be added to the above alkaline aqueous solution to be used.

鹼性顯影液之鹼濃度通常為0.1質量%~20質量%。 The alkali concentration of the alkaline developer is usually from 0.1% by mass to 20% by mass.

鹼性顯影液之pH通常為10.0~15.0。 The pH of the alkaline developer is usually from 10.0 to 15.0.

特別理想的是四甲基氫氧化銨之2.38%質量之水溶液。 Particularly preferred is a 2.38% by mass aqueous solution of tetramethylammonium hydroxide.

作為鹼性顯影後所進行之沖洗處理中的沖洗液,亦可使用純水,添加適當量之界面活性劑而使用。 As the rinsing liquid in the rinsing treatment performed after the alkaline development, pure water may be used, and an appropriate amount of the surfactant may be added and used.

而且,於顯影處理或沖洗處理後,可進行藉由超臨界流體而除去附著於圖案上之顯影液或沖洗液的處理。 Further, after the development treatment or the rinsing treatment, the treatment for removing the developer or the rinsing liquid adhering to the pattern by the supercritical fluid can be performed.

本發明之圖案形成方法可包含多次曝光步驟。 The pattern forming method of the present invention may comprise a multiple exposure step.

本發明中之曝光裝置中所使用之光源波長較佳的是KrF準分子雷射(248 nm)。 The wavelength of the light source used in the exposure apparatus of the present invention is preferably a KrF excimer laser (248 nm).

於本發明中形成膜之基板並無特別限定,可使用在IC等之半導體製造步驟,液晶、熱感應頭等之電路基板之製造步驟,進一步而言其他感光蝕刻加工之微影步驟中所通常使用的基板,例如:矽、SiN、SiO2等之無機基板,SOG等塗佈系無機基板等。另外,亦可視需要而於膜與基板之間形成有機抗反射膜。 The substrate on which the film is formed in the present invention is not particularly limited, and a semiconductor substrate manufacturing step such as IC, a manufacturing process of a circuit substrate such as a liquid crystal or a thermal induction head, and a lithography step of another photosensitive etching process can be used. The substrate to be used is, for example, an inorganic substrate such as ruthenium, SiN or SiO 2 , or a coated inorganic substrate such as SOG. Further, an organic anti-reflection film may be formed between the film and the substrate as needed.

例如,亦可於抗蝕劑之下層設置抗反射膜。抗反射膜可使用鈦、二氧化鈦、氮化鈦、氧化鉻、碳、非晶矽等之無機膜型、以及包含吸光劑與聚合物材料之有機膜型之任意者。前者於膜形成中需要真空蒸鍍裝置、CVD(chemical vapor deposition,化學氣相沉積)裝置、濺鍍裝置等設備。作為有機抗反射膜,例如可列舉:日本專利特公平7-69611號中所記載之包含二苯基胺衍生物與甲醛改性三聚氰胺樹脂之縮合體、鹼可溶性樹脂、吸光劑之有機抗反射膜,或者美國專利5294680號中所記載之馬來酸酐共聚物與二胺型吸光劑之反應物,日本專利特開平6-118631號中所記載之含有樹脂黏合劑與羥甲基三聚氰胺系熱交聯劑之有機抗反射膜,日本專利特開平6-118656號中所記載之於同一分子內具有羧酸基、環氧基與吸光基之丙烯酸樹脂型抗反射膜,日本專利特開平8-87115號中所記載之包含羥甲基三聚氰胺與二苯甲酮系吸光劑之有機抗反射膜,日本專利特 開平8-179509號中所記載之於聚乙烯醇樹脂中添加有低分子吸光劑之有機抗反射膜等。 For example, an anti-reflection film may be provided under the resist. As the antireflection film, any of an inorganic film type of titanium, titanium oxide, titanium nitride, chromium oxide, carbon, amorphous germanium, or the like, and an organic film type containing a light absorbing agent and a polymer material can be used. The former requires a vacuum vapor deposition apparatus, a CVD (chemical vapor deposition) apparatus, a sputtering apparatus, and the like in film formation. Examples of the organic anti-reflection film include an organic anti-reflection film containing a condensate of a diphenylamine derivative and a formaldehyde-modified melamine resin, an alkali-soluble resin, and a light absorbing agent described in Japanese Patent Publication No. Hei 7-69611. And a reaction of a maleic anhydride copolymer and a diamine type light absorbing agent described in U.S. Patent No. 5,294,680, a resin binder and a methylol melamine-based thermal crosslinking agent as described in Japanese Patent Laid-Open No. Hei. An organic anti-reflection film of the agent, an acrylic resin type antireflection film having a carboxylic acid group, an epoxy group and a light absorbing group in the same molecule, as described in Japanese Patent Laid-Open No. Hei 6-118656, Japanese Patent Application Laid-Open No. Hei 8-87115 An organic anti-reflection film comprising a methylol melamine and a benzophenone-based light absorbing agent, as described in Japanese Patent Application An organic antireflection film or the like in which a low molecular weight light absorbing agent is added to a polyvinyl alcohol resin as described in JP-A No. 8-179509.

而且,有機抗反射膜亦可使用Brewer Science,Inc.製造之DUV30系列或DUV-40系列、Shipley Company,LLC.製造之AR-2、AR-3、AR-5等市售之有機抗反射膜。 Further, the organic anti-reflection film may be a commercially available organic anti-reflection film such as DUV30 series or DUV-40 series manufactured by Brewer Science, Inc., AR-2, AR-3, AR-5 manufactured by Shipley Company, LLC. .

而且,可視需要於抗蝕劑之上層使用抗反射膜。 Moreover, it is possible to use an anti-reflection film on the upper layer of the resist as needed.

抗反射膜例如可列舉AZ Electronic Materials股份有限公司製造之AQUATAR-II、AQUATAR-III、AQUATAR-VII等。 Examples of the antireflection film include AQUATAR-II, AQUATAR-III, AQUATAR-VII, and the like manufactured by AZ Electronic Materials Co., Ltd.

而且,本發明亦有關於包含上述本發明之圖案形成方法的電子裝置的製造方法、及藉由該製造方法而製造的電子裝置。 Furthermore, the present invention also relates to a method of manufacturing an electronic device including the above-described pattern forming method of the present invention, and an electronic device manufactured by the method.

本發明之電子裝置可適宜地搭載於電氣電子機器(家電,OA、媒體相關機器,光學用機器及通信機器等)中。 The electronic device of the present invention can be suitably mounted in an electric and electronic device (a home appliance, an OA, a media-related device, an optical device, a communication device, or the like).

<感光化射線性或感放射線性樹脂組成物> <Photosensitized ray-sensitive or radiation-sensitive resin composition>

以下,對本發明之圖案形成方法中可使用的感光化射線性或感放射線性樹脂組成物加以說明。 Hereinafter, a sensitizing ray-sensitive or radiation-sensitive resin composition which can be used in the pattern forming method of the present invention will be described.

本發明之感光化射線性或感放射線性樹脂組成物用於負型顯影(若曝光則相對於顯影液而言溶解性減少,曝光部作為圖案而殘存,未曝光部被除去的顯影)中。亦即,本發明之感光化射線性或感放射線性樹脂組成物可設為於使用包含有機溶劑之顯影液的顯影中所使用的有機溶劑顯影用感光化射線性或感放射線性樹脂組成物。此處,所謂有機溶劑顯影用,至少表示提供至使用包含有機溶劑之顯 影液而顯影的步驟的用途。 The sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention is used for negative development (in the case where exposure is performed, the solubility is reduced with respect to the developer, and the exposed portion remains as a pattern, and the unexposed portion is removed). In other words, the sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention can be used as a sensitizing ray-sensitive or radiation-sensitive resin composition for developing an organic solvent used for development using a developing solution containing an organic solvent. Here, the term "organic solvent development" means at least the use of an organic solvent-containing display. The purpose of the step of developing the liquid solution.

本發明之感光化射線性或感放射線性樹脂組成物典型的是抗蝕劑組成物,自可獲得特別高的效果的方面考慮,較佳的是負型抗蝕劑組成物(亦即有機溶劑顯影用抗蝕劑組成物)。而且,本發明之組成物典型的是化學放大型抗蝕劑組成物,特別是KrF曝光用抗蝕劑組成物。 The photosensitive ray-sensitive or radiation-sensitive resin composition of the present invention is typically a resist composition, and a negative resist composition (i.e., an organic solvent) is preferred from the viewpoint of obtaining a particularly high effect. Resist composition for development). Further, the composition of the present invention is typically a chemically amplified resist composition, particularly a resist composition for KrF exposure.

本發明之感光化射線性或感放射線性樹脂組成物含有:由於酸之作用而使對於包含有機溶劑之顯影液的溶解度減少的樹脂(P)、藉由照射光化射線或放射線而產生酸之化合物(B)。而且,該抗蝕劑組成物亦可進一步含有溶劑、鹼性化合物、界面活性劑、及其他添加劑之至少1種。以下,順次對該些各成分加以說明。 The sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention contains a resin (P) which reduces the solubility of a developing solution containing an organic solvent by an action of an acid, and generates an acid by irradiation with actinic rays or radiation. Compound (B). Further, the resist composition may further contain at least one of a solvent, a basic compound, a surfactant, and other additives. Hereinafter, each component will be described in order.

[1]樹脂(P) [1] Resin (P)

樹脂(P)是由於酸之作用而使對於包含有機溶劑之顯影液的溶解度減少的樹脂,具有由下述通式(I)及通式(II)之至少1個所表示之至少1種重複單元(a)、以及由下述通式(III)、通式(IV)及通式(V)之至少1個所表示之至少1種重複單元(b)。重複單元(b)是具有由於酸之作用而分解從而產生極性基的基(以下亦稱為酸分解性基)的重複單元,酸分解性基可位於樹脂(P)之主鏈或側鏈、或者主鏈及側鏈之兩者上。重複單元(a)是具有內酯結構的重複單元。若樹脂(P)中含有具有內酯基之重複單元,則可使其相對於包含有機溶劑之顯影液的溶解對比度進一步提高。而且,藉由使用特定之內酯結構,於 階差基板上之圖案形成性變良好。 The resin (P) is a resin having a reduced solubility in a developer containing an organic solvent due to the action of an acid, and has at least one repeating unit represented by at least one of the following general formula (I) and formula (II). (a) and at least one repeating unit (b) represented by at least one of the following general formula (III), general formula (IV) and general formula (V). The repeating unit (b) is a repeating unit having a group which is decomposed by an action of an acid to generate a polar group (hereinafter also referred to as an acid-decomposable group), and the acid-decomposable group may be located in a main chain or a side chain of the resin (P). Or both the main chain and the side chain. The repeating unit (a) is a repeating unit having a lactone structure. When the resin (P) contains a repeating unit having a lactone group, the dissolution contrast with respect to the developer containing the organic solvent can be further improved. Moreover, by using a specific lactone structure, The pattern formation property on the step substrate becomes good.

上述通式中,R11、R21、R31、R41、R51各自獨立地表示氫原子或甲基。 In the above formula, R 11 , R 21 , R 31 , R 41 and R 51 each independently represent a hydrogen atom or a methyl group.

R12表示氫原子或-COOCH3基。 R 12 represents a hydrogen atom or a -COOCH 3 group.

X表示亞甲基或氧原子。 X represents a methylene group or an oxygen atom.

R32、R42、R52各自獨立地表示碳數為1~4之烷基。 R 32 , R 42 and R 52 each independently represent an alkyl group having 1 to 4 carbon atoms.

關於R32、R42、R52之碳數為1~4之烷基,可列舉直鏈狀或分支狀之碳數為1~4之烷基,具體而言可列舉甲基、乙基、正丙基、異丙基、正丁基、異丁基、第三丁基。 The alkyl group having 1 to 4 carbon atoms of R 32 , R 42 and R 52 may, for example, be a linear or branched alkyl group having 1 to 4 carbon atoms, and specific examples thereof include a methyl group and an ethyl group. N-propyl, isopropyl, n-butyl, isobutyl, tert-butyl.

R32較佳的是甲基、乙基、正丙基、異丙基,更佳的是甲基、乙基、異丙基。 R 32 is preferably a methyl group, an ethyl group, a n-propyl group or an isopropyl group, more preferably a methyl group, an ethyl group or an isopropyl group.

R42較佳的是甲基、乙基、正丙基、異丙基,更佳的是甲基、乙基、異丙基,特佳的是甲基、乙基。 R 42 is preferably a methyl group, an ethyl group, a n-propyl group or an isopropyl group, more preferably a methyl group, an ethyl group or an isopropyl group, and particularly preferably a methyl group or an ethyl group.

R52較佳的是甲基、乙基、正丙基、異丙基,更佳的是甲基、乙基、異丙基,特佳的是甲基、乙基。 R 52 is preferably a methyl group, an ethyl group, a n-propyl group or an isopropyl group, more preferably a methyl group, an ethyl group or an isopropyl group, and particularly preferably a methyl group or an ethyl group.

m表示0或1。n表示1或2。 m represents 0 or 1. n represents 1 or 2.

於本發明中之樹脂(P)中,重複單元(a)之含量(於含有多種之情形時為其合計)並無特別限制,自更確實地達成本發明之效果的觀點考慮,較佳的是相對於樹脂(P)中之所有重複單元而言為20 mol%以上,更佳的是25 mol%以上,特佳的是30 mol%以上。而且,上限並無特別限制,自形成良好之圖案的觀點考慮,較佳的是90 mol%以下,更佳的是85 mol%以下。 In the resin (P) of the present invention, the content of the repeating unit (a) (in the case where it is contained in a plurality of cases) is not particularly limited, and from the viewpoint of more reliably achieving the effects of the present invention, it is preferred. It is 20 mol% or more, more preferably 25 mol% or more, and particularly preferably 30 mol% or more with respect to all the repeating units in the resin (P). Further, the upper limit is not particularly limited, and from the viewpoint of forming a good pattern, it is preferably 90 mol% or less, more preferably 85 mol% or less.

而且,重複單元(b)之含量(於含有多種之情形時為其合計)並無特別限制,自更確實地達成本發明之效果的觀點考慮,較佳的是相對於樹脂(P)中之所有重複單元而言為30 mol%以上,更佳的是35 mol%以上,特佳的是40 mol%以上。而且,上限並無特別限制,自可形成良好之圖案的觀點考慮,較佳的是90 mol%以下,更佳的是85 mol%以下。 Further, the content of the repeating unit (b) (in total, when it is contained in a plurality of cases) is not particularly limited, and from the viewpoint of more reliably achieving the effect of the present invention, it is preferably relative to the resin (P). All repeating units are 30 mol% or more, more preferably 35 mol% or more, and particularly preferably 40 mol% or more. Further, the upper limit is not particularly limited, and from the viewpoint of forming a good pattern, it is preferably 90 mol% or less, more preferably 85 mol% or less.

另外,至於重複單元(a)之含量(於含有多種之情形時為其合計)與重複單元(b)之含量(於含有多種之情形時為其合計)之合計含量,自更確實地達成本發明之效果的觀點考慮,較佳的是相對於樹脂(P)中之所有重複單元而言為50 mol%以上,更佳的是65 mol%以上,特佳的是70 mol%以上。 In addition, as for the total content of the content of the repeating unit (a) (the total of the contents in the case of containing a plurality of cases) and the content of the repeating unit (b) (in the case of containing a plurality of cases), the present invention is more reliably achieved. From the viewpoint of the effects of the invention, it is preferably 50 mol% or more, more preferably 65 mol% or more, and particularly preferably 70 mol% or more, based on all the repeating units in the resin (P).

以下,例示所述通式(I)及通式(II)所表示之重複 單元(a)之具體例、以及所述通式(III)~通式(V)所表示之重複單元(b)之具體例,但本發明並不限定於該些。 Hereinafter, the repetition represented by the above formula (I) and formula (II) is exemplified Specific examples of the unit (a) and specific examples of the repeating unit (b) represented by the above formula (III) to formula (V), but the present invention is not limited thereto.

[通式(I)之具體例] [Specific example of the general formula (I)]

[通式(II)之具體例] [Specific example of the general formula (II)]

[通式(III)之具體例] [Specific example of the general formula (III)]

[通式(IV)之具體例] [Specific example of the general formula (IV)]

[通式(V)之具體例] [Specific example of the general formula (V)]

更佳的是重複單元(a)包含至少1個通式(I)所表示之重複單元,重複單元(b)包含至少1個通式(IV)所表示之重複單元。 More preferably, the repeating unit (a) comprises at least one repeating unit represented by the formula (I), and the repeating unit (b) comprises at least one repeating unit represented by the formula (IV).

樹脂(P)除了上述重複單元(a)及重複單元(b)以外,亦能夠以調節耐乾式蝕刻性或標準顯影液適合性、基板密接性、抗蝕劑輪廓、進一步而言作為抗蝕劑之通常的必需特性的解像力、耐熱性、感光度等為目的而具有各種重複結構單元。而且,樹脂(P)亦可為2種以上之樹脂混合而成的樹脂。 In addition to the above repeating unit (a) and repeating unit (b), the resin (P) can also be used as a resist for adjusting dry etching resistance or standard developer suitability, substrate adhesion, resist profile, and further The resolving power, heat resistance, sensitivity, and the like of the usual essential characteristics have various repeating structural units. Further, the resin (P) may be a resin obtained by mixing two or more kinds of resins.

以下,對樹脂(P)之除了重複單元(a)及重複單元(b)以外所亦可包含之重複單元加以說明。 Hereinafter, a repeating unit which may be included in the resin (P) in addition to the repeating unit (a) and the repeating unit (b) will be described.

[具有酸分解性基之重複單元] [Repeating unit having acid-decomposable group]

樹脂(P)除了重複單元(b)以外,亦可進一步包含如下之重複單元:具有由於酸之作用而分解從而產生極性基之基(亦即酸分解性基)的重複單元。 The resin (P) may further contain, in addition to the repeating unit (b), a repeating unit having a repeating unit which is decomposed by an action of an acid to generate a polar group (that is, an acid-decomposable group).

於本發明之樹脂(P)除重複單元(b)以外亦包含具有酸分解性基之重複單元之情形時,其與重複單元(b)之合計的含有率較佳的是相對於樹脂(P)中之所有重複單元而言為30 mol%以上,更佳的是35 mol%以上,特佳的是40 mol%以上。而且,上限並無特別限制,自形成良好之圖案的觀點考慮,較佳的是90 mol%以下,更佳的是85 mol%以下。 In the case where the resin (P) of the present invention contains a repeating unit having an acid-decomposable group in addition to the repeating unit (b), the total content of the resin (P) and the repeating unit (b) is preferably relative to the resin (P). In all of the repeating units, it is 30 mol% or more, more preferably 35 mol% or more, and particularly preferably 40 mol% or more. Further, the upper limit is not particularly limited, and from the viewpoint of forming a good pattern, it is preferably 90 mol% or less, more preferably 85 mol% or less.

[具有內酯結構之重複單元] [repeating unit having a lactone structure]

樹脂(P)除了重複單元(a)以外,亦可進一步包含具有內酯結構的重複單元。 The resin (P) may further contain a repeating unit having a lactone structure in addition to the repeating unit (a).

本發明之樹脂(P)除了重複單元(a)以外亦包含具有內酯結構之重複單元之情形時,相對於樹脂(P)中之所有重複單元而言,其與重複單元(a)之合計含有率較佳的是20 mol%以上,更佳的是25 mol%以上,特佳的是30 mol%以上。而且,上限並無特別限制,自形成良好之圖案之觀點考慮,較佳的是90 mol%以下,更佳的是85 mol%以下。 When the resin (P) of the present invention contains a repeating unit having a lactone structure in addition to the repeating unit (a), it is the total of the repeating unit (a) with respect to all the repeating units in the resin (P). The content ratio is preferably 20 mol% or more, more preferably 25 mol% or more, and particularly preferably 30 mol% or more. Further, the upper limit is not particularly limited, and from the viewpoint of forming a good pattern, it is preferably 90 mol% or less, more preferably 85 mol% or less.

[其他重複單元] [other repeating units]

樹脂(P)亦可進一步包含具有極性基(例如羥基、氰基、羧基等)之重複單元、具有不具極性基之脂環烴結構(例如環己基、降莰基、金剛烷基、 基等)且不顯示酸分解性之重複單元、具有1個加成聚合性不飽和鍵之化合物(例如丙烯酸酯類、甲基丙烯酸酯類、丙烯醯胺類、甲基丙烯醯胺類、烯丙基化合物、乙烯 醚類、乙烯酯類等)聚合而所得之重複單元。由其而提高基板密接性、及顯影液親和性。 The resin (P) may further comprise a repeating unit having a polar group (for example, a hydroxyl group, a cyano group, a carboxyl group, etc.), and an alicyclic hydrocarbon structure having a nonpolar group (for example, a cyclohexyl group, a decyl group, an adamantyl group, a repeating unit which does not exhibit acid decomposition property, and a compound having one addition polymerizable unsaturated bond (for example, acrylates, methacrylates, acrylamides, methacrylamides, olefins) A repeating unit obtained by polymerization of a propyl compound, a vinyl ether, a vinyl ester or the like. Thereby, the substrate adhesion and the developer affinity are improved.

於樹脂(P)進一步含有具有上述基之重複單元之情形時,相對於樹脂(P)之所有重複單元而言,其含有率較佳的是1 mol%~30 mol%,更佳的是3 mol%~20 mol%,進一步更佳的是5 mol%~15 mol%。 In the case where the resin (P) further contains a repeating unit having the above group, the content of the repeating unit is preferably from 1 mol% to 30 mol%, more preferably 3, based on all the repeating units of the resin (P). Mol%~20 mol%, further preferably 5 mol%~15 mol%.

本發明之樹脂(P)可依照常法(例如自由基聚合)而合成。例如,一般的合成方法可列舉使單體種及起始劑溶解於溶劑中,藉由加熱而進行聚合的批次聚合法;以1小時~10小時而於加熱溶劑中滴加加入單體種與起始劑之溶液的滴加聚合法等,較佳的是滴加聚合法。反應溶劑例如可列舉如下的溶解本發明之組成物之溶劑:四氫呋喃、1,4-二噁烷、二異丙醚等醚類或如丁酮、甲基異丁基酮這樣的酮類,如乙酸乙酯這樣的酯溶劑,二甲基甲醯胺、二甲基乙醯胺等醯胺基溶劑,進一步而言為後述的丙二醇單甲醚乙酸酯、丙二醇單甲醚、環己酮。更佳的是使用與本發明之感光性組成物中所使用之溶劑相同的溶劑而聚合。藉此可抑制保存時顆粒之產生。 The resin (P) of the present invention can be synthesized in accordance with a usual method (e.g., radical polymerization). For example, a general synthesis method includes a batch polymerization method in which a monomer species and an initiator are dissolved in a solvent and polymerization is carried out by heating; and a monomer species is added dropwise to the heating solvent for 1 hour to 10 hours. The dropwise addition polymerization method or the like of the solution with the initiator is preferably a dropwise addition polymerization method. The reaction solvent may, for example, be a solvent which dissolves the composition of the present invention: an ether such as tetrahydrofuran, 1,4-dioxane or diisopropyl ether or a ketone such as methyl ketone or methyl isobutyl ketone, such as An ester solvent such as ethyl acetate, a guanamine-based solvent such as dimethylformamide or dimethylacetamide, or propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether or cyclohexanone to be described later. More preferably, it is polymerized using the same solvent as the solvent used in the photosensitive composition of the present invention. Thereby, the generation of particles during storage can be suppressed.

較佳的是於氮或氬等惰性氣體環境下進行聚合反應。可使用市售之自由基起始劑(偶氮系起始劑、過氧化物等)作為聚合起始劑而使聚合起始。自由基起始劑較佳的是偶氮系起始劑,較佳的是具有酯基、氰基、羧基之偶氮系起始劑。較佳的起始劑可列舉偶氮雙異丁腈、偶氮雙二甲基戊腈、2,2’-偶氮雙(2-甲基丙酸)二甲酯等。視需要追加或者 分開添加起始劑,反應結束後,投入溶劑而藉由粉體或固形回收等方法回收所期望的聚合物。反應濃度為5質量%~50質量%,較佳的是10質量%~30質量%。反應溫度通常為10℃~150℃,較佳的是30℃~120℃,進一步更佳的是60℃~100℃。 It is preferred to carry out the polymerization in an inert gas atmosphere such as nitrogen or argon. The polymerization initiation can be carried out by using a commercially available radical initiator (azo initiator, peroxide, etc.) as a polymerization initiator. The radical initiator is preferably an azo initiator, and preferably an azo initiator having an ester group, a cyano group or a carboxyl group. Preferred examples of the initiator include azobisisobutyronitrile, azobisdimethylvaleronitrile, 2,2'-azobis(2-methylpropionic acid) dimethyl ester and the like. Add as needed or The initiator is added separately, and after completion of the reaction, the solvent is introduced and the desired polymer is recovered by a method such as powder or solid recovery. The reaction concentration is 5 mass% to 50 mass%, preferably 10 mass% to 30 mass%. The reaction temperature is usually from 10 ° C to 150 ° C, preferably from 30 ° C to 120 ° C, and more preferably from 60 ° C to 100 ° C.

至於樹脂(P)之重量平均分子量,以GPC法之聚苯乙烯換算值計而言較佳的是1,000~200,000,更佳的是2,000~70,000,進一步更佳的是3,000~50,000,特佳的是5,000~30,000。藉由使重量平均分子量為1,000~200,000,可防止耐熱性或耐乾式蝕刻性之劣化,且可防止顯影性劣化或者黏度變高而造成製膜性劣化。 The weight average molecular weight of the resin (P) is preferably from 1,000 to 200,000, more preferably from 2,000 to 70,000, still more preferably from 3,000 to 50,000, particularly preferably in terms of polystyrene equivalent value by GPC method. It is 5,000~30,000. By setting the weight average molecular weight to 1,000 to 200,000, deterioration of heat resistance or dry etching resistance can be prevented, and deterioration of developability or viscosity can be prevented to cause deterioration of film formability.

使用分散度(分子量分布)通常為1~3,較佳的是1~2.6,更佳的是1~2,特佳的是1.4~2.0之範圍者。分子量分布越小,則於階差基板上之圖案形成性越優異。 The degree of dispersion (molecular weight distribution) is usually from 1 to 3, preferably from 1 to 2.6, more preferably from 1 to 2, and particularly preferably from 1.4 to 2.0. The smaller the molecular weight distribution, the more excellent the pattern formation property on the step substrate.

於本發明中,樹脂(P)於組成物整體中之調配率較佳的是於所有固形物中為30質量%~99質量%,更佳的是60質量%~95質量%。 In the present invention, the blending ratio of the resin (P) in the entire composition is preferably from 30% by mass to 99% by mass, more preferably from 60% by mass to 95% by mass, based on all the solids.

而且,本發明之樹脂可使用1種,亦可併用多種。另外,亦可在不損及本發明之效果的範圍內併用上述樹脂(P)以外之其他樹脂。 Further, the resin of the present invention may be used alone or in combination of two or more. Further, other resins than the above resin (P) may be used in combination within a range that does not impair the effects of the present invention.

以下表示樹脂(P)之具體例。 Specific examples of the resin (P) are shown below.

[2]藉由照射光化射線或放射線而產生酸的化合物(B) [2] A compound which produces an acid by irradiation with actinic rays or radiation (B)

本發明之感光化射線性或感放射線性樹脂組成物含有藉由照射光化射線或放射線而產生酸的化合物(B)(以下亦稱為「酸產生劑(B)」)。酸產生劑(B)可適宜選擇如下的化合物而使用:光陽離子聚合之光起始劑、光自由基 聚合之光起始劑、色素類之光消色劑、光變色劑、或微抗蝕劑等中所使用的藉由照射光化射線或放射線而產生酸的公知的化合物及該些之混合物。 The sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention contains a compound (B) (hereinafter also referred to as "acid generator (B)") which generates an acid by irradiation with actinic rays or radiation. The acid generator (B) can be suitably selected from the following compounds: photocationic polymerization photoinitiator, photoradical A known compound which produces an acid by irradiation with actinic rays or radiation used in a photoinitiator of a polymerization, a photodegradant of a dye, a photochromic agent, or a micro-resist, and the like, and a mixture thereof.

例如可列舉重氮鹽、鏻鹽、鋶鹽、錪鹽、醯亞胺磺酸鹽、肟磺酸鹽、重氮二碸、二碸、鄰硝基苯甲磺酸酯,較佳的是酸產生劑(B)包含鋶鹽或錪鹽。 For example, a diazonium salt, a phosphonium salt, a phosphonium salt, a phosphonium salt, a sulfonium imide sulfonate, an anthraquinone sulfonate, a diazodiazine, a diterpene, an o-nitrobenzene methanesulfonate, preferably an acid The generating agent (B) contains a phosphonium salt or a phosphonium salt.

而且,將該些藉由照射光化射線或放射線而產生酸之基或者化合物導入至聚合物之主鏈或側鏈中的化合物例如可使用美國專利第3,849,137號說明書、德國專利第3914407號說明書、日本專利特開昭63-26653號公報、日本專利特開昭55-164824號公報、日本專利特開昭62-69263號公報、日本專利特開昭63-146038號公報、日本專利特開昭63-163452號公報、日本專利特開昭62-153853號公報、日本專利特開昭63-146029號公報等中所記載之化合物。 Further, the compound which generates an acid group or a compound by irradiation with actinic rays or radiation, and which is introduced into the main chain or the side chain of the polymer, for example, can be used in the specification of U.S. Patent No. 3,849,137 and the specification of German Patent No. 3914407. Japanese Patent Laid-Open No. Sho 63-63653, Japanese Patent Laid-Open No. Sho 55-164824, Japanese Patent Laid-Open No. SHO-62-69263, Japanese Patent Laid-Open No. SHO63-146038, Japanese Patent Laid-Open No. 63 The compound described in Japanese Laid-Open Patent Publication No. SHO-63-153029, and the like.

另外,亦可使用美國專利第3,779,778號說明書、歐洲專利第126,712號說明書等中所記載之由於光而產生酸之化合物。 Further, a compound which generates an acid due to light described in the specification of the U.S. Patent No. 3,779,778 and the specification of European Patent No. 126,712 can also be used.

作為酸產生劑(B)之藉由照射光化射線或放射線而分解從而產生酸的化合物中較佳的化合物可列舉下述通式(ZI)、通式(ZII)、通式(ZIII)所表示之化合物。 Preferred examples of the compound which is decomposed by irradiation with actinic rays or radiation to generate an acid as the acid generator (B) include the following general formula (ZI), general formula (ZII), and general formula (ZIII). Expressed as a compound.

於上述通式(ZI)中,R201、R202及R203各自獨立地表示有機基。 In the above formula (ZI), R 201 , R 202 and R 203 each independently represent an organic group.

Z-表示非親核性陰離子,較佳的是磺酸陰離子、雙(烷基磺醯基)醯胺陰離子、三(烷基磺醯基)甲基化物陰離子、BF4 -、PF6 -、SbF6 -等,較佳的是含有碳原子之有機陰離子。較佳之有機陰離子可列舉下式AN1~式AN3所表示之有機陰離子。 Z - represents a non-nucleophilic anion, preferably a sulfonate anion, a bis(alkylsulfonyl)guanamine anion, a tris(alkylsulfonyl)methide anion, BF 4 - , PF 6 - , SbF 6 - and the like, preferred is the organic anion containing a carbon atom. Preferred organic anions include organic anions represented by the following formulas AN1 to AN3.

式AN1~式AN3中,Rc1~Rc3分別獨立地表示有機基。Rc1~Rc3中之有機基可列舉碳數為1~30者,較佳的是亦可經取代之烷基、單環或多環之環烷基、含有雜原子之環狀基、芳基、或該些之多個經單鍵、-O-、-CO2-、-S-、-SO3-、-SO2N(Rd1)-等連結基連結而成之基。亦可進一步與其他鍵結之烷基、芳基形成環狀結構。 In the formula AN1 to the formula AN3, Rc 1 to Rc 3 each independently represent an organic group. The organic group in Rc 1 to Rc 3 may, for example, be a carbon number of 1 to 30, preferably a substituted alkyl group, a monocyclic or polycyclic cycloalkyl group, a hetero atom-containing cyclic group, or an aromatic group. a group or a plurality of such groups having a single bond, a linking group such as -O-, -CO 2 -, -S-, -SO 3 -, -SO 2 N(Rd 1 )- or the like. Further, it may form a cyclic structure with other bonded alkyl groups and aryl groups.

Rd1表示氫原子、烷基,亦可與所鍵結之烷基、芳基形成環狀結構。 Rd 1 represents a hydrogen atom or an alkyl group, and may form a cyclic structure with the bonded alkyl group or aryl group.

Rc1~Rc3之有機基亦可為1位被氟原子或氟烷基取代之烷基、被氟原子或氟烷基取代之苯基。由於具有氟原子或氟烷基,藉由光照射而產生之酸的酸性度上升,感光度提高。於Rc1~Rc3中具有5個以上碳原子時,較佳的是至 少1個碳原子被氫原子取代,更佳的是氫原子數多於氟原子。由於不具碳數為5以上之全氟烷基而可減輕對生態的毒性。 The organic group of Rc 1 to Rc 3 may also be an alkyl group substituted with a fluorine atom or a fluoroalkyl group at one position, or a phenyl group substituted with a fluorine atom or a fluoroalkyl group. Since the fluorine atom or the fluoroalkyl group has a fluorine acid, the acidity of the acid generated by light irradiation increases, and the sensitivity is improved. When Rc 1 to Rc 3 have 5 or more carbon atoms, it is preferred that at least one carbon atom is substituted by a hydrogen atom, and more preferably, the number of hydrogen atoms is more than that of a fluorine atom. Ecological toxicity can be alleviated by the absence of a perfluoroalkyl group having a carbon number of 5 or more.

作為R201、R202及R203之有機基的碳數通常為1~30,較佳的是1~20。 The carbon number of the organic groups of R 201 , R 202 and R 203 is usually from 1 to 30, preferably from 1 to 20.

而且,R201~R203中之2個亦可鍵結而形成環狀結構,於環內可包含氧原子、硫原子、酯鍵、醯胺鍵、羰基。 Further, two of R 201 to R 203 may be bonded to each other to form a cyclic structure, and may contain an oxygen atom, a sulfur atom, an ester bond, a guanamine bond or a carbonyl group in the ring.

作為R201~R203中之2個鍵結而形成的基,可列舉伸烷基(例如伸丁基、伸戊基)。 Examples of the group formed by bonding two of R 201 to R 203 include an alkyl group (for example, a butyl group and a pentyl group).

作為R201、R202及R203之有機基之具體例,可列舉後述之化合物(ZI-1)、化合物(ZI-2)中的對應之基。 Specific examples of the organic group of R 201 , R 202 and R 203 include the corresponding groups in the compound (ZI-1) and the compound (ZI-2) which will be described later.

另外,亦可為具有多個通式(ZI)所表示之結構的化合物。例如,亦可為具有通式(ZI)所表示之化合物之R201~R203之至少一個與通式(ZI)所表示之另一個化合物之R201~R203之至少一個鍵結而成的結構的化合物。 Further, it may be a compound having a plurality of structures represented by the general formula (ZI). For example, R can also be a compound having the general formula (ZI) represents the sum of at least 201 ~ R 203 represented by a general formula (ZI) of the other R 201 ~ R 203 of a compound of at least one bonding formed Structure of the compound.

更佳之(Z1)成分可列舉以下所說明之化合物(ZI-1)及化合物(ZI-2)。 More preferably, the (Z1) component is exemplified by the compound (ZI-1) and the compound (ZI-2) described below.

化合物(ZI-1)是上述通式(ZI)之R201~R203之至少1個為芳基的化合物、亦即以芳基鋶為陽離子之化合物。 The compound (ZI-1) is at least one of R 201 to R 203 of the above formula (ZI), which is an aryl group. A compound, that is, a compound having an aryl ruthenium as a cation.

芳基鋶化合物可以是R201~R203之全部為芳基,亦可以是R201~R203之一部分為芳基、其餘為烷基。 Aryl sulfonium compound may be of the R 201 ~ R 203 are all aryl groups, may also be R 201 ~ R 203 is part of an aryl group, an alkyl rest.

芳基鋶化合物例如可列舉三芳基鋶化合物、二芳基烷基鋶化合物、芳基二烷基鋶化合物。 Examples of the arylsulfonium compound include a triarylsulfonium compound, a diarylalkylsulfonium compound, and an aryldialkylsulfonium compound.

芳基鋶化合物之芳基較佳的是苯基、萘基、茀基等芳基,吲哚殘基、吡咯殘基等雜芳基,更佳的是苯基、吲哚殘基。於化合物具有2個以上芳基之情形時,所具有之2個以上芳基可相同亦可不同。 The aryl group of the arylsulfonium compound is preferably an aryl group such as a phenyl group, a naphthyl group or a fluorenyl group, a heteroaryl group such as an anthracene residue or a pyrrole residue, and more preferably a phenyl group or a hydrazine residue. to When the compound has two or more aryl groups, the two or more aryl groups may be the same or different.

芳基鋶化合物所視需要具有的烷基較佳的是碳數為1~15之直鏈、分支或環狀烷基,例如可列舉甲基、乙基、丙基、正丁基、第二丁基、第三丁基、環丙基、環丁基、環己基等。 The alkyl group as desired in the aryl hydrazine compound is preferably a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, and examples thereof include a methyl group, an ethyl group, a propyl group, a n-butyl group, and a second group. Butyl, tert-butyl, cyclopropyl, cyclobutyl, cyclohexyl and the like.

作為R201~R203之芳基、烷基亦可具有烷基(例如碳數為1~15)、芳基(例如碳數為6~14)、烷氧基(例如碳數為1~15)、鹵素原子、羥基、苯硫基作為取代基。較佳的取代基是碳數為1~12之直鏈、分支或環狀烷基、碳數為1~12之直鏈、分支或環狀之烷氧基,最佳的是碳數為1~4之烷基、碳數為1~4之烷氧基。取代基可對3個R201~R203中之任一個進行取代,亦可對3個全部進行取代。而且,於R201~R203為芳基之情形時,較佳的是取代基對芳基之對位進行取代。 The aryl group and the alkyl group of R 201 to R 203 may have an alkyl group (for example, a carbon number of 1 to 15), an aryl group (for example, a carbon number of 6 to 14), or an alkoxy group (for example, a carbon number of 1 to 15). ), a halogen atom, a hydroxyl group, or a phenylthio group as a substituent. Preferred substituents are straight-chain, branched or cyclic alkyl groups having a carbon number of 1 to 12, linear, branched or cyclic alkoxy groups having a carbon number of 1 to 12, and most preferably having a carbon number of 1 ~4 alkyl group, alkoxy group having 1 to 4 carbon atoms. The substituent may be substituted for any of the three R 201 to R 203 , or all of the three may be substituted. Further, in the case where R 201 to R 203 are an aryl group, it is preferred that the substituent is substituted for the para position of the aryl group.

其次,對化合物(ZI-2)加以說明。 Next, the compound (ZI-2) will be described.

化合物(ZI-2)是式(ZI)中之R201~R203各自獨立地表示不含芳香環之有機基之情形時的化合物。此處所謂芳香環亦包含含有雜原子之芳香族環。 The compound (ZI-2) is a compound in the case where R 201 to R 203 in the formula (ZI) each independently represent an organic group which does not contain an aromatic ring. The aromatic ring herein also includes an aromatic ring containing a hetero atom.

作為R201~R203之不含芳香環之有機基,通常碳數為1~30,較佳的是碳數為1~20。 The organic group containing no aromatic ring of R 201 to R 203 usually has a carbon number of 1 to 30, preferably a carbon number of 1 to 20.

R201~R203各自獨立地較佳的是烷基、環烷基、2-側氧 基烷基、2-側氧基環烷基、烷氧基羰基甲基、烯丙基、乙烯基,更佳的是直鏈或分支之2-側氧基烷基、2-側氧基環烷基、烷氧基羰基甲基,最佳的是直鏈或分支之2-側氧基烷基。 R 201 to R 203 are each independently preferably an alkyl group, a cycloalkyl group, a 2-sided oxyalkyl group, a 2-sided oxycycloalkyl group, an alkoxycarbonylmethyl group, an allyl group, or a vinyl group. More preferred are linear or branched 2-sided oxyalkyl groups, 2-sided oxycycloalkyl groups, alkoxycarbonylmethyl groups, and most preferably linear or branched 2-sided oxyalkyl groups.

作為R201~R203之烷基及環烷基,較佳的是碳數為1~10之直鏈或分支狀烷基(例如甲基、乙基、丙基、丁基、戊基)、碳數為3~10之環烷基(環戊基、環己基、降莰基)。 The alkyl group and the cycloalkyl group of R 201 to R 203 are preferably a linear or branched alkyl group having a carbon number of 1 to 10 (e.g., methyl group, ethyl group, propyl group, butyl group, pentyl group), A cycloalkyl group having a carbon number of 3 to 10 (cyclopentyl group, cyclohexyl group, norbornyl group).

作為R201~R203之2-側氧基烷基可為直鏈或分支之任意者,較佳的是可列舉上述烷基之2位具有>C=O之基。 The 2-sided oxyalkyl group as R 201 to R 203 may be either a straight chain or a branched one, and preferably a group having a C=O at the 2-position of the above alkyl group.

作為R201~R203之2-側氧基環烷基較佳的是可列舉上述環烷基之2位具有>C=O之基。 The 2-sided oxycycloalkyl group of R 201 to R 203 is preferably a group having >C=O at the 2-position of the above cycloalkyl group.

作為R201~R203之烷氧基羰基甲基中的烷氧基,較佳的是可列舉碳數為1~5之烷氧基(甲氧基、乙氧基、丙氧基、丁氧基、戊氧基)。 The alkoxy group in the alkoxycarbonylmethyl group of R 201 to R 203 is preferably an alkoxy group having a carbon number of 1 to 5 (methoxy group, ethoxy group, propoxy group, butoxy group). Base, pentyloxy).

R201~R203亦可被鹵素原子、烷氧基(例如碳數為1~5)、羥基、氰基、硝基進一步取代。 R 201 to R 203 may be further substituted by a halogen atom, an alkoxy group (for example, a carbon number of 1 to 5), a hydroxyl group, a cyano group or a nitro group.

R201~R203中之2個亦可鍵結而形成環狀結構,於環內亦可包含氧原子、硫原子、酯鍵、醯胺鍵、羰基。R201~R203中之2個鍵結而形成的基可列舉伸烷基(例如伸丁基、伸戊基)。 Two of R 201 to R 203 may be bonded to each other to form a cyclic structure, and may further contain an oxygen atom, a sulfur atom, an ester bond, a guanamine bond or a carbonyl group in the ring. The group formed by bonding two of R 201 to R 203 may be an alkyl group (for example, a butyl group or a pentyl group).

其次,對通式(ZII)、通式(ZIII)加以說明。 Next, the general formula (ZII) and the general formula (ZIII) will be described.

通式(ZII)、通式(ZIII)中,R204~R207各自獨立地表示亦可具有取代基之芳基、亦可具有取代基之烷基、或亦可具有取代基之環烷基。 In the formula (ZII) and the formula (ZIII), R 204 to R 207 each independently represent an aryl group which may have a substituent, an alkyl group which may have a substituent, or a cycloalkyl group which may have a substituent .

作為R204~R207之芳基之具體例、較佳例,與作為所述化合物(ZI-1)中之R201~R203之芳基而說明者相同。 Specific examples and preferred examples of the aryl group of R 204 to R 207 are the same as those described for the aryl group of R 201 to R 203 in the compound (ZI-1).

作為R204~R207之烷基及環烷基之具體例、較佳例,與作為所述化合物(ZI-2)中之R201~R203之直鏈、分支或環狀烷基而說明者相同。 Specific examples and preferred examples of the alkyl group and the cycloalkyl group of R 204 to R 207 and the linear, branched or cyclic alkyl group as R 201 to R 203 in the compound (ZI-2) The same.

Z-與通式(ZI)中之Z-同義。 Z - in general formula (ZI) in the Z - synonymous.

作為酸產生劑(B)的藉由照射光化射線或放射線而產生酸的化合物中的較佳化合物可進一步列舉下述通式(ZIV)、通式(ZV)、通式(ZVI)所表示之化合物。 Preferred compounds among the compounds which generate an acid by irradiation with actinic rays or radiation as the acid generator (B) can be further represented by the following formula (ZIV), formula (ZV) and formula (ZVI). Compound.

於通式(ZIV)~通式(ZVI)中,Ar3及Ar4各自獨立地表示經取代或未經取代之芳基。 In the general formula (ZIV) to the general formula (ZVI), Ar 3 and Ar 4 each independently represent a substituted or unsubstituted aryl group.

R208於通式(ZV)與通式(ZVI)中各自獨立地表示經取代或未經取代之烷基、經取代或未經取代之環烷基或經取代或未經取代之芳基。於提高產生酸之強度的方面而言,較佳的是R208被氟原子取代。 R 208 each independently represents a substituted or unsubstituted alkyl group, a substituted or unsubstituted cycloalkyl group or a substituted or unsubstituted aryl group in the formula (ZV) and the formula (ZVI). In terms of increasing the strength of the acid generated, it is preferred that R 208 is substituted by a fluorine atom.

R209及R210各自獨立地表示經取代或未經取代之烷基、經取代或未經取代之環烷基、經取代或未經取代之芳基、烷硫基或拉電子基。 R 209 and R 210 each independently represent a substituted or unsubstituted alkyl group, a substituted or unsubstituted cycloalkyl group, a substituted or unsubstituted aryl group, an alkylthio group or an electron withdrawing group.

而且,R209與R210亦可鍵結而形成環狀結構。該些環 狀結構亦可包含氧原子、硫原子、伸烷基、伸烯基、伸芳基等。 Further, R 209 and R 210 may be bonded to form a cyclic structure. The cyclic structures may also contain an oxygen atom, a sulfur atom, an alkylene group, an alkenyl group, an extended aryl group and the like.

R209較佳的是經取代或未經取代之芳基。R210較佳的是拉電子基,更佳的是氰基、氟烷基。 R 209 is preferably a substituted or unsubstituted aryl group. R 210 is preferably an electron withdrawing group, more preferably a cyano group or a fluoroalkyl group.

A表示經取代或未經取代之伸烷基、經取代或未經取代之伸環烷基、經取代或未經取代之伸烯基或經取代或未經取代之伸芳基。 A represents a substituted or unsubstituted alkylene group, a substituted or unsubstituted cycloalkylene group, a substituted or unsubstituted extended alkenyl group or a substituted or unsubstituted extended aryl group.

Ar3、Ar4、R208、R209及R210之芳基之具體例可列舉與上述通式(ZI-1)中之作為R201~R203之芳基之具體例相同者。 Specific examples of the aryl group of Ar 3 , Ar 4 , R 208 , R 209 and R 210 are the same as those of the specific examples of the aryl group as R 201 to R 203 in the above formula (ZI-1).

R208、R209及R210之烷基及環烷基之具體例可分別列舉與上述通式(ZI-2)中之作為R201~R203之烷基及環烷基之具體例相同者。 Specific examples of the alkyl group and the cycloalkyl group of R 208 , R 209 and R 210 may be the same as the specific examples of the alkyl group and the cycloalkyl group as R 201 to R 203 in the above formula (ZI-2). .

作為R209及R210之烷硫基之烷基部分,可列舉與上述通式(ZI-2)中之作為R201~R203之烷基之具體例相同者。 The alkyl moiety of the alkylthio group of R 209 and R 210 may be the same as the specific example of the alkyl group as R 201 to R 203 in the above formula (ZI-2).

A之伸烷基可列舉碳數為1~12之伸烷基(例如亞甲基、伸乙基、伸丙基、異伸丙基、伸丁基、異伸丁基等),A之伸環烷基可列舉碳數為3~12之單環或多環之伸環烷基(例如伸環己基、伸降莰基、伸金剛烷基等),A之伸烯基可列舉碳數為2~12之伸烯基(例如伸乙烯基、伸丙烯基、伸丁烯基等),A之伸芳基可列舉碳數為6~10之伸芳基(例如伸苯基、甲伸苯基、伸萘基等)。 The alkyl group of A may, for example, be an alkylene group having a carbon number of 1 to 12 (e.g., a methylene group, an exoethyl group, a propyl group, an exo-propyl group, a butyl group, an isobutylene group, etc.), and the elongation of A The cycloalkyl group may be a monocyclic or polycyclic cycloalkyl group having a carbon number of 3 to 12 (e.g., a cyclohexylene group, a decyl group, an adamantyl group, etc.), and an alkenyl group of A may be a carbon number of 2 to 12 alkenyl group (for example, vinyl group, propylene group, butyl group, etc.), and the aryl group of A may be an extended aryl group having a carbon number of 6 to 10 (for example, a phenyl group, a phenylene group) Base, naphthyl, etc.).

另外,具有多個通式(ZVI)所表示之結構的化合物於本發明中亦較佳。例如,亦可為具有通式(ZVI)所表 示之化合物之R209或R210之任意者與通式(ZVI)所表示之另一個化合物之R209或R210之任意者鍵結而成之結構的化合物。 Further, a compound having a plurality of structures represented by the formula (ZVI) is also preferred in the present invention. For example, any one of R 209 or R 210 having a compound represented by the general formula (ZVI) may be bonded to any of R 209 or R 210 of another compound represented by the general formula (ZVI). Structure of the compound.

至於作為酸產生劑(B)之藉由照射光化射線或放射線而分解從而產生酸的化合物中的更佳者,自未曝光部對於含有有機溶劑之顯影液的溶解性良好、難以產生顯影缺陷之觀點考慮,較佳的是所述通式(ZIII)~通式(ZVI)所表示之化合物,亦即所謂之非離子性化合物。其中,更佳的是所述通式(ZV)或通式(ZVI)所表示之化合物。 As for the compound which is decomposed by irradiation with actinic rays or radiation to generate an acid as the acid generator (B), the solubility of the developer containing the organic solvent from the unexposed portion is good, and development defects are hard to occur. From the viewpoint of the above, a compound represented by the above formula (ZIII) to (ZVI), which is a so-called nonionic compound, is preferred. Among them, a compound represented by the above formula (ZV) or formula (ZVI) is more preferred.

而且,自使酸產生效率及酸強度提高之觀點考慮,酸產生劑(B)較佳的是具有產生含有氟原子之酸的結構。 Further, from the viewpoint of improving the acid generation efficiency and the acid strength, the acid generator (B) preferably has a structure in which an acid containing a fluorine atom is generated.

以下表示酸產生劑(B)之具體例,但並不限定於該些具體例。 Specific examples of the acid generator (B) are shown below, but are not limited to these specific examples.

酸產生劑(B)可單獨使用1種或者將2種以上組合使用。於將2種以上組合使用時,較佳的是將產生除氫原子以外的所有原子數為2個以上的不同的2種有機酸的化合物加以組合。 The acid generator (B) may be used alone or in combination of two or more. When two or more types are used in combination, it is preferred to combine a compound having two or more different organic acids having two or more atoms other than a hydrogen atom.

例如,自使酸產生效率及酸強度提高之觀點考慮,可列舉將具有產生含有氟原子之酸的結構的化合物與不具此種結構的化合物併用的態樣。 For example, from the viewpoint of improving the acid production efficiency and the acid strength, a compound having a structure which generates an acid containing a fluorine atom and a compound having no such structure can be used.

作為酸產生劑(B)於組成物中之含量,以感光化射線性或感放射線性樹脂組成物之所有固形物為基準而言較佳的是0.1質量%~20質量%,更佳的是0.5質量%~15質量%,進一步更佳的是1質量%~10質量%。 The content of the acid generator (B) in the composition is preferably from 0.1% by mass to 20% by mass based on the total mass of the photosensitive ray-sensitive or radiation-sensitive resin composition, and more preferably 0.5% by mass to 15% by mass, and more preferably 1% by mass to 10% by mass.

[3]溶劑 [3] Solvent

於調製本發明中之感光化射線性或感放射線性樹脂組成物時所可使用的溶劑,例如可列舉烷二醇單烷基醚羧酸酯、烷二醇單烷基醚、乳酸烷基酯、烷氧基丙酸烷基酯、環狀內酯(較佳的是碳數為4~10)、亦可具有環之單酮化合物(較佳的是碳數為4~10)、碳酸亞烷基酯、烷氧基乙酸烷基酯、丙酮酸烷基酯等有機溶劑。 The solvent which can be used for modulating the photosensitive ray-sensitive or radiation-sensitive resin composition of the present invention may, for example, be an alkylene glycol monoalkyl ether carboxylate, an alkylene glycol monoalkyl ether or an alkyl lactate. , alkoxypropionic acid alkyl ester, cyclic lactone (preferably having a carbon number of 4 to 10), or a monoketone compound having a ring (preferably having a carbon number of 4 to 10), and a carbonic acid An organic solvent such as an alkyl ester, an alkyl alkoxyacetate or an alkyl pyruvate.

該些溶劑之具體例可列舉美國專利申請公開2008/0187860號說明書之[0441]~[0455]中所記載者。 Specific examples of such solvents include those described in [0441] to [0455] of the specification of U.S. Patent Application Publication No. 2008/0187860.

於本發明中,有機溶劑亦可使用於結構中含有羥基之溶劑與不含羥基之溶劑混合而成的混合溶劑。 In the present invention, the organic solvent may be used in a mixed solvent in which a solvent containing a hydroxyl group in the structure and a solvent containing no hydroxyl group are mixed.

作為含有羥基之溶劑、不含羥基之溶劑,可適宜選擇前述之例示化合物,含有羥基之溶劑較佳的是烷二醇單烷基醚、乳酸烷基酯等,更佳的是丙二醇單甲醚(PGME、別名1-甲氧基-2-丙醇)、乳酸乙酯。而且,不含羥基之溶劑較佳的是烷二醇單烷基醚乙酸酯、烷氧基丙酸烷基酯、亦可含有環之單酮化合物、環狀內酯、乙酸烷基酯等,該些中特佳的是丙二醇單甲醚乙酸酯(PGMEA、別名1-甲氧基-2-乙醯氧基丙烷)、乙氧基丙酸乙酯、2-庚酮、γ-丁內酯、環己酮、乙酸丁酯,最佳的是丙二醇單甲醚乙酸酯、乙氧基丙酸乙酯、2-庚酮。 As the solvent containing a hydroxyl group and a solvent containing no hydroxyl group, the above-exemplified compounds can be suitably selected, and the solvent containing a hydroxyl group is preferably an alkylene glycol monoalkyl ether or an alkyl lactate, and more preferably a propylene glycol monomethyl ether. (PGME, alias 1-methoxy-2-propanol), ethyl lactate. Further, the solvent containing no hydroxyl group is preferably an alkylene glycol monoalkyl ether acetate, an alkyl alkoxypropionate, a monoketone compound which may also contain a ring, a cyclic lactone, an alkyl acetate, or the like. Particularly preferred among these are propylene glycol monomethyl ether acetate (PGMEA, alias 1-methoxy-2-ethoxypropane propane), ethyl ethoxypropionate, 2-heptanone, γ-butyl The lactone, cyclohexanone, and butyl acetate are most preferably propylene glycol monomethyl ether acetate, ethyl ethoxy propionate, and 2-heptanone.

含有羥基之溶劑與不含羥基之溶劑之混合比(質量)為1/99~99/1,較佳的是10/90~90/10,更佳的是20/80~60/40。含有50質量%以上之不含羥基之溶劑的混合溶劑於塗佈均一性方面而言特佳。 The mixing ratio (mass) of the solvent containing a hydroxyl group and the solvent containing no hydroxyl group is 1/99 to 99/1, preferably 10/90 to 90/10, more preferably 20/80 to 60/40. A mixed solvent containing 50% by mass or more of a solvent containing no hydroxyl group is particularly preferable in terms of coating uniformity.

較佳的是溶劑包含丙二醇單甲醚乙酸酯,較佳的是丙二醇單甲醚乙酸酯單獨溶劑或者含有丙二醇單甲醚乙酸酯之2種以上之混合溶劑。 Preferably, the solvent contains propylene glycol monomethyl ether acetate, preferably propylene glycol monomethyl ether acetate alone solvent or a mixed solvent of two or more kinds of propylene glycol monomethyl ether acetate.

[4]鹼性化合物 [4] Basic compounds

本發明中之感光化射線性或感放射線性樹脂組成物為了減低自曝光直至加熱的由於隨時間經過而造成之性能變化,亦可含有鹼性化合物。 The photosensitive ray-sensitive or radiation-sensitive resin composition of the present invention may contain a basic compound in order to reduce the change in performance due to the passage of time from exposure to heating.

鹼性化合物較佳的是可列舉具有下述式(A)~式(E)所表示之結構的化合物。 The basic compound is preferably a compound having a structure represented by the following formula (A) to formula (E).

於通式(A)與通式(E)中,R200、R201及R202可相同亦可不同,表示氫原子、烷基(較佳的是碳數為1~20)、環烷基(較佳的是碳數為3~20)或芳基(碳數為6~20),此處,R201與R202亦可相互鍵結而形成環。R203、R204、R205及R206可相同亦可不同,表示碳數為1個~20個之烷基。 In the formula (A) and the formula (E), R 200 , R 201 and R 202 may be the same or different and each represents a hydrogen atom, an alkyl group (preferably, a carbon number of 1 to 20), a cycloalkyl group. (preferably, the carbon number is 3 to 20) or the aryl group (carbon number is 6 to 20), and here, R 201 and R 202 may be bonded to each other to form a ring. R 203 , R 204 , R 205 and R 206 may be the same or different and each represents an alkyl group having 1 to 20 carbon atoms.

關於上述烷基,具有取代基之烷基較佳的是碳數為1~20之胺基烷基、碳數為1~20之羥基烷基或碳數為1~20之氰基烷基。 With respect to the above alkyl group, the alkyl group having a substituent is preferably an aminoalkyl group having 1 to 20 carbon atoms, a hydroxyalkyl group having 1 to 20 carbon atoms or a cyanoalkyl group having 1 to 20 carbon atoms.

更佳的是該些通式(A)與通式(E)中之烷基未經取代。 More preferably, the alkyl groups of the formula (A) and the formula (E) are unsubstituted.

較佳之化合物可列舉胍、胺基吡咯啶、吡唑、吡唑啉、哌嗪、胺基嗎啉、胺基烷基嗎啉、哌啶等,更佳之化合物可列舉:具有咪唑結構、二氮雜雙環結構、鎓氫氧化物結構、鎓羧酸酯結構、三烷基胺結構、苯胺結構或吡啶結構之化合物,具有羥基及/或醚鍵之烷基胺衍生物,具有羥基及/或醚鍵之苯胺衍生物等。 Preferred examples of the compound include anthracene, aminopyrrolidine, pyrazole, pyrazoline, piperazine, aminomorpholine, aminoalkylmorpholine, piperidine, etc., and more preferred compounds include imidazole structure and dinitrogen. a compound having a heterobicyclic structure, a hydrazine hydroxide structure, a hydrazine carboxylate structure, a trialkylamine structure, an aniline structure or a pyridine structure, an alkylamine derivative having a hydroxyl group and/or an ether bond, having a hydroxyl group and/or an ether An aniline derivative such as a bond.

具有咪唑結構的化合物可列舉咪唑、2、4、5-三苯基咪唑、苯并咪唑等。具有二氮雜雙環結構的化合物可列舉1、4-二氮雜雙環[2,2,2]辛烷、1、5-二氮雜雙環[4,3,0]壬-5-烯、1、8-二氮雜雙環[5,4,0]十一碳-7-烯等。具有鎓氫氧化物結構的化合物可列舉三芳基鋶氫氧化物,苯甲醯甲基鋶氫氧化物,具有2-側氧基烷基之鋶氫氧化物,具體而言為三苯基鋶氫氧化物、三(第三丁基苯基)鋶氫氧化物、雙(第三丁基苯基)錪氫氧化物、苯甲醯甲基噻吩鎓氫氧化物、2-側氧基丙基噻吩鎓氫氧化物等。具有鎓羧酸酯結構的化合物是具有鎓氫氧化物結構的化合物的陰離子部成為羧酸酯者,例如可列舉乙酸酯、金剛烷-1-甲酸酯、全氟烷基羧酸酯等。具有三烷基胺結構的化合物可列舉三(正丁基)胺、三(正辛基)胺等。具有苯胺結構的化合物可列舉2,6-二異丙基苯胺、N,N-二甲基苯胺、N,N-二丁基苯胺、N,N-二己基苯胺等。具有羥基及/或醚鍵之烷基胺衍生物可列舉乙醇胺、二乙醇胺、三乙醇胺、三(甲氧基乙氧基乙基)胺等。具有羥基及/或醚鍵之苯胺衍生物可列舉N,N-雙(羥基乙基)苯胺等。 Examples of the compound having an imidazole structure include imidazole, 2, 4, 5-triphenylimidazole, benzimidazole and the like. Examples of the compound having a diazabicyclo structure include 1, 4-diazabicyclo[2,2,2]octane, 1,5-diazabicyclo[4,3,0]non-5-ene, and 1 , 8-diazabicyclo[5,4,0]undec-7-ene, and the like. The compound having a ruthenium hydroxide structure may, for example, be a triarylsulfonium hydroxide, benzamidine methylhydrazine hydroxide, a phosphonium hydroxide having a 2-sided oxyalkyl group, specifically, triphenylphosphonium hydrogen Oxide, tris(t-butylphenyl)phosphonium hydroxide, bis(t-butylphenyl)phosphonium hydroxide, benzamidine methylthiophene hydroxide, 2-sided oxypropylthiophene鎓 hydroxide and the like. The compound having an oxime carboxylate structure is one in which the anion portion of the compound having a ruthenium hydroxide structure is a carboxylic acid ester, and examples thereof include acetate, adamantane-1-carboxylate, perfluoroalkyl carboxylate, and the like. . Examples of the compound having a trialkylamine structure include tri(n-butyl)amine, tris(n-octyl)amine and the like. Examples of the compound having an aniline structure include 2,6-diisopropylaniline, N,N-dimethylaniline, N,N-dibutylaniline, and N,N-dihexylaniline. Examples of the alkylamine derivative having a hydroxyl group and/or an ether bond include ethanolamine, diethanolamine, triethanolamine, tris(methoxyethoxyethyl)amine, and the like. Examples of the aniline derivative having a hydroxyl group and/or an ether bond include N,N-bis(hydroxyethyl)aniline.

較佳之鹼性化合物可進一步列舉具有苯氧基之胺化合物、具有苯氧基之銨鹽化合物、具有磺酸酯基之胺化合物及具有磺酸酯基之銨鹽化合物。 The preferred basic compound may further be an amine compound having a phenoxy group, an ammonium salt compound having a phenoxy group, an amine compound having a sulfonate group, and an ammonium salt compound having a sulfonate group.

所述具有苯氧基之胺化合物、具有苯氧基之銨鹽化合物、具有磺酸酯基之胺化合物及具有磺酸酯基之銨鹽化合物較佳的是至少1個烷基鍵結於氮原子上。而且,較佳的是於所述烷基鏈中具有氧原子而形成氧基伸烷基。氧基伸烷基數於分子內為1個以上,較佳的是3個~9個,更佳的是4個~6個。氧基伸烷基中較佳的是-CH2CH2O-、-CH(CH3)CH2O-或-CH2CH2CH2O-之結構。 The amine compound having a phenoxy group, an ammonium salt compound having a phenoxy group, an amine compound having a sulfonate group, and an ammonium salt compound having a sulfonate group are preferably at least one alkyl group bonded to nitrogen. On the atom. Further, it is preferred to have an oxygen atom in the alkyl chain to form an alkyloxy group. The number of alkyloxy groups is one or more in the molecule, preferably from 3 to 9, more preferably from 4 to 6. Preferred among the oxyalkylene groups are structures of -CH 2 CH 2 O-, -CH(CH 3 )CH 2 O- or -CH 2 CH 2 CH 2 O-.

所述具有苯氧基之胺化合物、具有苯氧基之銨鹽化合物、具有磺酸酯基之胺化合物及具有磺酸酯基之銨鹽化合物之具體例可列舉美國專利申請公開2007/0224539號說明書之[0066]中所例示的化合物(C1-1)~化合物(C3-3),但並不限定於該些化合物。 Specific examples of the phenoxy group-containing amine compound, the phenoxy group-containing ammonium salt compound, the sulfonate group-containing amine compound, and the sulfonate group-containing ammonium salt compound include U.S. Patent Application Publication No. 2007/0224539. The compound (C1-1) to the compound (C3-3) exemplified in the specification [0066] are not limited to these compounds.

而且,上述鹼性化合物亦可為由於酸之作用而使鹼性增大的化合物。其具體例可列舉日本專利特開2007-298569號公報及日本專利特開2009-199021號公報中所記載的化合物,但並不限定於該些化合物。 Further, the above basic compound may be a compound which increases alkalinity due to the action of an acid. Specific examples thereof include the compounds described in JP-A-2007-298569 and JP-A-2009-199021, but are not limited thereto.

本發明中之感光化射線性或感放射線性樹脂組成物可含有鹼性化合物,亦可不含,於含有之情形時,作為鹼性化合物之使用量,以感光化射線性或感放射線性樹脂組成物之固形物為基準而言,通常為0.001質量%~10質量%,較佳的是0.01質量%~5質量%。 The photosensitive ray-sensitive or radiation-sensitive resin composition of the present invention may contain a basic compound or may not be contained. When it is contained, it may be used as a basic compound, and may be composed of a photosensitive ray-sensitive or radiation-sensitive resin. The solid content of the material is usually 0.001% by mass to 10% by mass, preferably 0.01% by mass to 5% by mass.

酸產生劑與鹼性化合物於組成物中之使用比例較佳的是酸產生劑/鹼性化合物(莫耳比)=2.5~300。亦即,自感光度、解像度之方面考慮,莫耳比較佳的是2.5以上,自抑制由於直至曝光後加熱處理之隨時間經過的抗蝕劑圖案粗大所造成之解像度降低之方面考慮,較佳的是300以下。酸產生劑/鹼性化合物(莫耳比)更佳的是5.0~200,進一步更佳的是7.0~150。 The ratio of the acid generator to the basic compound to be used in the composition is preferably an acid generator/basic compound (mole ratio) = 2.5 to 300. That is, from the viewpoint of sensitivity and resolution, it is preferable that the molar is 2.5 or more, and it is preferable from the viewpoint of suppressing the decrease in resolution due to the coarse resist pattern which passes through the heat treatment after the exposure. It is below 300. The acid generator/basic compound (mole ratio) is more preferably 5.0 to 200, still more preferably 7.0 to 150.

[5]界面活性劑 [5] surfactants

本發明中之感光化射線性或感放射線性樹脂組成物可進一步含有界面活性劑,亦可不含,於含有之情形時,更佳的是含有氟及/或矽系界面活性劑(氟系界面活性劑、矽系界面活性劑、具有氟原子與矽原子之雙方的界面活性劑)之任意者或者2種以上。 The sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention may further contain a surfactant or may not be contained. More preferably, it contains a fluorine and/or a lanthanide surfactant (fluorine-based interface). Any one or two or more kinds of the active agent, the lanthanoid surfactant, and the surfactant having both a fluorine atom and a ruthenium atom.

本發明中之感光化射線性或感放射線性樹脂組成物含有界面活性劑,因此於使用250 nm以下、特別是220 nm以下之曝光光源時,變得能夠以良好之感光度及解像度而提供密接性及顯影缺陷少的抗蝕劑圖案。 The photosensitive ray-sensitive or radiation-sensitive resin composition of the present invention contains a surfactant, so that when an exposure light source of 250 nm or less, particularly 220 nm or less is used, it is possible to provide adhesion with good sensitivity and resolution. Resist pattern with less defects and development defects.

氟系及/或矽系界面活性劑可列舉美國專利申請公開第2008/0248425號說明書之[0276]中所記載之界面活性劑,例如Eftop EF301、EF303、(新秋田化成股份有限公司製造)、Fluorad FC430、FC431、FC4430(住友3M股份有限公司製造)、Megafac F171、F173、F176、F189、F113、F110、F177、F120、R08(大日本油墨化學工業股份有限公司製造)、Surflon S-382、SC101、SC102、SC103、SC104、 SC105、SC106、KH-20(旭硝子股份有限公司製造)、Troysol S-366(Troy Chemical Corporation,Inc製造)、GF-300、GF-150(東亞合成化學股份有限公司製造)、Surflon S-393(SEIMI CHEMICAL Co.,Ltd.製造)、Eftop EF121、EF122A、EF122B、RF122C、EF125M、EF135M、EF351、EF352、EF801、EF802、EF601(Jemco Co.,Ltd製造)、PF636、PF656、PF6320、PF6520(OMNOVA公司製造)、FTX-204G、FTX-208G、FTX-218G、FTX-230G、FTX-204D、FTX-208D、FTX-212D、FTX-218D、FTX-222D(Neos股份有限公司製造)等。而且聚矽氧烷聚合物KP-341(信越化學工業股份有限公司製造)亦可作為矽系界面活性劑而使用。 Examples of the fluorine-based and/or lanthanide-based surfactants include the surfactants described in [0276] of the specification of the US Patent Application Publication No. 2008/0248425, for example, Eftop EF301, EF303, (manufactured by New Akita Chemical Co., Ltd.), Fluorad FC430, FC431, FC4430 (manufactured by Sumitomo 3M Co., Ltd.), Megafac F171, F173, F176, F189, F113, F110, F177, F120, R08 (manufactured by Dainippon Ink Chemical Industry Co., Ltd.), Surflon S-382, SC101, SC102, SC103, SC104, SC105, SC106, KH-20 (manufactured by Asahi Glass Co., Ltd.), Troysol S-366 (manufactured by Troy Chemical Corporation, Inc.), GF-300, GF-150 (manufactured by Toagosei Synthetic Chemical Co., Ltd.), Surflon S-393 ( SEIMI CHEMICAL Co., Ltd., Eftop EF121, EF122A, EF122B, RF122C, EF125M, EF135M, EF351, EF352, EF801, EF802, EF601 (manufactured by Jemco Co., Ltd.), PF636, PF656, PF6320, PF6520 (OMNOVA) Manufactured by the company, FTX-204G, FTX-208G, FTX-218G, FTX-230G, FTX-204D, FTX-208D, FTX-212D, FTX-218D, FTX-222D (manufactured by Neos Co., Ltd.), etc. Further, a polyoxyalkylene polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd.) can also be used as a lanthanoid surfactant.

而且,界面活性劑除了如上所示之公知之界面活性劑以外,亦可使用如下之界面活性劑,所述界面活性劑使用有具有由藉由短鏈聚合法(亦稱為短鏈聚合物法)或低聚合法(亦稱為寡聚物法)而製造的氟脂肪族化合物所導出的氟脂肪族基之聚合物。氟脂肪族化合物可藉由日本專利特開2002-90991號公報中所記載之方法而合成。 Further, in addition to the well-known surfactants as shown above, the surfactant may also be a surfactant which is used by a short-chain polymerization method (also referred to as a short-chain polymer method). Or a fluoroaliphatic polymer derived from a fluoroaliphatic compound produced by a low polymerization method (also referred to as an oligomer method). The fluoroaliphatic compound can be synthesized by the method described in JP-A-2002-90991.

與上述相當的界面活性劑可列舉Megafac F178、F-470、F-473、F-475、F-476、F-472(大日本油墨化學工業股份有限公司製造)、具有C6F13基之丙烯酸酯(或甲基丙烯酸酯)與(聚(氧化烯))丙烯酸酯(或甲基丙烯酸酯)之共聚物、具有C3F7基之丙烯酸酯(或甲基丙烯酸酯)與(聚(氧乙烯))丙烯酸酯(或甲基丙烯酸酯)與(聚(氧丙烯))丙烯 酸酯(或甲基丙烯酸酯)之共聚物等。 The surfactants corresponding to the above are exemplified by Megafac F178, F-470, F-473, F-475, F-476, F-472 (manufactured by Dainippon Ink and Chemicals Co., Ltd.), and having a C 6 F 13 group. Copolymer of acrylate (or methacrylate) with (poly(oxyalkylene)) acrylate (or methacrylate), acrylate (or methacrylate) with C 3 F 7 group and (poly( Oxyethylene) a copolymer of acrylate (or methacrylate) and (poly(oxypropylene)) acrylate (or methacrylate).

而且,於本發明中,亦可使用美國專利申請公開第2008/0248425號說明書之[0280]中所記載之氟系及/或矽系界面活性劑以外的其他界面活性劑。 Further, in the present invention, other surfactants other than the fluorine-based and/or lanthanoid surfactants described in [0280] of the specification of U.S. Patent Application Publication No. 2008/0248425 may be used.

該些界面活性劑可單獨使用,而且亦可數種組合使用。 These surfactants may be used singly or in combination of several kinds.

本發明之感光化射線性或感放射線性樹脂組成物可含有界面活性劑,亦可不含,於感光化射線性或感放射線性樹脂組成物含有界面活性劑之情形時,界面活性劑之使用量相對於感光化射線性或感放射線性樹脂組成物總量(溶劑除外)而言較佳的是0.0001質量%~2質量%,更佳的是0.0005質量%~1質量%。 The sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention may or may not contain a surfactant, and the amount of the surfactant used when the sensitizing ray-sensitive or radiation-sensitive resin composition contains a surfactant The amount of the photosensitive ray-sensitive or radiation-sensitive resin composition (excluding the solvent) is preferably 0.0001% by mass to 2% by mass, more preferably 0.0005% by mass to 1% by mass.

[6]其他添加劑 [6] Other additives

本發明中之感光化射線性或感放射線性樹脂組成物可含有羧酸鎓鹽,亦可不含。此種羧酸鎓鹽可列舉美國專利申請公開2008/0187860號說明書之[0605]~[0606]中所記載者 The sensitizing ray-sensitive or radiation-sensitive resin composition in the present invention may or may not contain a cerium carboxylate salt. Such a carboxylic acid sulfonium salt can be exemplified in [0605] to [0606] of the specification of US Patent Application Publication No. 2008/0187860.

該些羧酸鎓鹽可藉由使鋶氫氧化物、錪氫氧化物、銨氫氧化物與羧酸於適當之溶劑中與氧化銀反應而合成。 The cerium carboxylate salts can be synthesized by reacting cerium hydroxide, cerium hydroxide, ammonium hydroxide and a carboxylic acid with silver oxide in a suitable solvent.

於感光化射線性或感放射線性樹脂組成物含有羧酸鎓鹽之情形時,其含量相對於組成物之所有固形物而言通常為0.1質量%~20質量%,較佳的是0.5質量%~10質量%,更佳的是1質量%~7質量%。 When the sensitizing ray-sensitive or radiation-sensitive resin composition contains a cerium carboxylate salt, the content thereof is usually 0.1% by mass to 20% by mass, preferably 0.5% by mass based on the total solid content of the composition. ~10% by mass, more preferably 1% by mass to 7% by mass.

本發明之感光化射線性或感放射線性樹脂組成物中可視需要而進一步含有染料、塑化劑、光敏劑、光吸收劑、 鹼可溶性樹脂、溶解抑制劑及促進對於顯影液之溶解性的化合物(例如分子量為1000以下之酚化合物、具有羧基之脂環族或脂肪族化合物)等。 The sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention may further contain a dye, a plasticizer, a photosensitizer, a light absorbing agent, as needed, An alkali-soluble resin, a dissolution inhibitor, and a compound which promotes solubility in a developing solution (for example, a phenol compound having a molecular weight of 1,000 or less, an alicyclic group having a carboxyl group or an aliphatic compound).

此種分子量為1000以下之酚化合物例如能夠以日本專利特開平4-122938號、日本專利特開平2-28531號、美國專利第4,916,210、歐洲專利第219294等中所記載之方法為參考,由本領域之技術人員容易地合成。 Such a phenolic compound having a molecular weight of 1,000 or less can be referred to, for example, by the method described in Japanese Patent Laid-Open No. Hei 4-122938, Japanese Patent Application Laid-Open No. Hei No. Hei No. Hei No. Hei No. Hei. The technicians easily synthesize.

具有羧基之脂環族或脂肪族化合物之具體例可列舉膽酸、去氧膽酸、石膽酸等具有類固醇結構的羧酸衍生物、金剛烷羧酸衍生物、金剛烷二羧酸、環己羧酸、環己二羧酸等,但並不限定於該些化合物。 Specific examples of the alicyclic or aliphatic compound having a carboxyl group include a carboxylic acid derivative having a steroid structure such as cholic acid, deoxycholic acid, and lithocholic acid, an adamantanecarboxylic acid derivative, an adamantane dicarboxylic acid, and a ring. Hexacarboxylic acid, cyclohexanedicarboxylic acid, etc., but not limited to these compounds.

作為本發明中之感光化射線性或感放射線性樹脂組成物,自解像力提高之觀點考慮,較佳的是以30 nm~250 nm之膜厚而使用,更佳的是以30 nm~200 nm之膜厚而使用。藉由將組成物中之固形物濃度設定為適宜之範圍而使其具有適度之黏度,使塗佈性、製膜性提高,可使其成為此種膜厚。 The photosensitive ray-sensitive or radiation-sensitive resin composition in the present invention is preferably used in a film thickness of 30 nm to 250 nm from the viewpoint of improving the resolution, and more preferably 30 nm to 200 nm. The film thickness is used. By setting the solid content in the composition to a suitable range to have an appropriate viscosity, the coatability and film formability are improved, and the film thickness can be made.

本發明中之感光化射線性或感放射線性樹脂組成物之固形物濃度通常為1.0質量%~15質量%,較佳的是2.5質量%~13質量%,更佳的是3.0質量%~12質量%。藉由使固形物濃度為所述範圍,可將抗蝕劑溶液均一地塗佈於基板上,進一步可形成具有高解像性及矩形之輪廓,且耐蝕刻性優異之抗蝕劑圖案。其理由尚不明確,可能是藉由將固形物濃度設為10質量%以下、較佳的是5.7質量% 以下,可抑制抗蝕劑溶液中之素材、特別是光酸產生劑之凝聚,其結果可形成均一之抗蝕膜。 The solid content of the photosensitive ray-sensitive or radiation-sensitive resin composition in the present invention is usually 1.0% by mass to 15% by mass, preferably 2.5% by mass to 13% by mass, more preferably 3.0% by mass to 12% by mass. quality%. By setting the solid content concentration to the above range, the resist solution can be uniformly applied onto the substrate, and a resist pattern having high resolution and a rectangular outline and excellent in etching resistance can be formed. The reason for this is not clear, and it may be that the solid content concentration is 10% by mass or less, preferably 5.7% by mass. Hereinafter, aggregation of the material in the resist solution, particularly the photoacid generator, can be suppressed, and as a result, a uniform resist film can be formed.

所謂固形物濃度是溶劑除外的其他抗蝕劑成分的重量相對於感光化射線性或感放射線性樹脂組成物的總重量的重量百分率。 The solid content concentration is a weight percentage of the weight of the other resist component excluding the solvent with respect to the total weight of the sensitizing ray-sensitive or radiation-sensitive resin composition.

本發明之抗蝕膜是由上述感光化射線性或感放射線性樹脂組成物所形成之膜,例如是藉由於基材上塗佈感光化射線性或感放射線性樹脂組成物而形成的膜。 The resist film of the present invention is a film formed of the above-described sensitized ray-sensitive or radiation-sensitive resin composition, and is formed, for example, by coating a sensitizing ray-sensitive or radiation-sensitive resin composition on a substrate.

本發明中之感光化射線性或感放射線性樹脂組成物可將上述成分溶解於規定之有機溶劑、較佳的是所述混合溶劑中,進行過濾器過濾後,塗佈於規定之支撐體(基板)上而使用。較佳的是過濾器過濾中所使用的過濾器是孔徑為0.1 μm以下,更佳的是0.05 μm以下,進一步更佳的是0.03 μm以下的聚四氟乙烯製、聚乙烯製、尼龍製過濾器。於過濾器過濾中,例如亦可如日本專利特開2002-62667號公報那樣進行循環的過濾,或者將多種過濾器串列或並列連接而進行過濾。而且,亦可對組成物進行多次過濾。另外,於過濾器過濾之前後,亦可對組成物進行脫氣處理等。 In the sensitizing ray-sensitive or radiation-sensitive resin composition of the present invention, the above-mentioned components may be dissolved in a predetermined organic solvent, preferably in the mixed solvent, filtered, and applied to a predetermined support ( Used on the substrate). Preferably, the filter used in the filter filtration has a pore diameter of 0.1 μm or less, more preferably 0.05 μm or less, and still more preferably 0.03 μm or less of polytetrafluoroethylene, polyethylene or nylon. Device. In the filter filtration, for example, filtration may be carried out as in the case of JP-A-2002-62667, or a plurality of filters may be connected in series or in parallel to perform filtration. Moreover, the composition can be filtered multiple times. Further, after the filter is filtered, the composition may be subjected to a degassing treatment or the like.

[實例] [Example]

以下,藉由實例對本發明加以詳細說明,但本發明之內容並不限定於此。 Hereinafter, the present invention will be described in detail by way of examples, but the invention is not limited thereto.

<合成例(樹脂P-1之合成)> <Synthesis Example (Synthesis of Resin P-1)>

將環己酮51.3質量份於氮氣流下加熱至80℃。一面對該液體進行攪拌,一面以4小時滴加下述結構式A所表 示之單體27.8質量份、下述結構式B所表示之單體21.0質量份、環己酮95.2質量份、2,2’-偶氮雙異丁酸二甲酯[V-601、和光純藥工業股份有限公司製造]1.73質量份而成之混合溶液。於滴加結束後,於80℃下進一步攪拌2小時。將反應液放置冷卻後,藉由大量的己烷/乙酸乙酯(質量比:8/2)進行再沈澱、過濾,對所得之固體進行真空乾燥,藉此獲得本發明之樹脂(P-1)45.2質量份。 51.3 parts by mass of cyclohexanone was heated to 80 ° C under a nitrogen stream. While stirring the liquid, the following structural formula A was added dropwise over 4 hours. 27.8 parts by mass of the monomer shown, 21.0 parts by mass of the monomer represented by the following structural formula B, 95.2 parts by mass of cyclohexanone, dimethyl 2,2'-azobisisobutyrate [V-601, and pure light Manufactured by Pharmaceutical Industry Co., Ltd.] 1.73 parts by mass of a mixed solution. After the completion of the dropwise addition, the mixture was further stirred at 80 ° C for 2 hours. After the reaction solution was allowed to stand for cooling, it was reprecipitated by a large amount of hexane/ethyl acetate (mass ratio: 8/2), filtered, and the obtained solid was vacuum dried, whereby the resin of the present invention (P-1) was obtained. ) 45.2 parts by mass.

所得之樹脂的由GPC(載體:四氫呋喃(THF))所求得之重量平均分子量(Mw:聚苯乙烯換算)為Mw=15000,分散度為Mw/Mn=1.72。藉由13C-NMR而測定的組成比為50/50。 The weight average molecular weight (Mw: polystyrene conversion) obtained by GPC (carrier: tetrahydrofuran (THF)) of the obtained resin was Mw=15000, and the degree of dispersion was Mw/Mn=1.72. The composition ratio measured by 13 C-NMR was 50/50.

關於樹脂P-2~樹脂P-31,亦與樹脂P-1同樣地合成。將所合成之樹脂P-1~樹脂P31之結構表示如下。 The resin P-2 to the resin P-31 were also synthesized in the same manner as the resin P-1. The structure of the synthesized resin P-1 to resin P31 is shown as follows.

於下述表1中表示上述所合成的樹脂之各重複單元的組成比、重量平均分子量(Mw)、及分散度(Mw/Mn)。於表1中,表示各重複單元之組成比的數值之記載順序,自左至右與上述中所示之樹脂P-1~樹脂P-31之結構式中的各重複單元之排列順序對應。 The composition ratio, the weight average molecular weight (Mw), and the degree of dispersion (Mw/Mn) of each repeating unit of the above-described synthesized resin are shown in Table 1 below. In Table 1, the order of the numerical values indicating the composition ratio of each repeating unit corresponds to the order of arrangement of the respective repeating units in the structural formula of the resin P-1 to the resin P-31 shown in the above from left to right.

<酸產生劑> <acid generator>

酸產生劑使用以下之化合物(PAG-1)~化合物(PAG-8)。 As the acid generator, the following compound (PAG-1)~ compound (PAG-8) was used.

<鹼性化合物> <alkaline compound>

鹼性化合物使用以下之化合物(N-1)~化合物(N-4)。 As the basic compound, the following compound (N-1) to the compound (N-4) was used.

<界面活性劑> <Surfactant>

界面活性劑可自下述(W-1)~(W-6)中適宜選擇而使用。 The surfactant can be suitably selected from the following (W-1) to (W-6).

W-1:Megafac F176(大日本油墨化學工業股份有限公司製造;氟系) W-1: Megafac F176 (manufactured by Dainippon Ink Chemical Industry Co., Ltd.; fluorine system)

W-2:Megafac R08(大日本油墨化學工業股份有限公司製造;氟及矽系)W-3:聚矽氧烷聚合物KP-341(信越化學工業股份有限公司製造;矽系) W-2: Megafac R08 (manufactured by Dainippon Ink Chemical Industry Co., Ltd.; fluorine and lanthanide) W-3: polyoxyalkylene polymer KP-341 (manufactured by Shin-Etsu Chemical Co., Ltd.;

W-4:Troysol S-366(Troy Chemical Corporation,Inc製造) W-4: Troysol S-366 (manufactured by Troy Chemical Corporation, Inc.)

w-5:KH-20(旭硝子股份有限公司製造) W-5: KH-20 (made by Asahi Glass Co., Ltd.)

W-6:PolyFox PF-6320(OMNOVA Solutions Inc.製造;氟系) W-6: PolyFox PF-6320 (manufactured by OMNOVA Solutions Inc.; fluorine system)

<溶劑> <solvent>

溶劑可自下述SL-1~SL-8中適宜選擇而使用。 The solvent can be suitably selected from the following SL-1 to SL-8.

(a群組) (a group)

SL-1:丙二醇單甲醚乙酸酯(PGMEA) SL-1: Propylene glycol monomethyl ether acetate (PGMEA)

SL-2:丙二醇單甲醚丙酸酯 SL-2: Propylene glycol monomethyl ether propionate

SL-3:2-庚酮 SL-3: 2-heptanone

(b群組) (group b)

SL-4:乳酸乙酯 SL-4: ethyl lactate

SL-5:丙二醇單甲醚(PGME) SL-5: Propylene Glycol Monomethyl Ether (PGME)

SL-6:環己酮 SL-6: cyclohexanone

(c群組) (c group)

SL-7:γ-丁內酯 SL-7: γ-butyrolactone

SL-8:碳酸丙二酯 SL-8: propylene carbonate

<抗蝕劑之調製> <Refraction Modification>

將下述表2中所示之成分以固形物為5.10質量%而溶解於表2所示之溶劑中,分別用具有0.1 μm之孔徑的聚乙烯過濾器進行過濾,調製實例1~實例32之感光化射線性或感放射線性樹脂組成物(抗蝕劑組成物)。 The components shown in the following Table 2 were dissolved in the solvent shown in Table 2 at a solid content of 5.10% by mass, and respectively filtered with a polyethylene filter having a pore diameter of 0.1 μm to prepare Examples 1 to 32. A sensitizing ray-sensitive or radiation-sensitive resin composition (resist composition).

為了評價如上所述而製作的抗蝕劑組成物,製作具有階差之基板,評價抗蝕劑組成物於該階差基板上的圖案形成性。關於該方法,參照圖1加以說明。 In order to evaluate the resist composition prepared as described above, a substrate having a step was prepared, and the pattern formation property of the resist composition on the step substrate was evaluated. This method will be described with reference to Fig. 1 .

<具有階差之基板之製成> <Manufacture of substrate with step difference>

於圖1(a)所示之於表面具有500 nm之SiO2膜2的Si基板1上,如圖1(b)所示那樣塗佈正型抗蝕劑組成物3(FAiRS-G104;FUJIFILM Electronic materials Co.,Ltd.製造)以使膜厚成為200 nm,於100℃下進行60秒之烘烤。其後,透過空開180 nm之間隔而具有寬220 nm之線圖案的遮罩,使用ArF準分子雷射(ASML公司製造之PAS5500/1100)而進行曝光,於95℃下進行60秒之烘烤。將該進行了曝光的晶圓於2.38質量%之四甲基氫氧化銨水溶液中進行30秒之顯影,其後使用純水進行沖洗,進行旋轉乾燥而獲得線部為220 nm、間隙部為180 nm的抗蝕劑圖案(圖1(c))。 On the Si substrate 1 having a SiO 2 film 2 having a surface of 500 nm as shown in Fig. 1(a), a positive resist composition 3 (FAiRS-G104; FUJIFILM) was applied as shown in Fig. 1(b). Electronic materials Co., Ltd.) was baked at 100 ° C for 60 seconds with a film thickness of 200 nm. Thereafter, a mask having a line pattern of 220 nm wide at intervals of 180 nm was used, and exposure was performed using an ArF excimer laser (PAS5500/1100 manufactured by ASML), and baking was performed at 95 ° C for 60 seconds. grilled. The exposed wafer was developed in a 2.38 mass% tetramethylammonium hydroxide aqueous solution for 30 seconds, and then rinsed with pure water and spin-dried to obtain a line portion of 220 nm and a gap portion of 180. Resist pattern of nm (Fig. 1(c)).

關於此種形成了圖案的晶圓,使用蝕刻裝置(ULVAC公司製造之NLD-800)而藉由CF4氣體進行30秒之蝕刻處理,由此而對SiO2膜2進行100 nm之蝕刻(圖1(d))。將該進行了蝕刻之晶圓於鹼性顯影液(TMAH:2.38%)中浸漬60秒,由此而除去抗蝕劑組成物,製成如圖1(e)所示之具有階差的基板。 With respect to such a patterned wafer, etching treatment was performed for 30 seconds by CF 4 gas using an etching apparatus (NLD-800 manufactured by ULVAC Co., Ltd.), thereby etching the SiO 2 film 2 at 100 nm (Fig. 1(d)). The etched wafer was immersed in an alkaline developing solution (TMAH: 2.38%) for 60 seconds to remove the resist composition, thereby producing a substrate having a step as shown in FIG. 1(e). .

<於具有階差之基板上的圖案形成性的評價> <Evaluation of pattern formation on a substrate having a step difference>

於如上所述而製成的具有階差之基板上,以凸部上膜厚成為150 nm之方式塗佈上述所製作的實例1~實例32 之抗蝕劑組成物4(圖1(f)),於100℃下進行60秒之前加熱步驟(PB)。其後,透過空開400 nm之間隔而具有寬400 nm之線圖案的遮罩,使用KrF準分子雷射(ASML公司製造之PAS-5500/850)進行曝光,於110℃下進行60秒之曝光後烘烤(PEB)。關於該進行了曝光之晶圓,使用有機溶劑(乙酸丁酯)而進行30秒之顯影,然後於4000 rpm之轉速下使晶圓旋轉30秒,藉此而嘗試形成如圖1(g)所示之圖案。 On the substrate having the step prepared as described above, the above-described Example 1 to Example 32 were applied so that the film thickness on the convex portion became 150 nm. The resist composition 4 (Fig. 1 (f)) was subjected to a heating step (PB) at 100 ° C for 60 seconds. Thereafter, a mask having a line pattern of 400 nm wide at intervals of 400 nm was used, and exposure was performed using a KrF excimer laser (PAS-5500/850 manufactured by ASML), and subjected to exposure at 110 ° C for 60 seconds. Post-exposure bake (PEB). The exposed wafer was developed using an organic solvent (butyl acetate) for 30 seconds, and then the wafer was rotated at 4000 rpm for 30 seconds, thereby attempting to form a pattern as shown in FIG. 1(g). Show the pattern.

其結果,於使用本發明之組成物之情形時,於實例1~實例32之任意情形時,均可藉由KrF曝光而於具有階差之基板上形成目標圖案。 As a result, in the case of using the composition of the present invention, in any of the cases of Examples 1 to 32, the target pattern can be formed on the substrate having the step by KrF exposure.

1‧‧‧Si基板 1‧‧‧Si substrate

2‧‧‧SiO22‧‧‧SiO 2 film

3‧‧‧正型抗蝕劑組成物 3‧‧‧Positive resist composition

4‧‧‧抗蝕劑組成物 4‧‧‧resist composition

圖1(a)~圖1(g)是表示具有階差之基板之製作方法之圖。 1(a) to 1(g) are views showing a method of fabricating a substrate having a step.

1‧‧‧Si基板 1‧‧‧Si substrate

2‧‧‧SiO22‧‧‧SiO 2 film

3‧‧‧正型抗蝕劑組成物 3‧‧‧Positive resist composition

4‧‧‧抗蝕劑組成物 4‧‧‧resist composition

Claims (9)

一種圖案形成方法,其包含如下步驟:(一)使用感光化射線性或感放射線性樹脂組成物而形成膜的步驟,所述感光化射線性或感放射線性樹脂組成物含有具有由下述通式(I)及通式(II)之至少1個所表示之至少1種重複單元(a)、以及由下述通式(III)、通式(IV)及通式(V)之至少1個所表示之至少1種重複單元(b)的樹脂(P)與藉由照射光化射線或放射線而產生酸之化合物(B);(二)藉由KrF準分子雷射對所述膜進行曝光的步驟;以及(三)使用包含有機溶劑之顯影液對所述膜進行顯影,形成負型圖案的步驟, 式中, R11、R21、R31、R41、R51各自獨立地表示氫原子或甲基;R12表示氫原子或-COOCH3基;X表示亞甲基或氧原子;R32、R42、R52各自獨立地表示碳數為1~4之烷基;m表示0或1;以及n表示1或2。 A pattern forming method comprising the steps of: (1) forming a film by using a sensitizing ray-sensitive or radiation-sensitive resin composition, wherein the sensitized ray-sensitive or radiation-sensitive resin composition has a pass At least one repeating unit (a) represented by at least one of the formula (I) and the formula (II), and at least one of the following formula (III), formula (IV) and formula (V) a resin (P) representing at least one repeating unit (b) and a compound (B) which generates an acid by irradiation with actinic rays or radiation; (2) exposing the film by a KrF excimer laser And; (iii) developing the film using a developer containing an organic solvent to form a negative pattern, Wherein R 11 , R 21 , R 31 , R 41 and R 51 each independently represent a hydrogen atom or a methyl group; R 12 represents a hydrogen atom or a -COOCH 3 group; X represents a methylene group or an oxygen atom; R 32 , R 42 and R 52 each independently represent an alkyl group having 1 to 4 carbon atoms; m represents 0 or 1; and n represents 1 or 2. 如申請專利範圍第1項所述之圖案形成方法,其中所述重複單元(a)包含至少1個所述通式(I)所表示之重複單元,所述重複單元(b)包含至少1個所述通式(IV)所表示之重複單元。 The pattern forming method according to claim 1, wherein the repeating unit (a) comprises at least one repeating unit represented by the above formula (I), and the repeating unit (b) comprises at least one The repeating unit represented by the above formula (IV). 如申請專利範圍第1項所述之圖案形成方法,其中所述顯影液中所含之有機溶劑是選自由酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑所構成之群組的至少1種溶劑。 The pattern forming method according to claim 1, wherein the organic solvent contained in the developer is selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, a guanamine solvent, and an ether solvent. At least one solvent of the group. 如申請專利範圍第1項所述之圖案形成方法,其中於使用所述顯影液而對所述膜進行顯影之步驟之後,進一步包含:(四)使用含有有機溶劑之沖洗液對所述膜進行清洗之步驟。 The pattern forming method according to claim 1, wherein after the step of developing the film by using the developer, the method further comprises: (4) performing the film on the film using a rinsing liquid containing an organic solvent. The steps of cleaning. 如申請專利範圍第1項所述之圖案形成方法,其中所述負型圖案形成於階差基板上。 The pattern forming method according to claim 1, wherein the negative pattern is formed on a step substrate. 一種感光化射線性或感放射線性樹脂組成物,其用於如申請專利範圍第1項至第5項中任一項所述之圖案形成方法中。 A sensitizing ray-sensitive or radiation-sensitive resin composition for use in a pattern forming method according to any one of claims 1 to 5. 一種感光化射線性或感放射線性膜,其藉由如申請專利範圍第6項所述之感光化射線性或感放射線性樹脂組成物而形成。 A photosensitive ray-sensitive or radiation-sensitive linear film formed by the sensitizing ray-sensitive or radiation-sensitive resin composition as described in claim 6 of the patent application. 一種電子裝置的製造方法,其包含如申請專利範圍第1項至第5項中任一項所述之圖案形成方法。 A method of manufacturing an electronic device, comprising the pattern forming method according to any one of claims 1 to 5. 一種電子裝置,其藉由如申請專利範圍第8項所述之電子裝置的製造方法而製造。 An electronic device manufactured by the method of manufacturing an electronic device according to claim 8 of the patent application.
TW101122912A 2011-06-28 2012-06-27 Method of forming pattern TWI548942B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011143181A JP5775754B2 (en) 2011-06-28 2011-06-28 Pattern forming method and electronic device manufacturing method

Publications (2)

Publication Number Publication Date
TW201303506A true TW201303506A (en) 2013-01-16
TWI548942B TWI548942B (en) 2016-09-11

Family

ID=47424183

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101122912A TWI548942B (en) 2011-06-28 2012-06-27 Method of forming pattern

Country Status (4)

Country Link
JP (1) JP5775754B2 (en)
KR (1) KR20140043410A (en)
TW (1) TWI548942B (en)
WO (1) WO2013002295A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6140487B2 (en) * 2013-03-14 2017-05-31 富士フイルム株式会社 Pattern forming method and electronic device manufacturing method
JP6186168B2 (en) * 2013-05-02 2017-08-23 富士フイルム株式会社 Pattern forming method and electronic device manufacturing method
JP6036619B2 (en) * 2013-09-13 2016-11-30 Jsr株式会社 Resin composition and resist pattern forming method
JP6233240B2 (en) * 2013-09-26 2017-11-22 信越化学工業株式会社 Pattern formation method
KR102205849B1 (en) * 2013-12-03 2021-01-21 주식회사 동진쎄미켐 Photoresist composition and method for forming fine pattern using the same
CN108594605A (en) * 2018-01-09 2018-09-28 东莞市耀辉化工科技有限公司 A kind of environment-protecting clean developer solution of wiring board welding masking developing
EP4210089A4 (en) 2020-09-04 2024-02-21 Fujifilm Corp Method for manufacturing organic layer pattern, and method for manufacturing semiconductor device
KR20220079086A (en) 2020-12-04 2022-06-13 이송하 mirror nail
KR20220153176A (en) 2021-05-11 2022-11-18 유수민 artificial nail with thin mirror film attached

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4554665B2 (en) * 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
TWI417274B (en) * 2008-12-04 2013-12-01 Shinetsu Chemical Co Sulfonium salt, acid generator, resist composition, photomask blank, and patterning process
TWI488004B (en) * 2009-07-02 2015-06-11 Jsr Corp Sensitive radiation linear resin composition
JP5675125B2 (en) * 2009-09-30 2015-02-25 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, and pattern formation method using the photosensitive composition

Also Published As

Publication number Publication date
WO2013002295A1 (en) 2013-01-03
JP2013011678A (en) 2013-01-17
TWI548942B (en) 2016-09-11
KR20140043410A (en) 2014-04-09
JP5775754B2 (en) 2015-09-09

Similar Documents

Publication Publication Date Title
TWI545401B (en) Method for forming pattern, activating-light-sensitive or radiation-sensitive resin composition, resist film, method for forming electronic device and electronic device
TWI548942B (en) Method of forming pattern
TWI501028B (en) Pattern forming method, chemical amplification resist composition and resist film
TWI607489B (en) Method for forming pattern, method for manufacturing electronic device by using the same and electronic device
TWI556058B (en) Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for manufacturing electronic device, and electronic device
TW201443565A (en) Pattern forming method, electronic device and manufacturing method thereof
TWI514077B (en) Method of forming pattern, resin composition, film and process for manufacturing semiconductor device
TWI564662B (en) Method for forming pattern, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for producing electronic device and electronic device
TWI627505B (en) Pattern forming method, etching method, method for manufacturing electronic device, and electronic device
TW201435501A (en) Actinic ray sensitive resin composition or radiation sensitive resin composition, pattern forming method, resist film, method for producing electronic device and electronic device
WO2015133235A1 (en) Pattern forming method, etching method, method for manufacturing electronic device, and electronic device
JP6865290B2 (en) Sensitive light-sensitive or radiation-sensitive resin composition, sensitive light-sensitive or radiation-sensitive resin film, pattern forming method, and method for manufacturing an electronic device.
TW201636735A (en) Pattern forming method, etching method and method for manufacturing electronic device
TWI588604B (en) Actinic ray sensitive or radiation sensitive resin composition, actinic ray sensitive or radiation sensitive film, pattern formation method, electronic device manufacturing method and electronic device
JP6028067B2 (en) Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, and method for producing electronic device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees