TW201245216A - Compound for organic transistor - Google Patents

Compound for organic transistor Download PDF

Info

Publication number
TW201245216A
TW201245216A TW101104201A TW101104201A TW201245216A TW 201245216 A TW201245216 A TW 201245216A TW 101104201 A TW101104201 A TW 101104201A TW 101104201 A TW101104201 A TW 101104201A TW 201245216 A TW201245216 A TW 201245216A
Authority
TW
Taiwan
Prior art keywords
same
compound
group
atom
substituted
Prior art date
Application number
TW101104201A
Other languages
Chinese (zh)
Inventor
Yasuo Miyata
Mitsuhiro Matsumoto
Original Assignee
Sumitomo Chemical Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Chemical Co filed Critical Sumitomo Chemical Co
Publication of TW201245216A publication Critical patent/TW201245216A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • C07F7/081Compounds with Si-C or Si-Si linkages comprising at least one atom selected from the elements N, O, halogen, S, Se or Te
    • C07F7/0812Compounds with Si-C or Si-Si linkages comprising at least one atom selected from the elements N, O, halogen, S, Se or Te comprising a heterocyclic ring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/40Organosilicon compounds, e.g. TIPS pentacene
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/60Organic compounds having low molecular weight
    • H10K85/649Aromatic compounds comprising a hetero atom
    • H10K85/657Polycyclic condensed heteroaromatic hydrocarbons
    • H10K85/6576Polycyclic condensed heteroaromatic hydrocarbons comprising only sulfur in the heteroaromatic polycondensed ring system, e.g. benzothiophene

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Thin Film Transistor (AREA)

Abstract

A compound represented by formula (1) [wherein X, Y, W and Z independently represent a sulfur atom, an oxygen atom or a selenium atom; n represents 0 or 1; and P1, P2, Q1 and Q2 independently represent a group represented by formula (2) (wherein R represents a hydrogen atom, an alkyl group which may be substituted, an aryl group which may be substituted, or a silyl group which may be substituted), an aromatic hydrocarbon group which may be substituted, or an aromatic heterocyclic group which may be substituted, wherein at least one group selected from P1, P2, Q1 and Q2 represents the group represented by formula (2)], which can be used in a thin film that can act as an organic semiconductor active layer.

Description

201245216 六、發明說明: 【發明所屬之技術領域】 本發明係關於化合物 '該化合物之製造方法、含該化_ 合物之薄膜及含該薄膜之有機電晶體等。 【先前技術】 最近,已使用有機電晶體作爲電子紙、大畫面可燒式 顯示器等之元件。該等有機電晶體係由有機半導體活性層 、基板、絕緣層、電極等之構件所構成,例如,特開 2006-1 1 458 1號公報中記載含有真空蒸鍍并五苯( pentacene )獲得之薄膜作爲有機半導體活性層之有機電晶 體。 該種情況下’要求可獲得有機半導體活性層之薄膜之 新穎化合物。 【發明內容】 本發明提供一種可獲得有機半導體活性層之薄膜之新 穎化合物。 〈1 &gt; 一種化合物’其係以下式(1 )表示:201245216 VI. Description of the Invention: [Technical Field of the Invention] The present invention relates to a compound 'the method for producing the compound, a film containing the compound, an organic transistor containing the film, and the like. [Prior Art] Recently, an organic transistor has been used as an element of an electronic paper, a large-screen burnable display or the like. The organic electro-optic system is composed of a member such as an organic semiconductor active layer, a substrate, an insulating layer, and an electrode. For example, JP-A-2006-1 1 458 1 discloses vacuum vapor deposition and pentacene. The film serves as an organic transistor of an organic semiconductor active layer. In this case, a novel compound which requires a film of an organic semiconductor active layer is required. SUMMARY OF THE INVENTION The present invention provides a novel compound which can obtain a film of an organic semiconductor active layer. <1 &gt; A compound 'is represented by the following formula (1):

[式中,X、Y、W及Z各獨立表示硫原子、氧原子或 晒原子,η表示0或1, -5- 201245216 P1、P2、Q1及Q2各獨立表示以下式(2)表示之基: —=R (2) (式中,R表示氫原子、可經取代之烷基、可經取# 之芳基或可經取代之矽烷基)、 可經取代之芳香族烴基或可經取代之芳香族雜環基, 其中,P1、P2、Q1及Q2之至少一個基爲以式(2)表示之 基]。 〈2〉如〈1〉所記載之化合物,其中以式(2 )表示 之基爲以式(3)表示之基: (3) =-Si—R2[wherein, X, Y, W and Z each independently represent a sulfur atom, an oxygen atom or a sun atom, and η represents 0 or 1, -5 - 201245216 P1, P2, Q1 and Q2 each independently represent the following formula (2) Base: —=R (2) (wherein R represents a hydrogen atom, a substituted alkyl group, an optionally substituted aryl group or a substituted fluorenyl group), a substituted aromatic hydrocarbon group or a The substituted aromatic heterocyclic group, wherein at least one of P1, P2, Q1 and Q2 is a group represented by the formula (2). <2> The compound according to <1>, wherein the group represented by the formula (2) is a group represented by the formula (3): (3) = -Si-R2

I R3 (式中,R1、R2及R3各獨立表示碳數1〜16之烷基或 碳數6〜12之芳基)。 〈3 &gt;如〈1〉或〈2〉所記載之化合物,其中X、γ、 W及Z均爲硫原子。 〈4〉如〈1〉〜〈3〉項中任一項所記載之化合物,其 中P1及P2爲相同之以式(2)表示之基,Qi及Q2爲相同 且爲芳香族烴基或芳香族雜環基。 〈5〉如〈1〉〜〈4〉項中任一項所記載之化合物,其 中η爲0 -6- 201245216 〈6〉如〈1〉〜〈5〉項中任一項所記載之化合物,其 中Q1及Q2爲相同且爲噻吩并[3,2-b]噻吩-2-基。 〈7〉一種以下式(lb)表示之化合物之製造方法,I R3 (wherein R1, R2 and R3 each independently represent an alkyl group having 1 to 16 carbon atoms or an aryl group having 6 to 12 carbon atoms). <3> The compound according to <1> or <2>, wherein X, γ, W and Z are each a sulfur atom. <4> The compound according to any one of <1> to <3> wherein P1 and P2 are the same group represented by the formula (2), and Qi and Q2 are the same and are an aromatic hydrocarbon group or an aromatic group. Heterocyclic group. The compound according to any one of the items <1> to <4>, wherein η is 0 -6 - 201245216 <6> the compound according to any one of <1> to <5>, Wherein Q1 and Q2 are the same and are thieno[3,2-b]thiophen-2-yl. <7> A method for producing a compound represented by the following formula (lb),

(式中,n' W、X、Y、Z、R及Q表示與下述相同之 義),其係包含 在過渡金屬化合物存在下,使含Q之金屬化合物(其 中,Q表示芳香族烴基或芳香族雜環基),與以下式(ia )表示之化合物反應之步驟:(wherein n' W, X, Y, Z, R and Q have the same meanings as defined below), which comprises a metal compound containing Q in the presence of a transition metal compound (wherein Q represents an aromatic hydrocarbon group) Or an aromatic heterocyclic group), a step of reacting with a compound represented by the following formula (ia):

(式中,X、Y、W及Z各獨立表示硫原子、氧原子 或硒原子,R表示氫原子、可經取代之烷基、可經取代之 芳基或可經取代之矽烷基,η表示0或1,X^各獨立表示 鹵素原子)。 〈8〉一種以下式(1 a )表示之化合物,(wherein X, Y, W and Z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and R represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or a substituted decyl group, η Indicates 0 or 1, and X^ each independently represents a halogen atom). <8> a compound represented by the following formula (1 a ),

201245216 (式中,X、Y、W及z各獨立表示硫原子、氧原子 或硒原子,R表示氫原子、可經取代之烷基、可經取代之 芳基或可經取代之矽烷基,η表示〇或1,χΐ各獨立表示 鹵素原子)。 〈9〉如〈8 &gt;項所記載之化合物,其中式(〗a )中之 ▽、乂、丫及2均爲硫原子。 〈1 〇〉如〈8〉或〈9〉項所記載之化合物,其中式( la )中之n爲〇。 〈1 1〉如〈8〉〜〈1 〇〉項中任—項所記載之化合物, 其中式(la)中之χΐ均爲碘原子。 〈1 2 &gt;如〈8〉〜〈1丨〉項中任—項所記載之化合物, 其中R係以下式表示:201245216 (wherein, X, Y, W and z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and R represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or a substituted decyl group, η represents 〇 or 1, and χΐ each independently represents a halogen atom). <9> The compound according to the item <8>, wherein in the formula (?a), ruthenium, osmium, iridium and 2 are each a sulfur atom. <1 〇> The compound described in the item <8> or <9>, wherein n in the formula ( la ) is 〇. <11> The compound according to any one of the items <8> to <1>, wherein the oxime in the formula (la) is an iodine atom. <1 2 &gt; The compound described in any one of the items <8> to <1丨>, wherein R is represented by the following formula:

(式中,R 、R2及R3各獨立表示碳數丨〜16之烷基或 碳數6〜1 2之芳基)^ 〈13〉種以下式(la)表示之化合物之製造方法,(wherein R, R2 and R3 each independently represent an alkyl group having a carbon number of 1616 or an aryl group having a carbon number of 6 to 12). <13> A method for producing a compound represented by the following formula (la);

-8 - 201245216 (式中’^尺〜…〜乂〜丫及/表示與下述相同之義 'χ1各獨立表示鹵素原子), 該方法包含使以下式(1〇表示之化合物:-8 - 201245216 (wherein ^^尺~...~乂~丫 and / means the same meaning as the following 'χ1 each independently represents a halogen atom), and the method includes a compound represented by the following formula (1〇:

(式中,w、x、Y&amp; z各獨立表示硫原子、氧原子 或硒原子,R表示氫原子、可經取代之烷基、可經取代之 芳基或可經取代之矽烷基’ η表示〇或1)與烷基鋰反應 之步驟,以及使前述步驟所得之反應產物與包含χι作爲 鹵素原子之鹵化劑反應之步驟。 〈14〉 種以下式(1c)表示之化合物,(wherein, w, x, Y & z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and R represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or a substituted decyl group 'n A step of reacting hydrazine or 1) with an alkyllithium, and a step of reacting the reaction product obtained in the foregoing step with a halogenating agent containing hydrazine as a halogen atom. <14> a compound represented by the following formula (1c),

(式中,1、乂、丫及z各獨立表示硫原子、氧原子 或硒原子’R表示可經取代之矽烷基,η表示〇或1)。 〈1 5〉如〈14 &gt;項所記載之化合物,其中式(丨c )中 之W、X、Y及Z均爲硫原子。 〈1 6〉如〈14〉或〈1 5〉項所記載之化合物,其中式 (lc )中之η爲〇。 〈17〉一種以下式(lc)表示之化合物之製造方法, -9- 201245216(wherein, 1, 乂, 丫 and z each independently represent a sulfur atom, an oxygen atom or a selenium atom. 'R represents a decyl group which may be substituted, and η represents 〇 or 1). <15> The compound according to the item <14>, wherein W, X, Y and Z in the formula (丨c) are each a sulfur atom. <16> The compound according to the item <14> or <1 5>, wherein η in the formula (lc) is 〇. <17> A method for producing a compound represented by the following formula (lc), -9-201245216

RR

(式中,n、W、X、Y、z及R表示與下述相同之義 ),該方法包含 在過渡金屬化合物、鹵化銅及有機鹼存在下,使以下 式(Id)表示之化合物, 人/wk」x2 MTUTi (1d) (式中’X、Y、W及z各獨立表示硫原子、氧原子 或硒原子,η表示0或1,X2各獨立表示鹵素原子),與 以下式(5 )表示之化合物反應之步驟: Η(wherein n, W, X, Y, z and R have the same meanings as defined below), and the method comprises the compound represented by the following formula (Id) in the presence of a transition metal compound, a copper halide and an organic base, Human/wk"x2 MTUTi (1d) (wherein X, Y, W and z each independently represent a sulfur atom, an oxygen atom or a selenium atom, η represents 0 or 1, and X2 each independently represents a halogen atom), and is represented by the following formula ( 5) The steps of the compound reaction indicated: Η

(5) (式中,R表示可經取代之矽烷基)。 〈18〉一種以下式(id)表示之化合物, ,娜2…) (式中’X、Y、W及Z各獨立表示硫原子、氧原子 -10- 201245216 或硒原子,η表示0或1,X2表示換原子)。 〈19〉一種組成物,其係含有以下式(1)表示之化 合物及有機溶劑: (1) P2^Z〇^Y^Q1 (式中,X、Y、W及Z各獨立表示硫原子、氧原子 或硒原子,η表示0或1, P1、P2、Q1及Q2各獨立表示以下式(2)表示之基: —Ξ-R (2) (式中,R表示氫原子、可經取代之烷基、可經取代 之芳基或可經取代之矽烷基)、 可經取代之芳香族烴基或可經取代之芳香族雜環基, P^P^Q1及Q2之至少一個基爲以式(2)表示之基)。 〈20〉一種薄膜之製造方法,其包含將〈19〉項所記 載之組成物塗佈於基板上之步驟,及使塗佈於基板上之塗 佈膜乾燥之步驟。 〈21〉一種薄膜,其含有如〈1〉〜〈6〉項中任一項 所記載之以式(1 )表示之化合物。 〈22〉一種薄膜,其係由如〈1〉~〈 6〉項中任一項 所記載之以式(1 )表示之化合物所組成。 〈23〉一種有機半導體裝置,其含有如〈21〉或〈22 -11 - 201245216 〉項所記載之薄膜。 〈24〉一種有機電晶體,其含有如〈21〉或〈22〉項 所記載之薄膜。 【實施方式】 以下針對本發明加以詳細說明。本發明爲以下式(! )表示之化合物(化合物(1))。(5) (wherein R represents a decyl group which may be substituted). <18> A compound represented by the following formula (id), , Na 2...) (wherein X, Y, W and Z each independently represent a sulfur atom, an oxygen atom-10-201245216 or a selenium atom, and η represents 0 or 1 , X2 means changing atoms). <19> A composition comprising a compound represented by the following formula (1) and an organic solvent: (1) P2^Z〇^Y^Q1 (wherein, X, Y, W and Z each independently represent a sulfur atom, An oxygen atom or a selenium atom, η represents 0 or 1, and P1, P2, Q1 and Q2 each independently represent a group represented by the following formula (2): —Ξ-R (2) (wherein R represents a hydrogen atom and can be substituted An alkyl group, a substituted aryl group or a substituted decyl group), a substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic group, at least one of P^P^Q1 and Q2 is Formula (2) represents the base). <20> A method for producing a film comprising the steps of applying the composition described in the item <19> to a substrate, and drying the coating film applied on the substrate. <21> A film comprising the compound represented by the formula (1) as described in any one of items <1> to <6>. <22> A film comprising a compound represented by the formula (1) as described in any one of <1> to <6>. <23> An organic semiconductor device comprising the film according to the item <21> or <22 -11 - 201245216>. <24> An organic transistor comprising the film as described in <21> or <22>. [Embodiment] Hereinafter, the present invention will be described in detail. The present invention is a compound represented by the following formula (!) (compound (1)).

化合物(1)中之X、γ、w及Z各獨立表示硫原子、 氧原子或硒原子’較好均爲硫原子^ π表示0或1。n爲〇時,化合物(1)可以下述式表 示:X, γ, w and Z in the compound (1) each independently represent a sulfur atom, an oxygen atom or a selenium atom. Preferably, each is a sulfur atom. π represents 0 or 1. When n is hydrazine, the compound (1) can be represented by the following formula:

爲1時,化合物(1)可以下述式表示When it is 1, the compound (1) can be represented by the following formula:

η較好爲0。 -12- 201245216 P1、P2、Q1及Q2各獨立表示以下式(2)表示之基:η is preferably 0. -12- 201245216 P1, P2, Q1 and Q2 each independently represent the base represented by the following formula (2):

R 可經取代之芳香族烴基或可經取代之芳香族雜環基。 P1、P2、Q1及Q2之至少一個基爲以式(2)表示之基 。較好P1、P2、Q1及Q2之組合可列舉爲P1及P2相同爲 以式(2)表示之基,Q1及Q2相同爲可經取代之芳香族烴 基或可經取代之芳香族雜環基之情況,或Q1及Q2相同爲 以式(2)表示之基,P1及P2相同爲可經取代之芳香族基 或可經取代之芳香族雜環基之情況等。 首先,針對以式(2)表示之基加以說明》 式(2)中所含之R係表示氫原子、可經取代之烷基 、可經取代之芳基或可經取代之矽烷基,較好爲可經取代 之烷基、可經取代之芳基或可經取代之矽烷基。 R中之烷基可列舉爲例如直鏈狀、分支狀或環狀之碳 數1〜3 0之烷基,具體而言可列舉爲甲基、乙基、正丙基 、正丁基、正戊基、正己基、正庚基、正辛基、正壬基、 正癸基、正十一烷基、正十二烷基、正十三烷基、正十四 烷基、正十五烷基、正十六烷基、正十七烷基、正十八烷 基、正十九烷基、正二十烷基、正二十一烷基 '正二十二 烷基、正二十三烷基、正二十四烷基、正二十五烷基、正 二十六烷基、正二十七烷基、正二十八烷基、正二十九烷 基、正三十烷基等碳數1〜3 0之直鏈烷基,異丙基、第二 -13- 201245216 丁基、第三丁基、新戊基、2-乙基己基、2-己基癸基等碳 數3〜3 0之分支烷基,環戊基、環己基等碳數5〜3 0之環烷 基》 以R表示之烷基較好爲甲基、乙基、正丙基、異丙基 、正丁基、第二丁基、第三丁基、正戊基' 新戊基、環戊 基、正己基、2-乙基己基、環己基、正庚基、正辛基、環 辛基、正壬基、正癸基、2-己基癸基等碳數1〜16之烷基 〇 以R表示之芳基可列舉爲例如碳數6〜3 0之芳基,較 佳者列舉爲苯基、萘基等碳數6-12之芳基。 以R表示之烷基及芳基亦可具有一個以上之取代基, 該取代基之例係與後述之以P1、?2、^^或Q2表示之芳香 族烴基及芳香族雜環基可具有之取代基之例相同。 R爲可經取代之矽烷基時,以式(2 )表示之基可列 舉爲以下式(3)表示之基: R1 —=-Si—R2 (3)R A substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic group. At least one of P1, P2, Q1 and Q2 is a group represented by the formula (2). Preferably, the combination of P1, P2, Q1 and Q2 is exemplified by the fact that P1 and P2 are the same as those represented by the formula (2), and Q1 and Q2 are the same as the substituted aromatic hydrocarbon group or the substituted aromatic heterocyclic group. In the case where Q1 and Q2 are the same as those represented by the formula (2), and P1 and P2 are the same as the substituted aromatic group or the substituted aromatic heterocyclic group. First, the group represented by the formula (2) is described. The R group contained in the formula (2) represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or a substituted decyl group. It is preferably a substituted alkyl group, a substituted aryl group or a substituted decyl group. The alkyl group in R may, for example, be a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, and specific examples thereof include a methyl group, an ethyl group, a n-propyl group, a n-butyl group, and a positive alkyl group. Amyl, n-hexyl, n-heptyl, n-octyl, n-decyl, n-decyl, n-undecyl, n-dodecyl, n-tridecyl, n-tetradecyl, n-pentadecane Base, n-hexadecyl, n-heptadecyl, n-octadecyl, n-nonadecyl, n-icosyl, n-docosyl 'n-docosyl, positive twenty-three Alkyl, n-tetracosyl, n-pentadecyl, n-hexadecyl, n-hexadecyl, n-octadecyl, n-dodecyl, n-tridecyl Carbon number such as linear alkyl group having 1 to 30 carbon atoms, isopropyl group, second-13-201245216 butyl group, tert-butyl group, neopentyl group, 2-ethylhexyl group, 2-hexyldecyl group, etc. a branched alkyl group of ~30, a cycloalkyl group having a carbon number of 5 to 30, such as a cyclopentyl group or a cyclohexyl group. The alkyl group represented by R is preferably a methyl group, an ethyl group, a n-propyl group, an isopropyl group or a positive group. Butyl, t-butyl, tert-butyl, n-pentyl 'neopentyl, cyclopentyl, n-hexyl , 2-ethylhexyl, cyclohexyl, n-heptyl, n-octyl, cyclooctyl, n-decyl, n-decyl, 2-hexyldecyl, and the like, an alkyl group having 1 to 16 carbon atoms, represented by R The group may, for example, be an aryl group having 6 to 30 carbon atoms, and preferably an aryl group having 6 to 12 carbon atoms such as a phenyl group or a naphthyl group. The alkyl group and the aryl group represented by R may have one or more substituents, and examples of the substituent are as described later with P1. 2, ^^ or Q2 represents an aromatic hydrocarbon group and an aromatic heterocyclic group which may have the same substituents. When R is a decyl group which may be substituted, the group represented by the formula (2) may be represented by the following formula (3): R1 -=-Si - R2 (3)

I R3 (式中,R1、R2及R3各獨立表示碳數1〜16之烷基或 碳數6〜12之芳基)。至於可經取代之矽烷基較好爲以下 式表示之基: -14- 201245216 R1 —Si—R2I R3 (wherein R1, R2 and R3 each independently represent an alkyl group having 1 to 16 carbon atoms or an aryl group having 6 to 12 carbon atoms). The decyl group which may be substituted is preferably a group represented by the following formula: -14- 201245216 R1 —Si—R2

I R3 (式中,R1、!^及R3表示與前述相同之意義)。 此處,烷基及芳基可列舉爲與作爲前述R所例示之碳 數1〜16之烷基及碳數6〜12之芳基相同者。 較佳之以式(3 )表示之基可列舉爲R1、R2及R3均 相同爲甲基、乙基或異丙基(i-C3H7-)之以式(3 )表示 之基。 P1、P2、Q1及Q2之所謂芳香族烴基意指芳香族烴化 合物中所含之一個氫原子成爲鍵結鍵之基,所謂芳香族烴 化合物意指具有由碳原子形成之環構造之環狀化合物,且 該環構造具有芳香族性之烴化合物。至於芳香族烴化合物 列舉爲例如苯等單環式芳香族烴化合物、萘等之二環式芳 香族烴化合物、蒽、蕗等之三環式之芳香族烴化合物等之 碳數6〜20之芳基等。 pi'pZ'Q1及Q2之所謂芳香族雜環基意指芳香族雜 環化合物中所含之一個氫原子成爲鍵結鍵之基,所謂芳香 族雜環化合物意指具有由氮原子、氧原子、硫原子、硒原 子等雜原子與碳原子所形成之環構造之環狀化合物,且該 環構造具有芳香族性之化合物。至於芳香族雜環化合物列 舉爲例如由一個環構造所成之單環式芳香族雜環化合物、 由兩個環構造所成之二環式芳香族雜環化合物、由三個環 構造所成之三環式芳香族雜環化合物等。 -15- i 201245216 該芳香族雜環化合物列舉爲例如呋喃、噻吩、硒吩、 吡咯、噁唑、噻唑、吡啶、吡嗪、嘧啶、嗒嗪等單環式之 芳香族性雜環化合物,例如噻吩并[3,2-b]噻吩、呋喃并 [3,2-b]呋喃、噻吩并[3,2-b]呋喃、苯并[b]噻吩、苯并[b] 呋喃等二環式之芳香環雜環化合物,例如二噻吩并[3,2-b:2’,3’-d]噻吩、苯并[1,2-1&gt;:4,5-1)’]二噻吩、苯并[1,2· b:4,5-b’]二呋喃等三環式芳香族雜環化合物等。 以P1、P2、Q1及Q2表示之芳香族烴基及芳香族雜環 基亦可具有一個以上之取代基。至於取代基列舉爲例如氟 原子、可具有氟原子之烷基、可具有氟原子之烷氧基、可 具有氟原子之烷硫基、可具有氟原子之芳基、可具有氟原 子之雜芳基,較好爲氟原子、烷基、烷氧基、烷硫基,最 好爲氟原子。 、 可具有氟原子之烷基例示爲前述例示之碳數1〜30之 烷基或該烷基之氫原子置換成氟者。較好爲碳數1~4之烷 基。 可具有氟原子之烷氧基可列舉爲例如直鏈狀、分支狀 或環狀之碳數1~3 0之烷氧基,可列舉爲例如甲氧基、乙 氧基、正丙氧基、正丁氧基、正戊氧基、正己氧基、正庚 氧基、正辛氧基、正壬氧基、正癸氧基、正十—烷氧基、 正十二烷氧基、正十三烷氧基、正十四烷氧基、正十五烷 氧基、正十六烷氧基、正十七烷氧基' 正十八烷氧基、正 十九烷氧基、正二十烷氧基、正二十一烷氧基、正二十二 烷氧基、正二十三烷氧基、正二十四烷氧基、正二十五烷 -16- 201245216 氧基、正二十六烷氧基'正二十七烷氧基、正二十八烷氧 基、正二十九烷氧基、正三十烷氧基等碳數1~3 0之直鏈 烷氧基,異丙氧基、異丁氧基、第三丁氧基、新戊氧基、 2-乙基己氧基、2-己基癸氧基' 3,7-二甲基辛氧基等碳數 3〜3 0之分支烷氧基,環戊氧基、環己氧基、環辛氧基等碳 數5〜3 0之環烷氧基、甲氧基甲氧基、甲氧基乙氧基、甲 氧基甲氧基甲氧基、甲氧基乙氧基乙氧基、聚乙二醇氧基 等碳數2〜30之(聚)伸烷基氧基烷基氧基,及上述例示 之烷氧基之氫原子置換成氟原子之基等。 較佳烷氧基列舉爲例如甲氧基、乙氧基、正丙氧基、 異丙氧基、正丁氧基、異丁氧基、第三丁氧基、正戊氧基 、環戊氧基、正己氧基、2-乙基己氧基、環己氧基、正庚 氧基、正辛氧基、環辛氧基、壬氧基、癸氧基、2-己基癸 氧基、3,7-二甲基辛氧基、正十一烷氧基、正十二烷氧基 、正十三烷氧基、正十四烷氧基、正十五烷氧基、正十七 烷氧基、正十八烷氧基、正十九烷氧基、正二十烷氧基、 甲氧基甲氧基、甲氧基乙氧基、甲氧基甲氧基甲氧基、甲 氧基乙氧基乙氧基等碳數1〜20之烷氧基,更好爲甲氧基 、乙氧基、正丙氧基、正丁氧基、正戊氧基、環戊氧基、 正己氧基、2-乙基己氧基、環己氧基、正庚氧基、正辛氧 基、環辛氧基、壬氧基、癸氧基、2-己基癸氧基、3,7·二 甲基辛氧基、正十一烷氧基' 正十二烷氧基、正十三烷氧 基、正十四烷氧基、正十五烷氧基、正十六烷氧基、甲氧 基甲氧基、甲氧基乙氧基、甲氧基甲氧基甲氧基、甲氧基 -17- 201245216 乙氧基乙氧基之碳數6之烷氧基等。 可具有氟原子之烷硫基可列舉爲例如直鏈狀、分支狀 或環狀之碳數1~30之烷硫基,列舉爲甲硫基、乙硫基、 正丙硫基、正丁硫基、正戊硫基、正己硫基、正庚硫基、 正辛硫基、正壬硫基、正癸硫基、正十一烷硫基、正十二 烷硫基、正十三烷硫基、正十四烷硫基、正十五烷硫基、 正十六烷硫基、正十七烷硫基、正十八烷硫基、正十九烷 硫基、正二十烷硫基、正二十一烷硫基、正二十二烷硫基 、正二十三烷硫基、正二十四烷硫基、正二十五烷硫基、 正二十六烷硫基、正二十七烷硫基、正二十八烷硫基、正 二十九烷硫基、正三十烷硫基等碳數1~30之直鏈烷硫基 ,例如異丙硫基 '正丁硫基、異丁硫基、第二丁硫基、第 三丁硫基、2-乙基己硫基、正庚硫基、2-己基癸硫基等碳 數3〜3 0之分支鏈烷硫基,例如環戊硫基、環己硫基、環 庚硫基、環辛硫基等碳數5〜30之環烷硫基,及上述例示 之烷硫基之氫原子置換成氟原子之基等。 較佳之烷硫基列舉爲例如乙硫基、正丙硫基、異丙硫 基、正丁硫基、異丁硫基 '第二丁硫基、第三丁硫基、正 戊硫基、正己硫基、2·乙基己硫基、環己硫基、正庚硫基 、環庚硫基、正辛硫基、環辛硫基、正壬硫基、正癸硫基 、2-己基癸硫基、正十一烷硫基、正十二烷硫基、正十三 烷硫基、正十四烷硫基、正十五烷硫基、正十六烷硫基、 正十七烷硫基、正十八烷硫基、正十九烷硫基、及正二十 烷硫基等碳數2〜20之烷硫基,更好爲乙硫基、正丙硫基 -18- 201245216 、正丁硫基、正戊硫基、正己硫基、2_乙基己硫基、 硫基、正庚硫基、環庚硫基、正辛硫基、環辛硫基、 基己硫基、正壬硫基、正癸硫基、2 -正己基-正癸硫基 十一烷硫基、正十二烷硫基、正十三烷硫基、正十四 基、正十五烷硫基及正十六烷硫基等碳數2〜16之烷 〇 可具有氟原子之芳基例示爲例如前述例示之碳數 之芳基或該芳基所含之氫原子置換成氟原子者等。較 苯基或萘基。 可具有氟原子之雜芳基爲例如噻吩基、呋喃基、 基等單環式芳香族雜環基,可列舉爲例如噻吩并[3,2· •吩基、呋喃并[3,2-b]呋喃基、噻吩并[3,2-b]呋喃基、 [b]噻吩基、苯并[b]呋喃基等二環式之芳香族環雜環 較好爲噻吩基、呋喃基等單環式芳香族雜環基、噻 [3,2-b]噻吩基、苯并[b]噻吩基、苯并[b]呋喃基等二 芳香族雜環基,及前述例示之雜芳基所含之氫原子置 氟原子者等。 至於化合物(1)可例示爲以下之表1〜22所記載 合物。 環己 2·乙 、正 烷硫 硫基 1~30 好爲 噻唑 b]噻 苯并 基, 吩并 環式 換成 之化 -19- 201245216 [表1] R (i-m) R m n X Y w Z Q- R- 1 0 s s -. - H- 2 0 s s - 同上 ch3- 3 0 s s - - 同上 c2h5- 4 0 s s - - 同上 5 0 s s - - 同上 i-C3H7- 6 0 s s - - 同上 II-C4H9- 7 0 s s - - 同上 n_CsHi 厂 8 0 s s - - 同上 c-CsHij- 9 0 s s - - 同上 11-C6H13- 10 0 s s - - 同上 C-C6H13- 11 0 s s - - 同上 n-C12H2S- 12 0 s s - - 同上 C6Hs- 13 0 s s - - 同上 (CH3^Si- 14 0 s s - - 同上 (C2Hs)3Si- 15 0 s s - - 同上 (i-C3H7)3Si- .16 0 s s - - 同上 (CH3)2(t-C4H9)Si- 17 0 s s - - 同上 (C6H5)3Si- 18 0 0 0 - - 同上 (CH3^Si- 19 0 0 0 - - 同上 (C2H5)3S 卜 20 0 0 0 - - 同上 (i-C3H7)3Si- 21 0 Se Se - - 同上 (CH3)sSi- 22 0 Se Se - - 同上 (C2Hs)3Si- 23 0 Se Se - - 同上 (i-C3H7)3Si- 24 1 s s s s 同上 25 1. s s s s 同上 (C2Hs)3S 卜 26 1 s s s s 同上 (i-C3H7)3Si- 27 1 0 0 0 0 同上 (CHASi- 28 1 0 0 0 0 同上 (C2H5)3Si- 29 1 0 0 0 0 同上 (i-C3H7)3Si- 30 1 Se s谷 Se Se 同上 (CH3^Si- 31 1 Se Se Se Se 同上 (C2Hs)3Si- 32 1 Se Se Se Se 同上 (卜 C3H7)sS 卜 -20- 201245216 [表2] R Π-m) R m n X Y W Z Q- R- 40 0 s S 一 — (CH3)3Si- 41 0 s S 一 — 同上 (C2H5^Si- 42 0 s S — — 同上 (i-C3H7)3Si- 43 0 0 0 — — 同上 (CH3)3Si- 44 0 0 0 一 — 同上 (C2H5)3Si- 45 0 o 0 — 一 同上 (i-C3H7)3Si- 46 0 Se Se — 一 同上 (CH3)3Si- 47 0 Se Se — — 同上 (C2Hs^Si- 48 0 Se Se 同上 (i-C3H7)3Si- 49 1 S s S s 同上 (CH3)3Si- 50 1 S s s s 同上 (C2Hs^S- 51 1 S s s s 同上 a-C3H7)3Si- 52 1 0 0 〇 0 同上 (C6H5)3Si- 53 1 0 0 0 0 同上 (CH3)3S 卜 54 1 0 0 0 0 同上 (CzHg^Sir 55 1 Se Se Se Se 同上 G-C3H7)3Si- 56 1 Se Se Se Se 同上 (CH3)3Si_ 57 1 Se Se Se Se 同上 (CzHs^Si- -21 - 201245216 [表3] R (i-m) m n X Y W Z Q- R- 60 0 s S — — ΌΟ (CH3)3Si- 61 0 s S — — 同上 (C2H5)3Si- 62 0 、s s 一 一 同上 (i-C3H7)3Si- 63 0 0 〇 一 — 同上 (ch3)3s 卜 64 0 0 o — — 同上 (C2H6)3Si- 65 0 0 o 一 — 同上 (i-C3H7)3Si- 66 0 Se Se — — 同上 (CH3)3Si- 67 0 Se Se 一 — 同上 (C2Hs)3Si- 68 0 Se Se 一 — 同上 (i-C3H7)3Si- 69 1 S S S s 同上. (CH3)3Si- 70 1 S S S s 同上 (C2H5)3Si- 71 1 S S S s 同上 (i-C3H7)3Si- 72 1 〇 〇 0 0 同上 (C6H5)3S 卜 73 1 0 0 0 0 同上 (CH3)3Si- 74 1 0 〇 0 0 同上 (C2H5)3Si- 75 1 Se Se Se Se 同上 (i-C3H7)3Si- 76 1 Se Se Se Se 同上 (CH3)3S 卜 77 1 Se Se Se Se 同上 (C2H5)3Si- -22- 201245216 [表4] R R〆 m η X y w Z Q- R- 100 ό s s — 一 (CH3)3Si- 101 0 s s — — 同上 (C2Hs)3Sr 102 0 s s — 一 同上 (i-C3H7)3S- 103 0 o 〇 — 一 同上 (CH3)3Si- 104 0 0 o — 一 同上 (C2Hs)3Sr 105 0 0. 0 — 一 同上 (i-C3H7^Si- 106 0 Se Se 一 一 同上 (CH3)3Si- 107 0 Se Se — 一 同上 (C2Hs)3Si- 108 0 Se Se — 一 同上 (i-C3H7^Si- 109 1 s s S S 同上 (CH3)3Si- 110 1 s S s S 同上 (C2H5)3Si- 111 1 s s s S 同上 (i-C3H7)sS- 112 1 0 0 0 0 同上 (C6H5)3S 卜 113 1 0 o 0 0 同上 (CH3)3Si- 114 1 o o 0 0 同上 (C2H5)3Sh 115 1 Se Se Se Se 同上 (i-C3H7)3Si- 116 1 Se Se Se Se 同上 (CH3)3Si- 117 1 Se Se Se Se 同上 (C2H5)3Si- 201245216 [表5 ] R Η m η X Y w Z Q- R- 120 0 s S — — (CHASi- 121 0 s S — — 同上 (C2H5)3Si- 122 0 s S — — 同上 (i-C3H7&gt;jSi- 123 0 0 0 — 一 同上 .(CH3)3Si- 124 0 0 0 — — 同上 (C2Hs)3Si- 125 0 0 0 — 同上 (i-C3H7)3Si- 126 0 Se Se — 一 同上 (CHa)3S 卜 127 0 Se Se 一 一 同上 (C2Hs)3Si- 128 0 Se Se 一 一 同上 .(i-C3H7)3Si- 129 1 S S s s 同上 (CH3)3Si- 130 1 S S s s 同上 (C2Hs)3Si- 131 1 s s s s 同上 (i-C3H7)3Si- 132 1 0 0 0 0 同上 (C6Hs)3Si- 133 1 0 0 0 0 同上 (CH3)3Si- 134 1 0 0 0 0 同上 (C2Hs)3Si- 135 1 Se Se Se Se 同上 (i-C3H7^Si- 136 1 Se Se Se Se 同上 (CH3)3Si- 137 1 Se Se Se Se 同上 (C2Hs)3Si- -24- 201245216 [表6] R n Y ° p/ m n X Y W Z Q - R- 140 0 s s — 一 (CH3)3Si- 141 0 s s — — 同上 (C2H5^Si- 142 0 s s — — 同上 G_C3H7)3Si- 143 0 0 0 一 — 同上 (CH3)3Si- 144 0 0 0 一 — 同上 (C2H5^Si- 145 0 0 0 一 — 同上 (i-C3H7)3Si- 146 0 Se Se — — 同上 (CH3)3S 卜 147 0 Se Se — — 同上 (C2H5)sSi- 148 0 Se Se — — 同上 (i-C3H7)3Si- 149 1 s s s S 同上 (CH3)3Si- 150 1 s s s s 同上 (C2Hs^Si- 151 1 s s s s 同上 (卜 C3H7)3S 卜 152 1 0 0 0 0 同上 (C6Hs)bSi- 153 1 0 0 0 0 同上 (CH3)3Si- 154 1 0 0 0 0 同上 (C2Hs^Si- 155 1 Se Se Se Se 同上 G-C3H7)3Si- 156 1 Se Se Se Se 同上 (CH3)3Si- 157 1 Se Se Se Se 同上 (C2H5)3Si- -25- 201245216 [表7] R m n X Y W Z Q- R- 160 0 s S — — xoo (CH3^Si- 161 0 .s S — — 同上 (C2Hs)3Si- 162 0 s S — — 同上 (i-C3H7)3S 卜 163 0. 0 0 — — 同上 (CHa^Si- 164 0 0 0 — 一 同上 .(C2Hs)3S卜 165 0 0 0 — — 同上 (i-C3H7)3Si- 166 0 Se Se — — 同上 (CHs^Si- 167 0 Se Se — — 同上 (C2Hs)3Si- 168 0 Se Se — — 同上 (i-C3H7)3Si- 169 1 S S S s 同上 (〇USi- 170 1 S S s s 同上 (C2Hs)3Si- 171 .1 S S s s 同上 (i-C3H7)3Si- 172 1 0 0 0 0 同上 (C6Hs)3Si- 173 1 0 0 0 0 同上 (CH3)jSi- 174 1 0 0 0 o 同上 (C2Hs)3Si- 175 1 Se Se Se Se 同上 (卜⑽办- 176 1 Se Se Se Se 同上 (CH^Si- 177 1 Se Se Se Se 同上 (C2Hs)3Si- -26- 201245216 [表8] R (i-m) w m n X Y W Z o- R- 180 0 s S — — (CH3)3Si- 181 0 s S — — 同上 (QHAS 卜 182 0 s S — — 同上 (i-C3H7)3S 卜 183 0 〇 0 — — 同上 (CH3)3Si- 184 0 〇 0 一 一 同上 (QH^Si- 185 0 〇 0 一 — 同上 (i-C3H7)3Si- .186 0 Se Se — — 同上 • (CH如i- 187 0 Se Se 一 — 同上 (QHg^Si- 188 0 Se Se 一 一 同上 (i-C3H7)3Si- 189 1 S s S s 同上 (CH3)3Si- 190 1 S S s s 同上 (QH^Si- 191 1 S s s s 同上 (i-C3H7)3Sr 192 1 〇 〇 0 0 同上 (C6Hs)3Si- 193 1 0 0 0 0 同上 (CHs^Si- 194 1 0 o 0 0 同上 (QH^sSi- 195 1 Se Se Se Se 同上 (i-C3H7)3Si- 196 1 Se Se Se Se 同上 '(CH3)3Si- 197 1 Se Se Se Se 同上 (QHsJsSi- -27- 201245216 [表9] R (1-m) R m n X Y W Z Q- R- 200 0. s S — — (CHa^Si- 201. 0 s S — 一 同上 (C2H5)3Si- 202 0 s S 一· — 同上 (i-C3H7)3Si- 203 0 o 〇 — — 同上 (CH3^Si- 204 0 o 〇 一 一 同上 (C2Hs)3Si- 205 0 0 0 一 — 同上 (i-C3H7)3Si- 206 0 Se Se 一 — 同上 (CtUSi- 207 0. Se Se — — 同上 (C2Hs)3S 卜 208 0 Se Se — — 同上 (i-C3H7)3Si- 209 1 S S S. s 向上 (CHJS 卜 210 1 S S s .s 同上 (C2H5)3S 卜 211 1 S S s s 同上 (i-C3H7)3Si- 212 1 〇 〇 0 0 同上 (C6H5)3Si- 213 1 〇 0 0 0 同上 (CHASi- 214 1 〇 〇 o 0 同上 (C2H5)3Si- 215 . 1 Se Se Se Se 同上 (i-C3H7)3Si- 216 1 Se Se Se Se 同上 (CFUSi- 217 1 Se Se Se Se 同上 (C2H5)3Si- -28- 201245216 [表 1 ο]I R3 (wherein R1, !^, and R3 represent the same meaning as described above). Here, the alkyl group and the aryl group are the same as those of the alkyl group having 1 to 16 carbon atoms and the carbon number 6 to 12 exemplified as the above R. The group represented by the formula (3) is preferably a group represented by the formula (3) in which R1, R2 and R3 are the same as a methyl group, an ethyl group or an isopropyl group (i-C3H7-). The term "aromatic hydrocarbon group" of P1, P2, Q1 and Q2 means that one hydrogen atom contained in the aromatic hydrocarbon compound becomes a bond bond, and the term "aromatic hydrocarbon compound" means a ring structure having a ring structure formed of carbon atoms. a compound, and the ring structure has an aromatic hydrocarbon compound. The aromatic hydrocarbon compound is, for example, a monocyclic aromatic hydrocarbon compound such as benzene, a bicyclic aromatic hydrocarbon compound such as naphthalene, or a tricyclic aromatic hydrocarbon compound such as ruthenium or osmium having a carbon number of 6 to 20 Aryl and the like. The so-called aromatic heterocyclic group of pi'pZ'Q1 and Q2 means that one hydrogen atom contained in the aromatic heterocyclic compound becomes a bond of a bond, and the so-called aromatic heterocyclic compound means having a nitrogen atom or an oxygen atom. a cyclic compound having a ring structure formed by a hetero atom such as a sulfur atom or a selenium atom and a carbon atom, and the ring structure has an aromatic compound. The aromatic heterocyclic compound is exemplified by a monocyclic aromatic heterocyclic compound composed of one ring structure, a bicyclic aromatic heterocyclic compound composed of two ring structures, and a three ring structure. A tricyclic aromatic heterocyclic compound or the like. -15- i 201245216 The aromatic heterocyclic compound is exemplified by a monocyclic aromatic heterocyclic compound such as furan, thiophene, selenophene, pyrrole, oxazole, thiazole, pyridine, pyrazine, pyrimidine or pyridazine, for example, a bicyclic ring such as thieno[3,2-b]thiophene, furo[3,2-b]furan, thieno[3,2-b]furan, benzo[b]thiophene, benzo[b]furan An aromatic ring heterocyclic compound such as dithieno[3,2-b:2',3'-d]thiophene, benzo[1,2-1>:4,5-1)']dithiophene, benzene And [1,2· b:4,5-b'] a tricyclic aromatic heterocyclic compound such as difuran. The aromatic hydrocarbon group and the aromatic heterocyclic group represented by P1, P2, Q1 and Q2 may have one or more substituents. The substituent is exemplified by, for example, a fluorine atom, an alkyl group which may have a fluorine atom, an alkoxy group which may have a fluorine atom, an alkylthio group which may have a fluorine atom, an aryl group which may have a fluorine atom, and a heteroaryl group which may have a fluorine atom. The group is preferably a fluorine atom, an alkyl group, an alkoxy group or an alkylthio group, and is preferably a fluorine atom. The alkyl group which may have a fluorine atom is exemplified by the above-exemplified alkyl group having 1 to 30 carbon atoms or the hydrogen atom of the alkyl group is substituted with fluorine. It is preferably an alkyl group having 1 to 4 carbon atoms. The alkoxy group which may have a fluorine atom may, for example, be a linear, branched or cyclic alkoxy group having 1 to 30 carbon atoms, and examples thereof include a methoxy group, an ethoxy group, and a n-propoxy group. n-Butoxy, n-pentyloxy, n-hexyloxy, n-heptyloxy, n-octyloxy, n-decyloxy, n-decyloxy, n-decyloxy, n-dodecyloxy, n-ten Trialkoxy, n-tetradecyloxy, n-pentadecanyloxy, n-hexadecyloxy, n-heptadecanyloxy-n-octadecyloxy, n-nonadecanyloxy, positive Alkoxy, n-docosyloxy, n-docosyloxy, n-docosyloxy, n-docosyloxy, n-pentadecane-16-201245216 oxy, positive a linear alkoxy group having a carbon number of from 1 to 30, such as a hexadecyloxy 'n-hexadecanoyloxy group, a n-octadecyloxy group, a n-dodecyloxy group or a n-octadecyloxy group; Carbon number 3 such as isopropoxy group, isobutoxy group, tert-butoxy group, neopentyloxy group, 2-ethylhexyloxy group, 2-hexyldecyloxy '3,7-dimethyloctyloxy group ~30 a branched alkoxy group, a cyclopentyloxy group, a cyclohexyloxy group, a cyclooctyloxy group and the like having a carbon number of 5 to 3 0 Alkyl groups of 2 to 30, such as an oxy group, a methoxymethoxy group, a methoxyethoxy group, a methoxymethoxymethoxy group, a methoxyethoxyethoxy group, and a polyethylene glycoloxy group. The (poly)alkyloxyalkyloxy group and the hydrogen atom of the alkoxy group exemplified above are substituted with a fluorine atom or the like. Preferred alkoxy groups are, for example, methoxy, ethoxy, n-propoxy, isopropoxy, n-butoxy, isobutoxy, tert-butoxy, n-pentyloxy, cyclopentyloxy , n-hexyloxy, 2-ethylhexyloxy, cyclohexyloxy, n-heptyloxy, n-octyloxy, cyclooctyloxy, nonyloxy, decyloxy, 2-hexyldecyloxy, 3 , 7-dimethyloctyloxy, n-undecyloxy, n-dodecyloxy, n-tridecyloxy, n-tetradecyloxy, n-pentadecanyloxy, n-heptadecaneoxy , n-octadecyloxy, n-nonadecanyloxy, n-eicosyloxy, methoxymethoxy, methoxyethoxy, methoxymethoxymethoxy, methoxy An alkoxy group having 1 to 20 carbon atoms such as an ethoxyethoxy group, more preferably a methoxy group, an ethoxy group, a n-propoxy group, a n-butoxy group, a n-pentyloxy group, a cyclopentyloxy group or a n-hexyloxy group. Base, 2-ethylhexyloxy, cyclohexyloxy, n-heptyloxy, n-octyloxy, cyclooctyloxy, nonyloxy, decyloxy, 2-hexyldecyloxy, 3,7·2 Methyloctyloxy, n-undecyloxy 'n-dodecyloxy, n-tridecyloxy, n-tetradecyloxy N-pentadecanyloxy, n-hexadecyloxy, methoxymethoxy, methoxyethoxy, methoxymethoxymethoxy, methoxy-17- 201245216 ethoxy ethoxy The alkoxy group having a carbon number of 6 or the like. The alkylthio group which may have a fluorine atom may, for example, be a linear, branched or cyclic alkylthio group having 1 to 30 carbon atoms, and is exemplified by methylthio, ethylthio, n-propylthio and n-butylsulfide. Base, n-pentylthio, n-hexylthio, n-heptylthio, n-octylthio, n-decylthio, n-decylthio, n-undecylthio, n-dodecylthio, n-tridecanesulfide Base, n-tetradecylthio, n-pentadecanethio, n-hexadecanethio, n-heptadecanethio, n-octadecylthio, n-nonadecanylthio, n-eicosylthio , n-hexadecanethio, n-docosylthio, n-docosylthio, n-tetradecylthio, n-pentadecanethio, n-hexadecanethio, positive a linear alkylthio group having 1 to 30 carbon atoms such as a heptacosylthio group, a n-dodecylsulfanyl group, a n-dodecylthio group or a n-tridecylthio group, for example, an isopropylthio group a branched alkane having a carbon number of 3 to 30 such as a thio group, an isobutylthio group, a second butylthio group, a third butylthio group, a 2-ethylhexylthio group, an n-heptylthio group or a 2-hexylsulfonylthio group Sulfur-based, such as cyclopentylthio, cyclohexylthio, cycloheptylthio, cyclooctylthio, etc. The cycloalkylthio group of 5 to 30 and the hydrogen atom of the above-exemplified alkylthio group are substituted with a group of a fluorine atom or the like. Preferred alkylthio groups are exemplified by, for example, ethylthio, n-propylthio, isopropylthio, n-butylthio, isobutylthio't-butylthio, tert-butylthio, n-pentylthio, and hexyl Sulfur, 2-ethylhexylthio, cyclohexylthio, n-heptylthio, cycloheptylthio, n-octylthio, cyclooctylthio, n-decylthio, n-decylthio, 2-hexylfluorene Sulfur, n-undecylthio, n-dodecylthio, n-tridecylthio, n-tetradecylthio, n-pentadecanethio, n-hexadecanethio, n-heptadecane Alkanethio group having 2 to 20 carbon atoms such as a group, n-octadecylthio group, n-nonadecanylthio group and n-icosylthio group, more preferably ethylthio group, n-propylthio group-18-201245216, n-Butylthio, n-pentylthio, n-hexylthio, 2-ethylhexylthio, thio, n-heptylthio, cycloheptylthio, n-octylthio, cyclooctylthio, hexylthio, N-thiol, n-decylthio, 2-n-hexyl-n-decylthioundecylthio, n-dodecylthio, n-tridecylthio, n-tetradecyl, n-pentadecanethio And an aryl group having a carbon number of 2 to 16 such as n-hexadecanethio group and having a fluorine atom is exemplified as The aryl group or the aryl group of carbon number contained in the aforementioned example of the hydrogen atoms replaced by a fluorine atom and the like. More phenyl or naphthyl. The heteroaryl group which may have a fluorine atom is, for example, a monocyclic aromatic heterocyclic group such as a thienyl group, a furyl group or a group, and examples thereof include, for example, thieno[3,2·• phenyl, furo[3,2-b A bicyclic aromatic ring heterocyclic ring such as a furyl group, a thieno[3,2-b]furanyl group, a [b]thienyl group or a benzo[b]furanyl group is preferably a monocyclic ring such as a thienyl group or a furyl group. a diaromatic heterocyclic group such as an aromatic heterocyclic group, a thia[3,2-b]thienyl group, a benzo[b]thienyl group or a benzo[b]furanyl group, and a heteroaryl group as exemplified above The hydrogen atom is a fluorine atom or the like. The compound (1) can be exemplified as the compounds described in the following Tables 1 to 22. Cyclohexane 2·B, n-alkylthiothiol 1~30 is a thiazole b] thiabenzo group, phenocyclic ring is replaced by -19-201245216 [Table 1] R (im) R mn XY w Z Q - R- 1 0 ss -. - H- 2 0 ss - Same as above ch3- 3 0 ss - - Same as above c2h5- 4 0 ss - - Same as above 5 0 ss - - Same as above i-C3H7- 6 0 ss - - Same as above II- C4H9- 7 0 ss - - Same as above n_CsHi Factory 8 0 ss - - Same as above c-CsHij- 9 0 ss - - Same as above 11-C6H13- 10 0 ss - - Same as above C-C6H13- 11 0 ss - - Same as above n-C12H2S- 12 0 ss - - Ibid C6Hs- 13 0 ss - - Same as above (CH3^Si- 14 0 ss - - Same as above (C2Hs)3Si- 15 0 ss - - Same as above (i-C3H7)3Si- .16 0 ss - - Ibid (CH3)2(t-C4H9)Si- 17 0 ss - - Same as above (C6H5)3Si- 18 0 0 0 - - Same as above (CH3^Si- 19 0 0 0 - - Same as above (C2H5)3S Bu 20 0 0 0 - - Ii (i-C3H7)3Si- 21 0 Se Se - - Same as above (CH3)sSi- 22 0 Se Se - - Same as above (C2Hs)3Si- 23 0 Se Se - - Same as above (i-C3H7)3Si- 24 1 Ssss Same as above 25 1. ssss Same as above (C2Hs)3S Bu 26 1 ssss Same as above (i-C3H7)3Si- 27 1 0 0 0 0 Same as above (CHASi- 28 1 0 0 0 0 Same as above (C2H5) 3Si- 2 9 1 0 0 0 0 Same as above (i-C3H7)3Si- 30 1 Se s Valley Se Se Same as above (CH3^Si- 31 1 Se Se Se Se Same as above (C2Hs) 3Si- 32 1 Se Se Se Se Same as above (Bu C3H7) sS 卜-20- 201245216 [Table 2] R Π-m) R mn XYWZ Q- R- 40 0 s S-(CH3)3Si- 41 0 s S-- Same as above (C2H5^Si- 42 0 s S — — Ii (i-C3H7)3Si- 43 0 0 0 — — Same as above (CH3)3Si- 44 0 0 0 — Same as above (C2H5)3Si- 45 0 o 0 — Ii (i-C3H7)3Si- 46 0 Se Se - together with (CH3)3Si- 47 0 Se Se - same as above (C2Hs^Si- 48 0 Se Se Same as above (i-C3H7)3Si- 49 1 S s S s Same as above (CH3)3Si- 50 1 S sss Same as above (C2Hs^S- 51 1 S sss Same as above a-C3H7)3Si- 52 1 0 0 〇0 Same as above (C6H5)3Si- 53 1 0 0 0 0 Same as above (CH3)3S Bu 54 1 0 0 0 0 Same as above (CzHg^ Sir 55 1 Se Se Se Se Same as G-C3H7) 3Si- 56 1 Se Se Se Se Same as above (CH3) 3Si_ 57 1 Se Se Se Se Same as above (CzHs^Si- -21 - 201245216 [Table 3] R (im) mn XYWZ Q- R- 60 0 s S — — ΌΟ (CH3)3Si- 61 0 s S — — Same as above (C2H5)3Si- 62 0 , ss One-to-one (i-C3H7)3Si- 63 0 0 〇一— with Upper (ch3)3s 卜64 0 0 o — — Same as above (C2H6)3Si- 65 0 0 o — Same as above (i-C3H7)3Si- 66 0 Se Se — — Same as above (CH3)3Si- 67 0 Se Se — Same as above (C2Hs) 3Si- 68 0 Se Se - Same as above (i-C3H7)3Si- 69 1 SSS s Same as above. (CH3)3Si- 70 1 SSS s Same as above (C2H5)3Si- 71 1 SSS s Same as above (i-C3H7 ) 3Si- 72 1 〇〇0 0 Same as above (C6H5)3S Bu 73 1 0 0 0 0 Same as above (CH3)3Si- 74 1 0 〇0 0 Same as above (C2H5)3Si- 75 1 Se Se Se Se Same as above (i-C3H7 ) 3Si- 76 1 Se Se Se Se Same as above (CH3) 3S Bu 77 1 Se Se Se Se Same as above (C2H5) 3Si- -22- 201245216 [Table 4] RR〆m η X yw Z Q- R- 100 ό ss — One (CH3)3Si-101 0 ss — — Same as above (C2Hs)3Sr 102 0 ss — Same as above (i-C3H7)3S- 103 0 o 〇 — together with (CH3)3Si- 104 0 0 o — together (C2Hs ) 3Sr 105 0 0. 0 - Idiot (i-C3H7^Si-106 0 Se Se-Iso (CH3)3Si-107 0 Se Se - together with (C2Hs)3Si-108 0 Se Se - together ( i-C3H7^Si- 109 1 ss SS Same as above (CH3)3Si- 110 1 s S s S Same as above (C2H5)3Si- 111 1 sss S Same as above (i-C3H7) sS- 112 1 0 0 0 0 Same as above (C6H5)3S Bu 113 1 0 o 0 0 Same as above (CH3)3Si- 114 1 oo 0 0 Same as above (C2H5)3Sh 115 1 Se Se Se Se Same as above (i-C3H7)3Si- 116 1 Se Se Se Se Ibid (CH3)3Si-117 1 Se Se Se Se Ibid (C2H5)3Si- 201245216 [Table 5] R Η m η XY w Z Q- R- 120 0 s S — — (CHASi- 121 0 s S — — Same as above (C2H5)3Si- 122 0 s S — — Same as above (i-C3H7&gt;jSi-123 0 0 0 — together. (CH3)3Si- 124 0 0 0 — — Same as above (C2Hs)3Si- 125 0 0 0 — Same as above (i-C3H7)3Si- 126 0 Se Se — together with (CHa)3S Bu 127 0 Se Se One-to-one (C2Hs) 3Si- 128 0 Se Se One-to-one. (i- C3H7)3Si- 129 1 SS ss Same as above (CH3)3Si- 130 1 SS ss Same as above (C2Hs)3Si- 131 1 ssss Same as above (i-C3H7)3Si- 132 1 0 0 0 0 Same as above (C6Hs)3Si- 133 1 0 0 0 0 Same as above (CH3)3Si- 134 1 0 0 0 0 Same as above (C2Hs)3Si- 135 1 Se Se Se Se Same as above (i-C3H7^Si- 136 1 Se Se Se Se Same as above (CH3)3Si- 137 1 Se Se Se Se Ibid (C2Hs)3Si- -24- 201245216 [Table 6] R n Y ° p/ mn XYWZQ - R- 140 0 ss — one (CH3)3Si- 141 0 Ss — — Same as above (C2H5^Si- 142 0 ss — — Same as above G_C3H7) 3Si- 143 0 0 0 — — Same as above (CH3)3Si- 144 0 0 0 — Same as above (C2H5^Si- 145 0 0 0 — Same as above (i-C3H7)3Si- 146 0 Se Se — — Same as above (CH3)3S 147 0 Se Se — — Same as above (C2H5)sSi- 148 0 Se Se — — Same as above (i-C3H7)3Si- 149 1 sss S Same as above (CH3)3Si- 150 1 ssss Same as above (C2Hs^Si- 151 1 ssss Same as above (Bu C3H7)3S Bu 152 1 0 0 0 0 Same as above (C6Hs)bSi- 153 1 0 0 0 0 Same as above (CH3)3Si- 154 1 0 0 0 0 Same as above (C2Hs^Si- 155 1 Se Se Se Se Same as G-C3H7) 3Si- 156 1 Se Se Se Se Same as above (CH3)3Si- 157 1 Se Se Se Se Same as above (C2H5)3Si- -25- 201245216 [Table 7] R mn XYWZ Q- R- 160 0 s S — — xoo (CH3^Si- 161 0 .s S — — Same as above (C2Hs)3Si- 162 0 s S — — Same as above (i-C3H7)3S 163 0. 0 0 — — Same as above (CHa^Si- 164 0 0 0 — together. (C2Hs) 3S 165 0 0 0 — — Same as above (i-C3H7)3Si- 166 0 Se Se — Same as above (CHs ^Si- 167 0 Se Se — — Same as above (C2Hs)3Si- 168 0 Se Se — — Same as above (i-C3H7)3Si- 169 1 SSS s Same as above (〇USi- 170 1 SS ss Same as above (C2Hs) 3Si- 177.1 SS ss Same as above (i-C3H7)3Si- 172 1 0 0 0 0 Same as above (C6Hs)3Si- 173 1 0 0 0 0 Same as above ( CH3)jSi- 174 1 0 0 0 o Same as above (C2Hs)3Si- 175 1 Se Se Se Se Same as above (Bu (10) Office - 176 1 Se Se Se Se Ibid. (CH^Si- 177 1 Se Se Se Se Ibid (C2Hs) 3Si- -26- 201245216 [Table 8] R (im) wmn XYWZ o- R- 180 0 s S — — (CH3)3Si- 181 0 s S — — Same as above (QHAS 182 0 s S — — Same as above (i -C3H7)3S Bu 183 0 〇0 — — Same as above (CH3)3Si- 184 0 〇0 One-to-one (QH^Si- 185 0 〇0 One - Same as above (i-C3H7)3Si- .186 0 Se Se — — ibid. • (CH such as i- 187 0 Se Se - same as above (QHg^Si- 188 0 Se Se Io-i (i-C3H7) 3Si- 189 1 S s S s Same as above (CH3)3Si- 190 1 SS Ss Same as above (QH^Si- 191 1 S sss Same as above (i-C3H7)3Sr 192 1 〇〇0 0 Same as above (C6Hs)3Si- 193 1 0 0 0 0 Same as above (CHs^Si- 194 1 0 o 0 0 Same as above ( QH^sSi- 195 1 Se Se Se Se Ibid (i-C3H7)3Si- 196 1 Se Se Se Se Ibid. '(CH3)3Si- 197 1 Se Se Se Se Same as above (QHsJsS I- -27- 201245216 [Table 9] R (1-m) R mn XYWZ Q- R- 200 0. s S — — (CHa^Si- 201. 0 s S — Identical (C2H5)3Si- 202 0 s S一 — — Same as above (i-C3H7)3Si- 203 0 o 〇 — — Same as above (CH3^Si- 204 0 o 〇一一同上(C2Hs)3Si- 205 0 0 0 I—same as above (i-C3H7) 3Si- 206 0 Se Se I—Same as above (CtUSi- 207 0. Se Se – same as above (C2Hs) 3S 208 0 Se Se — Same as above (i-C3H7) 3Si- 209 1 SS S. s Up (CHJS Bu 210 1 SS s .s Same as above (C2H5)3S 211 1 SS ss Same as above (i-C3H7)3Si- 212 1 〇〇0 0 Same as above (C6H5)3Si- 213 1 〇0 0 0 Same as above (CHASi- 214 1 〇〇o 0 Same as above (C2H5)3Si-215. 1 Se Se Se Se Same as above (i-C3H7)3Si-216 1 Se Se Se Se Same as above (CFUSi-217 1 Se Se Se Se Ibid (C2H5)3Si--28- 201245216 [Table 1 ο]

RR

R m n X Y w z Q- R- 220 0 s s — — P (CH3)3S 卜 221 0 s S — — 同上 (C2Hs)3Si- 222 0 s s — — 同上 (i-C3H7)i3Si- 223 0 0 0 — 同上 (CH3)3Si- 224 0 0 0 一 一 同上 (C2Hs)3S 卜 225 0 0 0 — — 同上 (i-C3H7)3Si- 226 0 Se Se 一 一 同上 (CH3)3Si- 227 0 Se Se 一 — 同上 (C2H5)3S 卜 228 0 Se Se — 一 同上 (i-CsHy^Si- 229 1 s S S s 同上 (CH3)3Si- 230 1 s S s s 同上 (C2H5)3Si- 231 1 s .S s s 同上 (i-C3H7)sSi- 232 1 0 0 0 0 同上 (C6Hs)3Si- 233 1- 0 0 0 0 同上 (CH3)3Si- 234 1 0 0 0 0 同上 (C2Hs)3Si- 235 1 Se Se Se Se 同上 (i-C3H7^Si- 236 1 Se Se Se Se 同上 (CH3)3Si- 237 1 Se Se Se Se 同上 (C2H5〉3S 卜 -29- 201245216 [表1 1] R R m n X Y W Z Q- R- 240 0 s S -- —. 09 (CH3)3Si- 241 0 s S — — 同上 (¢2¾¾¾- 、 242 0 s S — — 同上 (i-C3H7)3Si- 243 0 0 0 一 一 同上 (CH3)3Si- 244 0 0 0 — — 同上 (CzHs^Si- 245 0 0 0 一. — 同上 (i-C3H7)3Si- 246 0 Se Se — — 同上 (CH3)3Si- 247 0 Se Se — — 同上 (C2H5&gt;3Si- 248 0 Se Se — — 同上 (i-C3H7)3S 卜 249 1 S -S S .s 同上 (CH3)3Si- 250 1 S S s s 同上 (C2Hs^Si- 251 1 S S s s 同上 (i-C3H7)3Si- 252 1 0 0 0 0 同上 (CsHg^Si- 253 1 0 〇 0 0 同上 (CH3)3Si- 254 1 0 0 0 0 同上 (C2H5^Si- 255 1 Se Se Se Se 同上 (i-C3H7)3Si- 256 1 Se Se Se Se 同上 (CH3)3S 卜 257 1 Se Se Se Se 同上 .(CzHs^Si-. -30- 201245216 [表 1 2] m η X Y W Z p- R- 260 0 s s — 一 A (CH3)3Si- 261 0 s s — — 同上 (C2H5)3Si- 262 0 s s — — 同上 (i-C3H7)3Si- 263 0 0 〇 — — 同上 (CH3)3Si- 264 0 0 o — — 同上 (C2H5)3Si- 265 0 0 〇 一 — 同上 (i-C3H7)3Si- 266 0 Se Se 一 — 同上 (CH3)3Si_ 267 0 Se Se 一 — 同上 (C2H5)3Si- 268 0 Se Se 一 — 同上 (i-C3H7)3Si- 269 1 S s S s 同上 (CH3)3Si- 270 1 s S s s 同上 (C2Hs)3Si- 271 1 s s s s 同上 (i-C3H7)3Si- 272 1 0 o 0 0 同上 (C6H5)sSi- 273 1 0 o 0 0 同上 (CH3)3Si- 274 1 0 o 0 0 同上 (C2H5)3Si- 275 1 Se Se Se Se 同上 (i-C3H7)3Si- 276 1 Se Se Se Se 同上 (CH3)3Si- 277 1 Se Se Se Se 同上 (CzHs^Si- -31 - 201245216 [表 1 3] m η X Y W Z P- R-' 280 0 s S — — s (CH3)3S 卜 281 0 s S 一 — 同上 (C2Hs)3Si- 282 0 s S — — 同上 (i-C3Hr^Si- 283 0 o .0 一 — 同上 (CH3)3Si- 284 0 0 0 一 同上 (C2Hs)3Si-. 285 0 oL 0 — — 同上 (i-CaHr^Si- 286 0 Se Se — — 同上 (CH 細- 287 0 Se Se 一 一 同上 (C2Hs)3Si- 288 0 Se Se — — 同上 (i-C3H7)3Si- 289 1 S S S S 同上 (CH^aSi- 290 1 S S s s 同上 (C2Hs)3Si- 291 1 S S s s 同上 (i-C3HAS: 292. 1 0 0 0 0 同上 (C6H5)3Si- 293 1 0 0 0 0 同上 (CH3)3Si- 294 1 0 0 0 0 同上 (C2Hs)3Si- 295 1 Se Se Se Se 同上 (i-C3H7)3Si- 296 1 Se Se Se Se 同上 (CH3)3Si- 297 1 Se Se Se Se 同上 .(C2H5)3Si- -32- 201245216 [表 1 4 ] m η X Y W Z P- R- 300 0 s S 一 — X» (CH3)3Si- 301 0 s S 一 — 同上 (CzHg^Si- 302 0 s S — 一 同上 (i-C3H7)3S 卜 303 0 0 0 — — 同上 (CH3)3Si- 304 0 0 0 — 一 同上 (C2H5)3S 卜 305 0 0 0 — 一 同上 (i-C3H7)3S 卜 306 0 Se Se 一 — 同上 (CH3)3S 卜 307 0 Se Se — 一 同上 (C2H5)3Si- 308 0 Se Se — — 同上 G-c3h7)3s 卜 309 1 S S S s 同上 (CH3)3Si- 310 1 S S s s 同上 (QHASi- 311 1 S S s s 同上 a-C3H7)3Si- 312 1 0 0 0 0 同上 (C6Hs)3Si- 313 1 0 0 0 0 同上 (CH3)3Si- 314 1 0 0 0 0 同上 (C2H5)3Si- 315 1 Se Se Se Se 同上 a-C3H7)3Si- 316 1 Se Se Se Se 同上 (CH3)3Si- 317 1 Se Se Se Se 同上 (C2H5)3Si- -33- 201245216 [表 1 5]R mn XY wz Q- R- 220 0 ss — — P (CH3)3S 221 0 s S — — Same as above (C2Hs)3Si- 222 0 ss — — Same as above (i-C3H7)i3Si- 223 0 0 0 — Same as above (CH3)3Si- 224 0 0 0 One-to-one (C2Hs)3S 225 0 0 0 — — Same as above (i-C3H7)3Si- 226 0 Se Se One-to-one (CH3)3Si- 227 0 Se Se — ibid (C2H5)3S 228 0 Se Se — together (i-CsHy^Si- 229 1 s SS s Same as above (CH3)3Si- 230 1 s S ss Same as above (C2H5)3Si- 231 1 s .S ss Same as above (i-C3H7)sSi- 232 1 0 0 0 0 Same as above (C6Hs)3Si- 233 1- 0 0 0 0 Same as above (CH3)3Si- 234 1 0 0 0 0 Same as above (C2Hs)3Si- 235 1 Se Se Se Se Same as above (i-C3H7^Si-236 1 Se Se Se Se Same as above (CH3)3Si-237 1 Se Se Se Se Same as above (C2H5>3S 卜-29- 201245216 [Table 1 1] RR mn XYWZ Q- R- 240 0 s S -- —. 09 (CH3)3Si- 241 0 s S — — Same as above (¢23⁄43⁄43⁄4- , 242 0 s S — — Same as above (i-C3H7)3Si- 243 0 0 0 One-to-one (CH3)3Si - 244 0 0 0 — — Same as above (CzHs^Si- 245 0 0 0 I. — Same as above (i-C3H7)3Si- 246 0 Se Se — Same as above (CH3)3Si- 247 0 Se Se — Same as above (C2H5&gt;3Si- 248 0 Se Se – same as above (i-C3H7)3S 249 1 S -SS .s Same as above (CH3)3Si- 250 1 SS ss Same as above (C2Hs^Si- 251 1 SS ss Same as above ( i-C3H7)3Si- 252 1 0 0 0 0 Same as above (CsHg^Si- 253 1 0 〇0 0 Same as above (CH3)3Si- 254 1 0 0 0 0 Same as above (C2H5^Si- 255 1 Se Se Se Se Ibid ( i-C3H7)3Si-256 1 Se Se Se Se Same as above (CH3)3S 257 1 Se Se Se Se Ibid. (CzHs^Si-. -30- 201245216 [Table 1 2] m η XYWZ p- R- 260 0 Ss — A (CH3)3Si- 261 0 ss — — Same as above (C2H5)3Si- 262 0 ss — — Same as above (i-C3H7)3Si- 263 0 0 〇 — — Same as above (CH3)3Si- 264 0 0 o — — ibid (C2H5)3Si- 265 0 0 〇一— Ibid (i-C3H7)3Si- 266 0 Se Se — Same as above (CH3)3Si_ 267 0 Se Se — Same as above (C2H5)3Si- 268 0 Se Se — Same as above (i-C3H7)3Si- 269 1 S s S s Same as above (CH3)3Si- 270 1 s S ss Same as above (C2Hs)3Si- 271 1 ssss Same as above (i-C3H7)3Si- 272 1 0 o 0 0 Same as above ( C6H5)sSi- 273 1 0 o 0 0 Same as above (CH3)3Si- 274 1 0 o 0 0 Same as above (C2H5)3Si- 275 1 Se Se Se Se Same as above (i-C3H7)3Si-276 1 Se Se Se Se Same as above (CH3)3Si-277 1 Se Se Se Se Same as above (CzHs^Si- -31 - 201245216 [Table 1 3] m η XYWZ P- R-' 280 0 s S — — s (CH3)3S 281 0 s S — Same as above (C2Hs) 3Si- 282 0 s S — — Same as above (i-C3Hr^Si- 283 0 o .0 I—same as above (CH3)3Si- 284 0 0 0 Same as above (C2Hs)3Si-. 285 0 oL 0 — — Same as above (i-CaHr^Si- 286 0 Se Se – same as above (CH fine - 287 0 Se Se Io (C2Hs) 3Si- 288 0 Se Se — — Same as above (i-C3H7)3Si- 289 1 SSSS Same as above (CH^aSi- 290 1 SS ss Same as above (C2Hs)3Si- 291 1 SS ss Same as above (i-C3HAS: 292. 1 0 0 0 0 Same as above (C6H5)3Si- 293 1 0 0 0 0 Same as above (CH3)3Si- 294 1 0 0 0 0 Same as above (C2Hs)3Si- 295 1 Se Se Se Se Same as above (i-C3H7)3Si- 296 1 Se Se Se Se Same as above (CH3)3Si- 297 1 Se Se Se Se Ibid. (C2H5)3Si- -32- 201245216 [Table 1 4 ] m η XYWZ P- R- 300 0 s S-X» (CH3)3Si- 301 0 s S - Same as above (CzHg^Si- 302 0 s S - Same as above (i-C3H7) 3S 卜 303 0 0 0 — — Same as above (CH3)3Si- 304 0 0 0 — Same as above (C2H5)3S 卜 305 0 0 0 - together with (i-C3H7)3S 306 0 Se Se - same as above (CH3)3S 307 0 Se Se - together with (C2H5)3Si- 308 0 Se Se — Same as above G-c3h7)3s Bu 309 1 SSS s Same as above (CH3)3Si- 310 1 SS ss Same as above (QHASi- 311 1 SS ss Same as above a-C3H7) 3Si- 312 1 0 0 0 0 Same as above (C6Hs)3Si- 313 1 0 0 0 0 Same as above (CH3)3Si- 314 1 0 0 0 0 Same as above (C2H5)3Si- 315 1 Se Se Se Se Same as a-C3H7)3Si- 316 1 Se Se Se Se Same as above (CH3)3Si- 317 1 Se Se Se Se Ibid. (C2H5)3Si- -33- 201245216 [Table 1 5]

m η X Y w z P- R- 320 0 s S — 一 (CH3)3Si- 321 0 s s — — 同上 (CzHs^Si- 322 0 s s — — 同上 G-C3H7)3Si- 323 0 0 0 — 一 同上 (CH3)3Si- 324 0 0 0 — 一. 同上 (C2H5)3Si- 325 0 0 0 一 — 同上 G-C3H7)3Si- 326 0 Se Se — — 同上 (CH3)3Si- 327 0 Se Se — — 同上 (C2H5)3Si- 328 0 Se Se 一 — 同上 G-C3H7)3S 卜 329 1 S S S S 同上 (CH3)3Si- 330 1 S S s s 同上 (C2Hs\S 卜 331 1 S S s s 同上 (i-C3H7)3S 卜 332 1 0 0 0 0 同上 (CeHAS 卜 333 1 0 〇 0 0 同上 (CH3)3Si- 334 1 0 .0 0 0 同上 (C2Hs)3Si- 335 1 Se Se Se Se 同上 G-C3H7)3Si- 336 1 Se Se Se Se 同上 (CHs)3Si- 337 1 Se Se Se Se 同上 (C2H5^Si- -34- 201245216 [表 1 6] m η X Y W Z P- R- 340 0 s S — — (CH3)3Si- 341 0 s S 一 — 同上 (C2H5^Si- 342 0 s S 一 一 同上 (i-C3H7)3S 卜 343 0 0 0 一 — 同上 (CH3)3Si- 344 0 0 0 — 一 同上 (C^Hs^Si- 345 0 0 0 — 一 同上 (i-C3H7)3Si- 346 0 Se Se — 一 同上 (CH3)3Si- 347 0 Se Se — 一 同上 (C2H5)3Si- 348 0 Se Se — 一 同上 (i-C3H7)3Si- 349 1 s S S S 同上 (CH3)3Si- 350 1 S S s S 同上 (C2H5\S 卜 351 1 s S s S 同上 (i-C3H7)3Si- 352 1 0 0 0 0 同上 (CgHs^Si- 353 1 0 0 0 0 同上 (CH3)3Si- 354 1 〇 〇 0 0 同上 (C2H5^Si- 355 1 Se Se Se Se 同上 a-C3H7)3Si- 356 1 Se Se Se Se 同上 (CH3)3S 卜 357 1 Se Se Se Se 同上 (CzHs^Si- -35- 201245216 [表 1 7] m η X Y W Z P- R- 360 0 s S — — (CH3)3Si- 361 0 s S — — 同上 (C2H5^Sr 362 0 s S — — 同上 G_c3h7)3s 卜 363 0 0 0 — 一 同上 (CH3)3Si- 364 0 0 0 — — 同上 (C2H5^Si- 365 0 0 0 — — 同上 G-C3H7)3Si- 366 0 Se Se — — 同上 (CH3)3S 卜 367 0 Se Se — 一 同上 (C2H5)3Si- 368 0 Se Se — — 同上 a-C3H7)3Si- 369 1 S S S .s 同上 (CH3)3S 卜 370 1 S S s s 同上 (C2H5&gt;3S 卜 371 1 S S s s 同上 (i-C3Hr)3Si- 372 1 〇 0 0 0 同上 (CeHsisSi- 373 1 0 0 0 0 同上 (CH3)3Si- 374 1 0 0 0 0 同上 (CzHAS 卜 375 1 Se Se Se Se 同上 G-C3H7)3Si- 376 1 Se Se Se Se 同上 (CH3)3Si- 377 1 Se Se Se Se 同上 (C2H5&gt;3Si- -36- 201245216 [表 1 8] m η X Y w Z P- R- 380 0 s S — — ΌΟΟ (CH3)3Si- 381 0 .s S — — 同上 (C2H5)3Si- 382 0 s S 一 一 同上 (i-C3H7)3Si- 383 0 0 0 — 一 同上 (CH3)3S 卜 384 0 0 0 — 一 同上 (C2Hs)3S 卜 385 0 0 0 — — 同上 (i-C3H7)3Si- 386 0 Se Se —. — 同上 (CH3)3S 卜 387 0 Se Se 一 — 同上 (C2Hs)3Si- 388 0 Se Se — 一 同上 (卜 C3H7)3S 卜 389 1 S S S S 同上 (CH3)3S 卜 390 1 s S s s 同上 (C2H5)3Si- 391 1 s s s s 同上 (i-C3H7)3Si- 392 1 0 0 0 0 同上 (C6Hs)3Si- 393 1 0 〇 0 0 同上 (CH3)3Si- 394 1 0 0 0 0 同上 (C2H5)3S 卜 395 .1 Se Se Se Se 同上 (卜 C3H7)3Si- 396 1 Se Se Se Se 同上 (CH3)3S 卜 397 1 Se Se Se Se 同上 (C2Hs)3Si- -37- 201245216 [表 1 9] ra η X Y W z p- R- 400 0 s S — — (CH3)3Si- 401 0 s S — — 同上 (C2Hs)3Si- 402 0 s S — — 同上 (卜 C3H7)3Sh 403 0 0 0 — — 同上 (ch3)3s 卜 404 0 0 0 一 — 同上 (C2Hs)3Si- 405 0 0 0 — — 同上 (i-C3H7)3Si- 406 0 Se Se — — 同上 (CH3)3Si- 407 0 Se Se — — 同上 (C2Hs)3Si- 408 0 Se Se — — 同上 (i-C3H7)3Si- 409 1 S S S s 同上 (CH3)3Si- 410 1 s s s s 同上 (C2Hs)3Si- 411 1 s s s s 同上 (i-C3H7)3S 卜 412 1 0 0 0 0 同上 (C6H5)3Si- 413 1. o 0 0 0 同上 (CH3)3Si- 414 1 0 0 0 0 同上 (C2H5)3S 卜 415 1 Se Se Se Se 同上 (i-C3H7)3Si- 416 1 Se Se Se Se 同上 (CH3)3Si- 417 1 Se Se Se Se 同上 (C2H5)3S 卜 -38- 201245216 [表 2 Ο] m n X Y W Z p- .R- 420 0 s S — — (CH3)3Si- 421 0 s S — — 同上 (C2Hs)3S 卜 422 0 s S — 一 同上 (卜 C3H7)3S 卜 423 0 0 0 — 一 同上 (CH3)3Si- 424 0 0 0 — — 同上 (⑽办- 425 0 0 0 — — 同上 (卜 C3H7)3Sh 426 0 Se Se — — 同上 (CH3)3Si- 427 0 Se Se — — 同上 (C2Hs)3Si- 428 0 Se Se 一 — 同上 (卜 C3H7)3S 卜 429 1 S S S s 同上 (CH3)3Si- 430 1 S S s s 同上 (C2Hs)3Si- 431 1 S S s s 同上 (卜 C3H7)3S 卜 432 1 0 0 0 0 同上 (CgHs^Si- 433 1 0 0 0 0 同上 (CH3)3Si- 434 1 0 0 0 0 同上 (C2H5)3Si- 435 1 Se Se Se Se 同上 (卜 C3H7)3S 卜 436 1 Se Se Se Se 同上 (CH3)3Si-. 437 1 Se Se Se Se 同上 (C2Hs)3Si- -39- 201245216 [表2 1 ] m η X Y W Z p- R- 440 0 s S — — P (CH3^Si- 441 0 s S — — 同上 (C2H5)3Si- 442 0 s S — 一 同上. (i-C3H7)3Si- 443 0 0 〇 一 一 同上 (CH3)3S- 444 0 0 0 — — 同上 (C2H5)3Si- 445 0 0 0 一 — 同上 (卜 C3H7)3S 卜 446 0 Se Se — — 同上 (CH3)3Si- 447 0 Se Se — 同上 (C2Hs)3Si- 448 0 Se Se — — 同上 (i-C3H7)3S 卜 449 1 S S . S s 同上 (CH3)3Si- 450 1 S S s s 同上 (C2H5)3Si- 451 1 S S s s 同上 (i-C3H7)3Si- 452 1 0 0 0 0 同上 (C6H5)3Si- 453 1 0 0 0 0 同上 (CH^Si- 454 1 0 0 0 0 同上 (C2H5)3S 卜 455 1 Se Se Se Se 同上 (i-C3H7)3S 卜 456 1 Se Se Se Se 同上 (CH3&gt;3S- 457 1 Se Se Se Se 同上 (C2Hs)3Si- -40- 201245216 [表 2 2] m η X Y W Z P- R- 460 0 s S 一 — 9〇 (CH3)3Si- 461 0 s S — 一 同上 (C2Hs&gt;3Si- 462 0 s s 一 一 同上 (卜 C3H7)3S 卜 463 0 0 0 — 一· 同上 (CHASh 464 0 o 0 — 一 同上 (C2Hs&gt;jSi- 465 0 0 .0 — 一 同上 (卜 C3H7)3Si- 466 0 Se Se — —· 同上 (CH3)3Si- 467 0 Se Se 一 — 同上 (C2Hs)3Si- 468 0 Se Se 一 — 同上 (卜 C3H7)3Si- 469 1 S S S S 同上 (CHASi- 470 1 s S s S 同上 (C2Hs)3Si- 471 1 s S s S 同上 (i-C3H7)3Si-. 472 1 0 0 0 0 同上 (C6Hs)sSi- 473 1 0 0 0 0 同上 (CH3)3Si- 474 1 o 〇 o 0 同上 475 1 Se Se Se Se 同上 (i-C3H7)3Si- 476 1 Se Se Se Se 同上 (CH3)3Si- 477 1 Se Se Se Se 同上 (C2Hs)bSi- 至於本發明之化合物(1),較好爲P1及P2相同且爲 以式(2)表示之基,QI及Q2爲相同之可經取代之芳香族 烴基或可經取代之芳香族雜環基 )之化合物’亦即,以下述式m η XY wz P- R- 320 0 s S — one (CH3)3Si- 321 0 ss — — as above (CzHs^Si- 322 0 ss — — as above G-C3H7) 3Si- 323 0 0 0 — together ( CH3)3Si- 324 0 0 0 — I. Ibid. (C2H5)3Si- 325 0 0 0 I—Same as G-C3H7)3Si- 326 0 Se Se — — Same as above (CH3)3Si- 327 0 Se Se — — Same as above ( C2H5)3Si- 328 0 Se Se I — Same as above G-C3H7) 3S 329 1 SSSS Same as above (CH3)3Si- 330 1 SS ss Same as above (C2Hs\S 331 1 SS ss Same as above (i-C3H7) 3S 332 1 0 0 0 0 Same as above (CeHAS 333 1 0 〇0 0 Same as above (CH3)3Si- 334 1 0 .0 0 0 Same as above (C2Hs)3Si- 335 1 Se Se Se Se Same as G-C3H7)3Si- 336 1 Se Se Se Se Same as above (CHs) 3Si- 337 1 Se Se Se Se Same as above (C2H5^Si- -34- 201245216 [Table 16] m η XYWZ P- R- 340 0 s S — — (CH3)3Si- 341 0 s S一— Same as above (C2H5^Si- 342 0 s S One-to-one (i-C3H7)3S Bu 343 0 0 0 — Same as above (CH3)3Si- 344 0 0 0 — Together (C^Hs^Si- 345 0 0 0 — together with (i-C3H7)3Si- 346 0 Se Se — together with (CH3)3Si- 347 0 Se Se — together (C2H5)3Si- 348 0 Se Se - together with (i-C3H7)3Si- 349 1 s SSS Same as above (CH3)3Si- 350 1 SS s S Same as above (C2H5\S 351 1 s S s S Same as above (i- C3H7)3Si- 352 1 0 0 0 0 Same as above (CgHs^Si- 353 1 0 0 0 0 Same as above (CH3)3Si- 354 1 〇〇0 0 Same as above (C2H5^Si- 355 1 Se Se Se Se Same as a-C3H7 ) 3Si- 356 1 Se Se Se Se Same as above (CH3) 3S Bu 357 1 Se Se Se Se Same as above (CzHs^Si- -35- 201245216 [Table 1 7] m η XYWZ P- R- 360 0 s S — — ( CH3)3Si- 361 0 s S — — Same as above (C2H5^Sr 362 0 s S — — Same as above G_c3h7) 3s Bu 363 0 0 0 — Together with (CH3)3Si- 364 0 0 0 — — Same as above (C2H5^Si- 365 0 0 0 — — Same as above G-C3H7) 3Si- 366 0 Se Se — — Same as above (CH3)3S 367 0 Se Se — Together with (C2H5)3Si- 368 0 Se Se — — Same as above a-C3H7)3Si- 369 1 SSS .s Same as above (CH3)3S 370 1 SS ss Same as above (C2H5>3S 371 1 SS ss Same as above (i-C3Hr)3Si- 372 1 〇0 0 0 Same as above (CeHsisSi- 373 1 0 0 0 0 Ibid. (CH3)3Si- 374 1 0 0 0 0 Same as above (CzHAS 375 1 Se Se Se Se Ibid. G-C3H7) 3Si- 376 1 Se S e Se Se Same as above (CH3)3Si- 377 1 Se Se Se Se Same as above (C2H5>3Si- -36- 201245216 [Table 1 8] m η XY w Z P- R- 380 0 s S — — ΌΟΟ (CH3) 3Si - 381 0 .s S — — Same as above (C2H5)3Si- 382 0 s S One-to-one (i-C3H7)3Si- 383 0 0 0 — together with (CH3)3S 384 0 0 0 — together (C2Hs ) 3S 卜 385 0 0 0 — — Same as above (i-C3H7)3Si-386 0 Se Se —. — Same as above (CH3)3S 387 0 Se Se — Same as above (C2Hs) 3Si- 388 0 Se Se — Together (卜C3H7)3S 389 1 SSSS Same as above (CH3)3S 390 1 s S ss Same as above (C2H5)3Si- 391 1 ssss Same as above (i-C3H7)3Si- 392 1 0 0 0 0 Same as above (C6Hs)3Si- 393 1 0 〇0 0 Same as above (CH3)3Si- 394 1 0 0 0 0 Same as above (C2H5)3S 395.1 Se Se Se Se Same as above (Bu C3H7)3Si- 396 1 Se Se Se Se Same as above (CH3)3S 397 1 Se Se Se Se Ibid (C2Hs)3Si- -37- 201245216 [Table 1 9] ra η XYW z p- R- 400 0 s S — — (CH3)3Si- 401 0 s S — — Same as above (C2Hs)3Si- 402 0 s S — — Same as above (Bu C3H7)3Sh 403 0 0 0 — — Same as above (ch3)3s Bu 404 0 0 0 Same as above (C2Hs)3Si- 405 0 0 0 — — Same as above (i-C3H7)3Si- 406 0 Se Se — — Same as above (CH3)3Si- 407 0 Se Se — — Same as above (C2Hs)3Si- 408 0 Se Se — — Same as above (i-C3H7)3Si- 409 1 SSS s Same as above (CH3)3Si- 410 1 ssss Same as above (C2Hs)3Si- 411 1 ssss Same as above (i-C3H7)3S Bu 412 1 0 0 0 0 Same as above (C6H5)3Si- 413 1. o 0 0 0 Same as above (CH3)3Si- 414 1 0 0 0 0 Same as above (C2H5)3S 415 1 Se Se Se Se Same as above (i-C3H7)3Si- 416 1 Se Se Se Se Same as above (CH3)3Si - 417 1 Se Se Se Se Ibid (C2H5)3S 卜-38- 201245216 [Table 2 Ο] mn XYWZ p- .R- 420 0 s S — — (CH3)3Si- 421 0 s S — — Same as above (C2Hs) 3S 卜 422 0 s S — together with (Bu C3H7) 3S Bu 423 0 0 0 — Together with (CH3)3Si- 424 0 0 0 — — Same as above ((10) Office - 425 0 0 0 — — Same as above (Bu C3H7) 3Sh 426 0 Se Se — — Same as above (CH3)3Si- 427 0 Se Se — — Same as above (C2Hs)3Si- 428 0 Se Se — Same as above (Bu C3H7) 3S Bu 429 1 SSS s Same as above (CH3)3Si- 430 1 SS Ss Same as above (C2Hs)3Si- 431 1 SS ss Same as above (Bu C3H7)3S Bu 432 1 0 0 0 0 Same as above (CgHs^Si- 433 1 0 0 0 0 Same as above (CH3)3Si- 434 1 0 0 0 0 Same as above (C2H5)3Si- 435 1 Se Se Se Se Same as above (Bu C3H7)3S Bu 436 1 Se Se Se Se Iso (CH3)3Si-. 437 1 Se Se Se Se Ibid (C2Hs)3Si- -39- 201245216 [Table 2 1 ] m η XYWZ p- R- 440 0 s S — — P (CH3^Si- 441 0 s S — — Same as above (C2H5)3Si- 442 0 s S — Same as above. (i-C3H7)3Si- 443 0 0 〇一一同 (CH3)3S- 444 0 0 0 — — Same as above (C2H5)3Si - 445 0 0 0 I - Same as above (Bu C3H7) 3S Bu 446 0 Se Se — Same as above (CH3)3Si- 447 0 Se Se — Same as above (C2Hs)3Si- 448 0 Se Se — — Same as above (i-C3H7)3S 449 1 SS . S s Same as above (CH3)3Si- 450 1 SS ss Same as above (C2H5)3Si- 451 1 SS ss Same as above (i-C3H7)3Si- 452 1 0 0 0 0 Same as above (C6H5)3Si- 453 1 0 0 0 0 Same as above (CH^Si- 454 1 0 0 0 0 Same as above (C2H5) 3S Bu 455 1 Se Se Se Se Same as above (i-C3H7) 3S Bu 456 1 Se Se Se Se Same as above (CH3>3S- 457 1 Se Se Se Se Ibid (C2Hs)3Si- -40- 201245216 [Table 2 2] m η XYWZ P- R- 460 0 s S -9 〇(CH3)3Si- 461 0 s S — Together (C2Hs&gt;3Si- 462 0 ss One-to-one (Bu C3H7) 3S Bu 463 0 0 0 — I. Ibid. (CHASh 464 0 o 0 – together (C2Hs&gt;jSi- 465 0 0 .0 — together Upper (Bu C3H7) 3Si- 466 0 Se Se — —· Same as above (CH3) 3Si- 467 0 Se Se — Same as above (C2Hs) 3Si- 468 0 Se Se — Same as above (Bu C3H7) 3Si- 469 1 SSSS Same as above ( CHASi- 470 1 s S s S Same as above (C2Hs)3Si- 471 1 s S s S Same as above (i-C3H7)3Si-. 472 1 0 0 0 0 Same as above (C6Hs)sSi- 473 1 0 0 0 0 Same as above (CH3 ) 3Si- 474 1 o 〇o 0 Same as above 475 1 Se Se Se Se Same as above (i-C3H7)3Si- 476 1 Se Se Se Se Same as above (CH3)3Si- 477 1 Se Se Se Se Same as above (C2Hs) bSi- The compound (1) of the invention preferably has the same P1 and P2 and is a group represented by the formula (2), and QI and Q2 are the same substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic group) Compound 'that is, by the following formula

(1b) (以下有時記爲Q爲代表 1 b )表示之化合物:(1b) (hereinafter sometimes referred to as Q as representative 1 b )

R (式中,η、Ι、χ、γ、 ' 尺及Q表示與前述相同之 -41 - 201245216 意義)。 本發明之化合物(1 )之不同例較好爲P 1及p2係相同 之可經取代之芳香族烴基或可經取代之芳香族雜環基(以 下有時記爲P作代表)’Q1及Q2爲相同且爲以式(2)表 示之基之化合物,以及以下式(lb’)表示之化合物 (式中,11、冒、乂、丫、2、11及?表示與前述相同之 意義)。 尤其,較好爲表中之m爲以下列編號表示之化合物( 1 ° 13、1 4 、15、 40 、41、 42、60 、61 ' 62、 100、 101 102 、120 、 121、 122 、140 、141、 142、 160、 161、 162 1 80 、18 1 &gt; 182、 200 、201 ' 202、 220、 221、 222 ' 240 241 &gt; 242 、 260、 26 1 、262 、2 80、 281、 282、 3 00、 301 3 02 ' 320 321、 322 、340 ' 341、 342、 360、 361、 362 3 80 、381 3 82、 400 &gt; 401 、402、 420、 421、 422、 440 44 1 &gt; 442 、 460 ' 461 ' 462 〇 更好爲 表 中之 m以下列 編號表示之化合物 (1 ) 。13 14、 15、 40 、41 本發明 之 化合; 物( 1 )由 於有對 有機溶劑之 溶解性優 之傾向, 故 有化· 合物 (1 ) 容易製 造之傾向, 製造後之 純化亦容易之傾向。 -42- 201245216 且’將化合物(1 )溶解於有機溶劑中之溶解液可經 塗佈及乾燥而形成薄膜。塗佈化合物(1)而製膜加工獲 得之薄膜係如後述’由於有係顯示高載體移動度之薄膜之 傾向故較佳。 接著針對化合物(1 )之製造方法加以說明。 化合物(1)爲化合物(lb)時之製造方法可列舉爲 包含例如在過渡金屬化合物存在下,使含Q之金屬化合物 (化合物(4 ))與以下式(丨a )表示之化合物(化合物 la))反應之步驟(以下有時記爲本步驟)之方法等:R (wherein η, Ι, χ, γ, 'square and Q represent the same meaning as described above -41 - 201245216). The different examples of the compound (1) of the present invention are preferably a substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic group (hereinafter sometimes referred to as P) of the P1 and p2 systems, and Q1 and Q2 is a compound which is the same and is a group represented by the formula (2), and a compound represented by the following formula (lb') (wherein, 11, ruthenium, osmium, iridium, 2, 11 and ? represent the same meaning as described above) . In particular, it is preferred that m in the table is a compound represented by the following numbers (1° 13, 14 4, 15, 40, 41, 42, 60, 61 '62, 100, 101 102, 120, 121, 122, 140) 141, 142, 160, 161, 162 1 80, 18 1 &gt; 182, 200, 201 '202, 220, 221, 222 '240 241 &gt; 242, 260, 26 1 , 262 , 2 80 , 281 , 282 3, 00, 301 3 02 '320 321 , 322 , 340 ' 341 , 342 , 360 , 361 , 362 3 80 , 381 3 82 , 400 &gt; 401 , 402 , 420 , 421 , 422 , 440 44 1 &gt; 442 , 460 ' 461 ' 462 〇 is more preferably the compound (1 ) represented by the following number in the table. 13 14, 15, 40, 41 The compound of the present invention; the substance (1) is excellent in solubility to an organic solvent. The tendency is that the compound (1) tends to be easy to manufacture, and the purification after the production tends to be easy. -42- 201245216 and the solution in which the compound (1) is dissolved in an organic solvent can be coated and Drying to form a film. The film obtained by coating the compound (1) and film-forming is as described later. The tendency to exhibit a film having a high carrier mobility is preferred. Next, a method for producing the compound (1) will be described. The production method when the compound (1) is the compound (1) may be, for example, included in the presence of a transition metal compound. A step of reacting a Q-containing metal compound (compound (4)) with a compound (compound la) represented by the following formula (丨a) (hereinafter sometimes referred to as a step):

(式中’n、W、X、Y、Z及R表示與前述相同之意 義,X1各獨立表示鹵素原子,更好爲碘原子,X1較好爲 相同)。 化合物(4)中之Q可列舉爲與前述Q1及Q2相同之 可經取代之芳香族烴基或可經取代之芳香族雜環基,較好 爲可經取代之芳香族雜環基。 化合物(4 )中所含之金屬原子可列舉爲例如錫原子 、鎂原子、鋅原子及硼原子等。 含Q及錫原子之化合物(4 )可列舉爲例如以下式(6 )表示之化合物(化合物(6 ))等: -43- 201245216 R4 Q一Sn—R5 (6) \ R6 式(6 )中,Q表示可經取代之芳香族烴基或可經取 代之芳香族雜環基》 式(6 )中,R4、R5及R6爲例如甲基、乙基、正丙基 、異丙基、正丁基、第二丁基、第三丁基、正戊基、環戊 基、正己基、環己基、正辛基' 正癸基等碳數1~10之烷 基,較好爲甲基、乙基、正丙基、正丁基、正己基’更好 爲甲基、乙基、正丙基、正丁基。 至於化合物(6)之製造方法爲例如使含有以下式( 6-2)表示之化合物: Q-X6 (6-2) (式中,Q表示與前述相同之意義,X6表示氯原子、 溴原子、碘原子等鹵素原子或碳數1〜10之烷氧基),(wherein 'n, W, X, Y, Z and R have the same meanings as defined above, and X1 each independently represents a halogen atom, more preferably an iodine atom, and X1 is preferably the same). The Q in the compound (4) may be the same as the above-mentioned Q1 and Q2, the substituted aromatic hydrocarbon group or the substituted aromatic heterocyclic group, and preferably a substituted aromatic heterocyclic group. The metal atom contained in the compound (4) may, for example, be a tin atom, a magnesium atom, a zinc atom or a boron atom. The compound (4) containing a Q atom and a tin atom may, for example, be a compound represented by the following formula (6) (compound (6)) or the like: -43- 201245216 R4 Q-Sn-R5 (6) \ R6 in the formula (6) , Q represents a substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic group. In the formula (6), R4, R5 and R6 are, for example, methyl, ethyl, n-propyl, isopropyl, n-butyl Alkyl group having a carbon number of 1 to 10, such as a base group, a second butyl group, a tert-butyl group, a n-pentyl group, a cyclopentyl group, a n-hexyl group, a cyclohexyl group, an n-octyl group, and a n-decyl group, preferably a methyl group or a The group, n-propyl, n-butyl, n-hexyl is more preferably methyl, ethyl, n-propyl or n-butyl. The method for producing the compound (6) is, for example, a compound represented by the following formula (6-2): Q-X6 (6-2) (wherein Q represents the same meaning as described above, and X6 represents a chlorine atom or a bromine atom. a halogen atom such as an iodine atom or an alkoxy group having a carbon number of 1 to 10),

與溶劑之溶液冷卻至-40°C以下,較好在-55°C〜-110°C ,更好爲-65°C〜-l〇〇°C之溫度範圍後,添加第三丁基鋰等 烷基鋰試劑,接著於上述溫度範圍攪拌10分鐘〜5小時。 又,將包含以式(6-2 )表示之化合物與溶劑之溶液設定The solution with the solvent is cooled to below -40 ° C, preferably at -55 ° C to -110 ° C, more preferably in the range of -65 ° C to - l ° ° C, after the addition of the third butyl lithium The alkyl lithium reagent is then stirred at the above temperature range for 10 minutes to 5 hours. Further, a solution containing a compound represented by the formula (6-2) and a solvent is set.

在 40°C~ -1 10°C,較好在 20°C 〜-100°C,更好在 〇°C 〜-80°C 之溫度範圍後,添加溴化異丙基鎂等格林納試劑,再於上 述溫度範圍攪拌1 〇分鐘〜5小時。或者,與通常如格林納 試劑之調製法同樣,使以式(6-2 )表示之化合物與金屬 -44- 201245216 鎂反應。 6 -1 )表不之 如此產生Q之陰離子後,添加以下式( 化合物:Glyon reagent such as isopropylmagnesium bromide is added at 40 ° C to -1 10 ° C, preferably at 20 ° C to -100 ° C, more preferably at a temperature range of 〇 ° C to -80 ° C Then, stir for 1 〇 minutes to 5 hours in the above temperature range. Alternatively, the compound represented by the formula (6-2) is allowed to react with the metal -44-201245216 magnesium, in the same manner as in the usual method of the Grignard reagent. 6 -1 ) When the anion of Q is thus produced, the following formula is added (compound:

(6-1) R4(6-1) R4

(式中,X5表示氟原子、氯原子、溴原 鹵素原子’ R4、R5、及R6表示與前述相同; 在30°C〜-8 0°C下反應10分鐘〜5小時之方法等 另外,Q爲可經取代之芳香族族雜環基 子時,列舉爲使包含以下式(6-3 )表示之{ 之溶液: Q-H (6-3) (式中,-H表示鍵結於芳香族雜環之α ,冷卻至-40°C以下,較好-55°C〜-ll〇°C,更好 之溫度範圍後,添加第三丁基鋰等烷基鋰試齊 述溫度範圍攪拌1 〇分鐘〜5小時。接著,將以 示之化合物添加於所得反應物中,在-1 〇〇°C 1 0分鐘~5小時之方法。 至於含Q及鎂原子之化合物(4)可列舉 )表示之化合物(化合物(7 ))等: 或碘原子之 意義),且 且無鹵素原 合物及溶劑 位上之氫) -6 5 〇C 〜-1 0 0 〇C 1,接著於上 式(6-1 )表 〜30°C下反應 爲以下式(7 -45- 201245216 Q g — χ 3 (7) 式(7)中,Q表示與前述相同之意義,X3爲例如氟 原子、氯原子、溴原子或碘原子之鹵素原子,較好爲氯原 子、溴原子或碘原子。 化合物(7 )係如化合物(6 )之製造方法中所述般, 藉由以(6-2)表示之化合物與溴化異丙基鎂等格林納試 劑’或金屬鎂之反應而調製。 含Q及鋅原子之化合物(4)可列舉爲以下式(8)表 示之化合物(化合物(8))等: Q ~ Ζ η — X 4 (8) 式(8)中,Q表示與前述相同之意義,X4爲例如氟 原子、氯原子、溴原子或碘原子之鹵素原子,較好爲氯原 子、溴原子或碘原子。 至於化合物(8)之製造方法除使用氯化鋅、溴化鋅 、碘化鋅等鹵化鋅代替以前述式(6-1)表示之化合物以 外,可與化合物(6 )同樣製造。 含Q及硼原子之化合物(4)可列舉爲以下式(9)表 示之化合物(化合物(9))等: Q—Β R7(wherein, X5 represents a fluorine atom, a chlorine atom, or a brominated halogen atom; 'R4, R5, and R6 are the same as defined above; a method of reacting at 30 ° C to -8 ° C for 10 minutes to 5 hours, etc. When Q is a substituted aromatic heterocyclic group, it is exemplified to include a solution represented by the following formula (6-3): QH (6-3) (wherein -H represents bonding to an aromatic hybrid) Ring α, cooled to below -40 ° C, preferably -55 ° C ~- ll ° ° C, after a better temperature range, add alkyl butyl lithium and other alkyl lithium test temperature range stirring 1 〇 In the next, the compound is added to the obtained reactant, and the method is carried out at -1 ° C for 10 minutes to 5 hours. As for the compound (4) containing Q and magnesium atoms, it can be represented by a compound (compound (7)) or the like: or an iodine atom), and a halogen-free complex and a hydrogen at a solvent position) -6 5 〇C ~1 0 0 〇C 1, followed by the above formula ( 6-1) The reaction at 30 ° C is the following formula (7 - 45 - 201245216 Q g - χ 3 (7) In the formula (7), Q represents the same meaning as described above, and X3 is, for example, a fluorine atom or a chlorine atom. ,bromine The halogen atom of the iodine atom or the iodine atom is preferably a chlorine atom, a bromine atom or an iodine atom. The compound (7) is a compound represented by (6-2) as described in the method for producing the compound (6). The compound (4) containing a Q and a zinc atom may be exemplified by a compound represented by the following formula (8) (compound (8)), etc., as a reaction of a Grignard reagent such as isopropylmagnesium bromide or a metal magnesium: Q ~ η η — X 4 (8) In the formula (8), Q represents the same meaning as described above, and X4 is a halogen atom such as a fluorine atom, a chlorine atom, a bromine atom or an iodine atom, preferably a chlorine atom, a bromine atom or iodine. The method for producing the compound (8) can be produced in the same manner as the compound (6) except that a zinc halide such as zinc chloride, zinc bromide or zinc iodide is used instead of the compound represented by the above formula (6-1). The compound (4) of the Q and the boron atom is exemplified by the compound represented by the following formula (9) (compound (9)) and the like: Q—Β R7

(9) -46- 201245216 式(9)中,Q表示與前述相同之意義,R7及R8表示 羥基、例如甲氧基、乙氧基、正丙氧基、異丙氧基、正丁 氧基、及正己氧基等碳數1〜10之烷氧基、例如苯氧基、 1-萘氧基、2-萘氧基等碳數6〜20之芳氧基。 又,至於R7及R8亦可鍵結、由R7、R8及硼原子所 成之環構造可列舉爲例如1,3,2·二氧雜硼雜環戊烷、 4,4,5,5-四甲基-1,3,2-二氧雜硼雜環戊烷、5,5-二甲基-1,3,2-二氧雜硼雜環己烷、1,3,2-苯并二氧雜硼雜環戊二烯 (561120(1丨0\31501&gt;〇16)環、9-硼雜雙環-3,3,1-壬院環。 化合物(9)除使用以下式(9-1)表示之化合物代替 以式(6-1 )表示之化合物以外,可與化合物(6 )同樣製 •ί出 ·(9) -46- 201245216 In the formula (9), Q represents the same meaning as defined above, and R7 and R8 represent a hydroxyl group such as a methoxy group, an ethoxy group, a n-propoxy group, an isopropoxy group or a n-butoxy group. And an alkoxy group having 1 to 10 carbon atoms such as n-hexyloxy group, for example, an aryloxy group having 6 to 20 carbon atoms such as a phenoxy group, a 1-naphthyloxy group or a 2-naphthyloxy group. Further, as for the ring structure in which R7 and R8 may be bonded and formed of R7, R8 and a boron atom, for example, 1,3,2·dioxaborolane, 4,4,5,5- may be mentioned. Tetramethyl-1,3,2-dioxaborolane, 5,5-dimethyl-1,3,2-dioxaborolane, 1,3,2-benzo Dioxaborole (561120 (1丨0\31501&gt;〇16) ring, 9-borabicyclo-3,3,1-anthracene ring. Compound (9) except the following formula (9-) 1) The compound represented by the formula (6-1) can be produced in the same manner as the compound (6).

X7-B R7X7-B R7

(9-1) (式中,R7及R8除羥基以外表示與前述相同之意義 ,X7表示前述之烷氧基、芳氧基)。 本步驟中之含Q之金屬化合物(化合物(4))之使 用量相對於化合物(la) 1莫耳,通常以Q表示之基爲 1〜1 0莫耳之比例,較好爲2〜4莫耳之比例。 本步驟中使用之過渡金屬化合物列舉爲例如鈀化合物 、鎳化合物。鈀化合物亦可爲進而與膦化合物反應者。 過渡金屬化合物亦可直接使用市售品。 此處,鈀化合物列舉爲例如參(二亞苄基丙酮)二鈀 -47- 201245216 (〇) '參(二亞苄基丙酮)二鈀(〇) •氯仿加成物、乙 酸鈀(Π)、氯化鈀(Π)、(雙環[2.2.1]庚-2,5-二烯) 二氯鈀(II) 、 (2,2’_聯吡啶)二氯鈀(II)、雙(乙腈 )氯硝基鈀(Π)、雙(苯甲腈)二氯鈀(II)、雙(乙 腈)二氯鈀(II)、二氯(1,5-環辛二烯)鈀(II)、二氯 (乙二胺)鈀(Π)、二氯(Ν,Ν,Ν’,Ν’-四亞甲基二胺) 鈀(II)、二氯(1,1〇-菲繞啉)鈀(II )、乙醯基丙酮酸 鈀(Π)、溴化鈀(II)、六氟乙醯基丙酮酸鈀(II )、 碘化鈀(Π )、硝酸鈀(II )、硫酸鈀(II )、三氟乙酸 鈀(Π)。該鈀化合物通常直接使用市售品。 鈀化合物之使用量相對於化合物(la) 1莫耳,以鈀 金屬原子計通常爲0.001〜1莫耳之比例。 至於膦化合物列舉爲例如三苯基膦、參(2-甲基苯基 )膦、參(3-甲基苯基)膦、參(4-甲基苯基)膦、參( 五氟苯基)膦、參(4-氟苯基)膦、參(2-甲氧基苯基) 膦、參(3-甲氧基苯基)膦、參(4-甲氧基苯基)膦、參 (2,4,6-三甲基苯基)膦、三(3-氯苯基)膦、三(4-氯 苯基)膦、三正丁基膦、三第三丁基膦、三環己基膦、 1,2-二苯基膦醯基乙烷、1,3·二苯基膦醯基丙烷、1,4-二苯 基膦醯基丁烷、1,2-二環己基膦醯基乙烷、1,3-二環己基 膦醯基丙烷、1,4_二環己基膦醯基丁烷、1,2-二甲基膦醯 基乙烷、1,3-二甲基膦醯基丙烷、1,4-二甲基膦醯基丁烷 、1,2-二乙基膦醯基乙烷、1,3·二乙基膦醯基丙烷、1,4-二 乙基膦醯基丁烷、1,2-二異丙基膦醯基乙烷、1,3_二異丙 -48- 201245216 基膦醯基丙烷、丨,4_二異丙基膦醯基丁烷、三(2-呋 膦)、2-(二環己基膦醯基)聯苯、2-(二第三丁基 基)聯苯、2-二第三丁基膦醯基-2’-甲基聯苯、2-( 己基膦醯基-2’,6’-二甲氧基1,1’-聯苯、2-(二環己基 基)-2’- ( N,N-二甲基胺基)聯苯、2-二環己基膦醯_ 甲基-聯苯、2-(二環己基膦醯基)-2’,4’,6’-三異 1,1’-聯苯、1,1’-雙(二苯基膦醯基)二茂鐵、1,1’-二異丙基膦醯基)二茂鐵。該膦化合物可使用市售者 可使用依據習知方法製造者。膦化合物之使用量相對 原子1莫耳,通常爲磷原子0.5〜10莫耳之比例,較 1〜5莫耳之比例。 再者與膦化合物反應之鈀化合物列舉爲例如肆( 基膦)鈀(〇)、雙(乙酸酯)雙(三苯基膦)鈀 雙[1,2-雙(二苯基膦醯基)乙烷]鈀(〇) 、Π,2·雙( 基膦醯基)乙烷]二氯鈀(11)、二溴雙(三苯基膦) II)、二氯雙(二甲基苯基膦)鈀(II)、二氯雙( 二苯基膦)鈀(Π) '二氯雙(三環己基膦)紀(11 二氯雙(三乙基膦)鈀(11)、二氯雙(三苯基膦) II)、二氯雙[參(2 -甲基苯基)膦]、肆(甲 苯基膦)鈀(〇)、肆(三環己基膦)細(〇)、二氯 1,1’-二苯基膦醯基二茂鐵)鈀(π)。該等有市售品 亦可直接使用市售品。 至於鎳化合物列舉爲例如二氯雙(1,I ’ -二苯基膦 二茂鐵)鎳(II)、二氯雙(二苯基膦醯基)鎳(11 喃基 膦醯 —rs —壞 膦醯 ;-25- 丙基 雙( ,亦 於鈀 好爲 三苯 )^ 二苯 鈀( 甲基 )、 鈀( 基二 雙( ,且 醯基 -49- 201245216 二氯鎳(II)、二碘化鎳(II)、二氯(1,5-環辛二烯)鎳 (II)、二氯[1,2·雙(二苯基膦醯基)乙烷]鎳(H)。 鎳化合物之使用量相對於化合物(1 a ) 1莫耳,以鎳 金屬原子計通常爲0.001~1莫耳之比例》 本反應中使用化合物(9)時通常係在鹼存在下進行 。至於該鹼列舉爲例如氫氧化鋰、氫氧化鈉、氫氧化鉀、 氫氧化鉈、氫氧化鋇、甲氧化鋰、甲氧化鈉、甲氧化鉀、 乙氧化鋰、乙氧化鈉、乙氧化鉀、第三丁氧化鈉、第三丁 氧化鉀、碳酸鋰、碳酸鈉、碳酸鉀、碳酸鉈、碳酸鉋、碳 酸氫鈉、碳酸氫鉀、磷酸鈉、磷酸鉀、二乙胺、三乙胺、 二異丙胺、哌啶。鹼之使用量相對於化合物(9) 1莫耳爲 1〜50莫耳之比例,較好爲2〜20莫耳之比例。 本步驟較好在溶劑存在下進行。至於溶劑列舉爲例如 苯、甲苯、二甲苯等之芳香族烴溶劑;二乙醚、四氫呋喃 、1,4-二噁烷 '第三丁基甲基醚、環戊基甲基醚、乙二醇 二甲基醚等醚溶劑:N,N-二甲基甲醯胺、N,N-二甲基乙醯 胺、N_甲基吡咯烷酮、1,3-二甲基-2-咪唑啶酮等醯胺溶劑 ;二甲基亞颯、水。溶劑可單獨使用亦可混合兩種以上使 用。溶劑較好經脫氣使用。又,亦可將化合物(lb)之製 造方法中使用之化合物(la)或化合物(4)之一部份或 全部溶解或懸浮於溶劑之後,通入氮氣或減壓等進行脫氣 。溶劑之使用量相對於化合物(1 a ) 1重量份,通常爲 0.5〜200重量份之比例,較好爲〇〇重量份之比例。 本步驟可進而在相間轉移觸媒之存在下進行。相間轉 -50- 201245216 移觸媒可列舉爲例如四烷基鹵化銨、四烷基硫酸氫銨或四 烷基氫氧化銨等四級銨鹽等,較好爲四正丁基鹵化銨、苄 基三乙基鹵化銨等。 相間轉移觸媒之使用量相對於化合物(1 a ) 1莫耳爲 0.0001〜1莫耳之比例,較好爲0.01〜0.2莫耳之比例。 本步驟可在大氣下進行,但較好在氮或氬氣等惰性氣 體下進行。 本步驟之反應溫度通常爲0〜200 °c之範圍內。 反應時間通常爲1分鐘〜96小時之範圍內。 本步驟結束後’可藉由例如混合所得之反應混合物與 氯化鈉水溶液’且視需要添加不溶於水之有機溶劑進行萃 取處理,濃縮所得有機層,視需要使用管柱層析、蒸飽、 再結晶、循環凝膠滲透層析、昇華純化等純化手段,獲得 化合物(1 b )。 本步驟中使用之化合物(la)之X、Y、w、Ζ、η及 R表示與前述相同之意義。 化合物(1 a )之X、Υ、Ζ及W較好爲相同,且較好 均爲硫原子。 化合物(U)中之χΐ分別獨立爲氟原子、氯原子、 溴原子及碘原子等鹵素原子,較好均相同爲溴原子或碘原 子,更好爲碘原子。 化合物(la)可例示爲表23〜25所記載之化合物。 -51 - 201245216 [表 2 3] R (1a, R m n X Y w z xl- R- 1 0 s s - - I- H- 2 0 s s - - I- ch3- 3 0 s s - - I- C2Hs- 4 0 s s - - I- n~C^H7- 5 0 s s - - I- I-C3H7- 6 0 s s - - I- n-C4H9- 7 0 s s -· 一 卜 n-C5H„- 8 0 s s - - I- c-CsHn- 9 0 s s - - I- η~〇6Η13- 10 0 s s - - 卜 c-C6H13- 11 0 s s - - I- n-C12H25- 12 0 s s - - I- c6h5- 13 0 s s - - I- (ch3)3s 卜 14 0 s s - - I- (C2H5)3Si- 15 0 s s - - I- (i-C3H7)3Si- 16 0 s s - - I- (CH3)2(t-C4H9)Si- 17 0 s s - - I- (C6Hs)3Si- 18 0 0 .0 - - I- (CH3)3Si- 19 0 0 0 - 一 I- (C2H5)3Si- 20 0 0 0 - - I- (卜 C3H7)3S 卜 21 0 Se Se - - 1- (CH3)3Si- 22 0 Se Se - - I- (C2H5)3Si- 23 0 Se Se - - I- (卜 C3H7)3S 卜 24 1 s s s s I- (CH3)3Si- 25 1 s s s s I- (C2H5)3Si- 26 1 s s s s I- (i-C3H7)3Si- 27 1 0 0 0 0 I- (CH3)3Si- 28 1 0 0 0 0 I- (C2Hs)3S 卜 29 1 0 0 0 0 I- (i-C3H7)3Si- 30 1 Se Se Se Se I- (CH3)3Si- 31 1 Se Se Se Se I- (C2H5)3Sh 32 1 Se Se Se Se I- (i-C3H7)3Si- -52- 201245216 [表 2 4] R (1㈣ r/ m η X Y W z x1- R- 33 0 s S —- - Br- H- 34 0 s s - - Br- ch3- 35 0 s s — - Br- 36 0. s s — - Br- n_C3H7_ 37 0 s s - - Br- i-C3H7- 38 0 s s - - Br- 39 0 s s - - Br- n-C5H„- 40 0 s s - - Br- c-C5Hn- 41 0 s s - - Br- n-C6H13- 42 0 s s - - Br- c-C6H13- 43 0 s s - - Br- n-C12H25_ 44 0 s s - - Br- c6h5- 45 0 s s - - Br- (CH3)3Si- 46 0 s s - - Br- (C2H5)3Sh 47 0 s s - - Br- (卜 C3H7)3S 卜 48 0 s s - - Br- (CH3)2(t-C4H9)Si- 49 0 s s - - Br- (C6H5)3Si- 50 0 0 0 - - Br- (CH3)3Si- 51 0 0 0 - - Br- (C2H5)3Sh 52 0 0 0 -. - Br~ (i-C3H7)3Si- 53 0 Se Se - - Br- (CH3)3S 卜 54 0 Se Se - - Br- (C2Hs)3Sh 55 0 Se Se - - Br- (i-C3H7)3Si- 56 1 s s s s Br- (CH3)3Si-. 57 1 s s s s Br- (C2Hs)3Si- 58 1 s s s s Br- (i-C3H7)3Si- 59 1 0 0 0 0 Br- (CH3)3Si- 60 1 0 0 0 0 Br- (C2H5)3S 卜 61 1 0 0 0 0 Br- (卜 C3H7)3S 卜 62 1 Se Se Se Se Br- (CH3)3S 卜 63 1 Se Se Se Se Br- (。2^5)33 卜 64 1 Se Se Se Se Br- (i-C3H7)3Si- -53- 201245216 [表 2 5] R R m n X Y w z x1- R- 65 0 s s — - ci- H- 66 0 s s - 琴 ci- ch3- 67 0 s s - - ci- Cans- 68 0 s s - - ci- ηΌβΗ/- 69 0 s s - - ci- 卜 c3h7- 70 0 s s - - ci- n - 71 0 s s 一 - ci- n-C5Hn- 72 0 s s - - ci- c-C5H„- 73 0 s s - - ci- n-C6H13- 74 0 s s - - ci- c-C6H13- 75 0 s s - - ci- n-C12H25- 76 0 s s - - ci- 77 0 s s - - ci- (ch3)3s 卜 78 0 s s - - ci- (C2H5)3Si- 79 0 s s - - ci- (i-C3H7)3Si- 80 0 s s - ci- (CH3)2(t-C4H9)Si- 81 0 s s 一 - ci- (C6Hs)3S 卜 82 0 0 0 - - ci- (CH3)3Si- 83 0 0 0 - - ci- (C2Hs)3Si- 84 0 0 0 一 - ci- (卜 C3H7)3S 卜 85 0 Se Se 一 - ci- (CH3)3Si- 86 0 Se Se 一 - ci- (C2Hs)3Si- 87 0 Se Se - - ci- (卜 C3H7)3S 卜 88 1 s s S s ci- (CH3)3Si- 89 1 s s S s ci- (C2H5)3S 卜 90 1 s s S s ci- (i-C3H7)3Sr 91 1 0 0 0 0 ci- (CH3)3S 卜 92 1 0 0 0 0 ci- (C2H5)3Si- 93 1 0 0 0 0 ci- (卜 C3H7)3S 卜 94 1 Se Se Se Se ci- (CH3)3Si- 95 1 Se Se Se Se ci- (C2H5)3Sh 96 1 Se Se Se Se ci- (卜 C3H7)3S 卜 -54- 201245216 化合物(1 a )之製造方法可列舉爲包含例如使以下式 (lc)表示之化合物(化合物(lc))與烷基鋰反應,(9-1) (wherein R7 and R8 have the same meanings as defined above except for the hydroxyl group, and X7 represents the alkoxy group or aryloxy group described above). The metal compound (Q (4)) containing Q in this step is used in an amount of 1 to 10 moles, preferably 2 to 4, based on 1 mol of the compound (la). The proportion of Moer. The transition metal compound used in this step is exemplified by, for example, a palladium compound or a nickel compound. The palladium compound can also be a reaction with a phosphine compound. Commercially available products can also be used as the transition metal compound. Here, the palladium compound is exemplified by, for example, stilbene (dibenzylideneacetone) dipalladium-47-201245216 (〇) 'paran (dibenzylideneacetone) dipalladium (ruthenium) • chloroform adduct, palladium acetate (Π) , palladium chloride (ruthenium), (bicyclo [2.2.1] hept-2,5-diene) dichloropalladium (II), (2,2'-bipyridyl) dichloropalladium (II), bis (acetonitrile) Chloronitropalladium (ruthenium), bis(benzonitrile)dichloropalladium(II), bis(acetonitrile)dichloropalladium(II), dichloro(1,5-cyclooctadiene)palladium(II), Dichloro(ethylenediamine)palladium(Π), dichloro(Ν,Ν,Ν',Ν'-tetramethylenediamine) palladium(II), dichloro(1,1〇-phenanthroline)palladium (II), palladium ruthenium pyruvate (ruthenium), palladium (II) bromide, palladium (II) hexafluoroacetate, palladium iodide (Π), palladium (II) nitrate, palladium sulfate (II) ), palladium trifluoroacetate (Π). As the palladium compound, a commercially available product is usually used as it is. The palladium compound is used in an amount of usually 0.001 to 1 mol per mol of the palladium metal atom based on 1 mol of the compound (la). The phosphine compound is exemplified by, for example, triphenylphosphine, ginseng (2-methylphenyl)phosphine, ginseng (3-methylphenyl)phosphine, ginseng (4-methylphenyl)phosphine, and hexafluorophenyl. Phosphine, ginseng (4-fluorophenyl)phosphine, ginseng (2-methoxyphenyl)phosphine, ginseng (3-methoxyphenyl)phosphine, ginseng (4-methoxyphenyl)phosphine, ginseng (2,4,6-trimethylphenyl)phosphine, tris(3-chlorophenyl)phosphine, tris(4-chlorophenyl)phosphine, tri-n-butylphosphine, tri-tert-butylphosphine, tricyclic Hexylphosphine, 1,2-diphenylphosphinodecylethane, 1,3,2-diphenylphosphinium propane, 1,4-diphenylphosphinodecyl, 1,2-dicyclohexylphosphine Ethylethane, 1,3-dicyclohexylphosphinodecylpropane, 1,4-dicyclohexylphosphinodecylbutane, 1,2-dimethylphosphonium ethane, 1,3-dimethylphosphine Mercaptopropane, 1,4-dimethylphosphonium butane, 1,2-diethylphosphonium ethane, 1,3, diethylphosphonium propane, 1,4-diethylphosphine Mercaptan, 1,2-diisopropylphosphonium decylethane, 1,3-diisopropyl-48-201245216-based phosphinium propane, hydrazine, 4-diisopropylphosphonium decyl, Tris(2-furan), 2-(dicyclohexyl) Indenyl)biphenyl, 2-(di-t-butyl)biphenyl, 2-di-t-butylphosphonium-2'-methylbiphenyl, 2-(hexylphosphino-yl-2',6 '-Dimethoxy 1,1'-biphenyl, 2-(dicyclohexyl)-2'-(N,N-dimethylamino)biphenyl, 2-dicyclohexylphosphine 醯-methyl -biphenyl, 2-(dicyclohexylphosphino)-2',4',6'-triiso 1,1'-biphenyl, 1,1'-bis(diphenylphosphonium) ferrocene Iron, 1,1 '-diisopropylphosphonium) ferrocene. The phosphine compound can be used by a commercially available person in accordance with a conventional method. The phosphine compound is used in an amount of from 1 to 5 moles per atom, usually from 0.5 to 10 moles, more preferably from 1 to 5 moles. Further, the palladium compound which is reacted with the phosphine compound is exemplified by, for example, ruthenium (phosphine) palladium (ruthenium), bis(acetate) bis(triphenylphosphine)palladium bis[1,2-bis(diphenylphosphinofluorenyl). Ethane] palladium (ruthenium), ruthenium, 2, bis(phosphinyl) ethane]dichloropalladium (11), dibromobis(triphenylphosphine) II), dichlorobis(dimethylbenzene) Palladium (II), dichlorobis(diphenylphosphine)palladium(Π) 'dichlorobis(tricyclohexylphosphine) (11 dichlorobis(triethylphosphine)palladium(11), dichloro Bis(triphenylphosphine) II), dichlorobis[ cis (2-methylphenyl)phosphine], fluorene (tolylphosphine) palladium (ruthenium), ruthenium (tricyclohexylphosphine) fine (〇), two Chloro 1,1'-diphenylphosphinodecylferrocene) palladium (π). Commercial products can also be used as they are commercially available. As for the nickel compound, for example, dichlorobis(1,I'-diphenylphosphinoferrocene)nickel(II), dichlorobis(diphenylphosphinofluorenyl)nickel (11-morfylphosphonium-rs-sl. Phosphonium bismuth; -25-propyl bis (also known as palladium as triphenyl) ^ diphenyl palladium (methyl), palladium (based bis(, and fluorenyl-49-201245216 dichloronickel (II), two Nickel (II) iodide, dichloro(1,5-cyclooctadiene)nickel(II), dichloro[1,2·bis(diphenylphosphonium)ethane]nickel (H). Nickel compound The amount used is usually 0.001 to 1 mol per mol of the compound (1 a ), and the compound (9) is usually used in the presence of a base in the reaction. For example, lithium hydroxide, sodium hydroxide, potassium hydroxide, barium hydroxide, barium hydroxide, lithium pentoxide, sodium methoxide, potassium methoxide, lithium ethoxide, sodium ethoxide, potassium ethoxide, third butyl oxidation Sodium, potassium butoxide, lithium carbonate, sodium carbonate, potassium carbonate, cesium carbonate, carbonic acid planing, sodium hydrogencarbonate, potassium hydrogencarbonate, sodium phosphate, potassium phosphate, diethylamine, triethylamine Diisopropylamine, piperidine, and the amount of the base to be used is a ratio of 1 to 50 moles per mole of the compound (9), preferably from 2 to 20 moles. This step is preferably carried out in the presence of a solvent. The solvent is exemplified by an aromatic hydrocarbon solvent such as benzene, toluene or xylene; diethyl ether, tetrahydrofuran, 1,4-dioxane 't-butyl methyl ether, cyclopentyl methyl ether, ethylene glycol dimethyl Ether solvent such as ether: decylamine solvent such as N,N-dimethylformamide, N,N-dimethylacetamide, N-methylpyrrolidone or 1,3-dimethyl-2-imidazolidinone Dimethyl hydrazine, water. The solvent may be used singly or in combination of two or more. The solvent is preferably used for degassing. Further, the compound (la) or compound used in the production method of the compound (lb) may be used. (4) After partially or completely dissolving or suspending in a solvent, deaeration is carried out by introducing nitrogen gas or a reduced pressure, etc. The solvent is used in an amount of usually 0.5 to 200 parts by weight based on 1 part by weight of the compound (1 a ). The ratio is preferably a ratio of 〇〇 by weight. This step can be carried out in the presence of a phase transfer catalyst. The conversion catalyst can be exemplified by a quaternary ammonium salt such as a tetraalkylammonium halide, a tetraalkylammonium hydrogen sulfate or a tetraalkylammonium hydroxide, etc., preferably a tetra-n-butylammonium halide or a benzyl group. Triethylammonium halide, etc. The amount of the interphase transfer catalyst used is a ratio of 0.0001 to 1 mol per mol of the compound (1 a ), preferably 0.01 to 0.2 mol. This step can be carried out under the atmosphere. It is preferably carried out under an inert gas such as nitrogen or argon. The reaction temperature in this step is usually in the range of 0 to 200 ° C. The reaction time is usually in the range of 1 minute to 96 hours. The obtained organic layer may be concentrated by, for example, mixing the obtained reaction mixture with an aqueous sodium chloride solution and optionally adding an organic solvent insoluble in water, and if necessary, column chromatography, steaming, recrystallization, and cyclic condensation may be used. The compound (1 b ) is obtained by a purification means such as gel permeation chromatography or sublimation purification. X, Y, w, Ζ, η and R of the compound (la) used in this step have the same meanings as described above. The X, hydrazine, hydrazine and W of the compound (1 a ) are preferably the same, and are preferably all sulfur atoms. The oxime in the compound (U) is independently a halogen atom such as a fluorine atom, a chlorine atom, a bromine atom or an iodine atom, and is preferably a bromine atom or an iodine atom, more preferably an iodine atom. The compound (la) can be exemplified as the compounds described in Tables 23 to 25. -51 - 201245216 [Table 2 3] R (1a, R mn XY wz xl- R- 1 0 ss - - I- H- 2 0 ss - - I- ch3- 3 0 ss - - I- C2Hs- 4 0 Ss - - I- n~C^H7- 5 0 ss - - I- I-C3H7- 6 0 ss - - I- n-C4H9- 7 0 ss -· 一卜 n-C5H„- 8 0 ss - - I- c-CsHn- 9 0 ss - - I- η~〇6Η13- 10 0 ss - - b c-C6H13- 11 0 ss - - I- n-C12H25- 12 0 ss - - I- c6h5- 13 0 Ss - - I- (ch3)3s 卜 14 0 ss - - I- (C2H5)3Si- 15 0 ss - - I- (i-C3H7)3Si- 16 0 ss - - I- (CH3)2(t- C4H9)Si- 17 0 ss - - I- (C6Hs)3Si- 18 0 0 .0 - - I- (CH3)3Si- 19 0 0 0 - I-(C2H5)3Si- 20 0 0 0 - - I - (Bu C3H7)3S Bu 21 0 Se Se - - 1- (CH3)3Si- 22 0 Se Se - - I- (C2H5)3Si- 23 0 Se Se - - I- (Bu C3H7) 3S Bu 24 1 ssss I-(CH3)3Si- 25 1 ssss I- (C2H5)3Si- 26 1 ssss I- (i-C3H7)3Si- 27 1 0 0 0 0 I- (CH3)3Si- 28 1 0 0 0 0 I- (C2Hs)3S 卜29 1 0 0 0 0 I-(i-C3H7)3Si- 30 1 Se Se Se Se I- (CH3)3Si- 31 1 Se Se Se Se I- (C2H5)3Sh 32 1 Se Se Se Se I- (i-C3H7)3Si- -52- 201245216 [Table 2 4] R (1(four) r/ m η XYW z x1- R- 33 0 s S ——— — Br- H- 34 0 ss - - Br- ch3- 35 0 ss — — Br- 36 0. ss — — Br- n_C3H7_ 37 0 ss - - Br- i-C3H7- 38 0 ss - - Br- 39 0 ss - - Br- n-C5H„- 40 0 ss - - Br- c-C5Hn- 41 0 ss - - Br- n-C6H13- 42 0 ss - - Br- c-C6H13- 43 0 ss - - Br- n-C12H25_ 44 0 ss - - Br- c6h5- 45 0 ss - - Br- (CH3)3Si- 46 0 ss - - Br- (C2H5)3Sh 47 0 Ss - - Br- (Bu C3H7) 3S Bu 48 0 ss - - Br- (CH3) 2(t-C4H9)Si- 49 0 ss - - Br- (C6H5)3Si- 50 0 0 0 - - Br- ( CH3)3Si- 51 0 0 0 - - Br- (C2H5)3Sh 52 0 0 0 -. - Br~ (i-C3H7)3Si- 53 0 Se Se - - Br- (CH3)3S Bu 54 0 Se Se - - Br- (C2Hs)3Sh 55 0 Se Se - - Br- (i-C3H7)3Si- 56 1 ssss Br- (CH3)3Si-. 57 1 ssss Br- (C2Hs)3Si- 58 1 ssss Br- (i -C3H7)3Si- 59 1 0 0 0 0 Br- (CH3)3Si- 60 1 0 0 0 0 Br- (C2H5)3S Bu 61 1 0 0 0 0 Br- (Bu C3H7)3S Bu 62 1 Se Se Se Se Br- (CH3) 3S BU 63 1 Se Se Se Se Br- (. 2^5)33 Bu 64 1 Se Se Se Se Br- (i-C3H7)3Si- -53- 201245216 [Table 2 5] RR mn XY wz x1- R- 65 0 ss — - ci- H- 66 0 ss - 琴 ci- ch3- 67 0 ss - - ci- Cans- 68 0 ss - - ci- ηΌβΗ/- 69 0 ss - - ci- 卜 c3h7- 70 0 ss - - ci- n - 71 0 ss one - ci - n-C5Hn- 72 0 ss - - ci- c-C5H„- 73 0 ss - - ci- n-C6H13- 74 0 ss - - ci- c-C6H13- 75 0 ss - - ci- n-C12H25- 760 ss - - ci - (CH3)2(t-C4H9)Si- 81 0 ss mono- ci- (C6Hs)3S 卜 82 0 0 0 - - ci- (CH3)3Si- 83 0 0 0 - - ci- (C2Hs)3Si- 84 0 0 0一- ci- (Bu C3H7)3S 卜 85 0 Se Se a- ci- (CH3)3Si- 86 0 Se Se a- ci- (C2Hs)3Si- 87 0 Se Se - - ci- C3H7)3S 卜88 1 ss S s ci- (CH3)3Si- 89 1 ss S s ci- (C2H5)3S 卜 90 1 ss S s ci- (i-C3H7)3Sr 91 1 0 0 0 0 ci- ( CH3)3S 卜92 1 0 0 0 0 ci- (C2H5)3Si- 93 1 0 0 0 0 ci- (Bu C3H7)3S Bu 94 1 Se Se Se Se ci- (CH3)3Si- 95 1 Se Se Se Se Ci- (C2H 5) 3Sh 96 1 Se Se Se Se ci- (Bu C3H7) 3S - 54 - 201245216 The production method of the compound (1 a ) is, for example, a compound (compound (lc)) represented by the following formula (lc) Alkyl lithium reaction,

(式中,n、R、W、X、Y及Z表示與前述相同之意 義),使含有X1作爲鹵素原子之鹵化劑與所得反應產物 反應之步驟之方法。 至於烷基鋰可列舉爲例如甲基鋰、正丁基鋰、第二丁 基鋰、第三丁基鋰及苯基鋰等,較好爲正丁基鋰、第二丁 基鋰、第三丁基鋰。 烷基鋰之使用量相對於化合物(lc) 1莫耳,通常爲 1〜10莫耳,較好爲1·5〜3莫耳之比例。 至於鹵化劑例舉爲例如Cl2、Br2、12、一氯化碘等鹵 素分子、N-氯琥珀醯亞胺、N-溴琥珀醯亞胺、N-碘琥珀醯 亞胺等琥珀醯亞胺類等。 鹵化劑之使用量相對於化合物(lc) 1莫耳,通常爲 1〜10莫耳’較好爲1.5〜3莫耳之比例。鹵化劑可直接添加 於化合物(lc)與烷基鋰之反應產物中,亦可使鹵化劑溶 解或懸浮於溶劑中而添加於該反應產物中。 化合物(1 a )之製造時使用之溶劑列舉爲例如戊烷、己 烷及庚烷等脂肪族烴溶劑,甲苯、二甲苯等芳香族烴溶劑, 二乙醚、四氫呋喃、I,4-二噁烷、環戊基甲基醚、乙二醇二 -55- 201245216 甲基醚及丙二醇二甲基醚等醚溶劑,或該等之混合溶劑。較 佳者列舉爲苯、己烷、甲苯、二乙醚、四氫呋喃及環戊基甲 基醚。溶劑之使用量相對於化合物(lc) 1重量份,通常爲 0.5~200重量分之比例,較好爲2〜100重量分之比例^ 化合物(la)之具體製造方法可列舉以下之方法。 首先,將含化合物(lc)及溶劑之溶液冷卻至-40 °C以 下’較好-5 5°(:~-110°〇,更好-65°(:〜-100°(:之溫度範圍後, 添加烷基鋰,接著在上述溫度範圍攪拌10分鐘〜5小時, 獲得含有化合物(lc)之鋰化物之反應溶液。又,爲確實 結束反應亦可升溫至-40°C〜30°C,攪拌1 0分鐘〜5小時。 接著,將該反應溶液調整至室溫(約25°C ) ~-10(TC 之溫度範圍後,添加鹵化劑,在上述溫度範圍攪拌0分鐘 〜5小時。隨後,爲確實結束反應亦可升溫至-4(TC〜室溫, 搅拌10分鐘〜5小時。 於含如此所得化合物(1 a )之粗製產物中混合例如亞 硫酸鈉等還原劑之水溶液後,經分液獲得有機層。經分液 之水層可視需要與添加不溶於水之有機溶劑進行萃取處理 之該有機層混合。所得之有機層再以氯化鈉水溶液或水等 洗淨後,經濃縮,視需要進行管柱層析、蒸餾、再結晶、 循環凝膠滲透層析等純化手段,獲得化合物(1 a )。 至於化合物(la)之製造方法中使用之化合物(U) 之例示可列舉表2 6所記載之化合物。表26所記載之化合 物中,較好爲含有矽原子之化合物,亦即以(lc-13)〜( lc-32)表示之化合物。 -56- 201245216 [表 2 6] R (1c-m) R m n X Y W z R- 1 0 s S - - H- 2 0 s s - - ch3- 3 0 s s - - C2H5- 4 0 s s - — n_C3H7_ 5 0 s s - — 卜 c3h7- 6 0 s s - - n_C4H9- 7 0 s s - - n-QHn- 8 0 s s - - c-C5Hn- 9 0 s s 一 - n-C6H13- 10 0 s s - - c-C6H13- 11 0 s s - - n-C12H25- 12. 0 s s - - 。6只5- 13 0 s s - - (CH3)3Si- 14 0 s s - - (G2H5)3Si- 15 0 .s. s - - (i-C3H7)3Si- 16 ό s s - - (CH3)2(t-C4H9)Si- 17 0 s s - - (C6H5)3Si- 18 0 0 0 - — (CH3)3Sh 19 0 0 0 - - (C2H 5)381- 20 0 0 0 - - (i-C3H7)3S 卜 21 0 Se Se -. - (CH3)3Si- 22 0 Se Se - - (C2H5)3Si~ 23 0 Se Se - — (卜 C3H7)3S 卜 24 1 s s S s (CH3)3S 卜 25 1 s s s s (C2Hs)3S 卜 26 1 s s s s (i-C3H7)3Si- 27 1 0 0 0 0 (CH3)3S 卜 28 1 0 0 0 0 (C2H5)3Si- 29 1 0 0 0 0 (i-C3H7)3Si- 30 1 Se Se Se Se (CH3)3Si- 31 1 Se Se Se Se (CsHASi - 32 1 Se Se Se Se .(i-C3H7)3S 卜 -57- 201245216 化合物(1 c )之製造方法可列舉爲例如在過渡金屬化 合物、鹵化銅及有機鹼存在下,使以下式(Id)表示之化 合物(化合物(Id)), dd) (式中,n、W、X、Y及Ζ表示與前述相同之意義, X2各獨立表示鹵素原子,較好爲碘原子,X2較好爲相同 )’與以下式(5)表示之化合物(化合物(5))反應之 方法, Η—ΞΖΙ -R (5) (式中’ R表示可經取代之烷基、可經取代之芳基或 可經取代之矽烷基,較好爲下式 R1 一4〜R2(wherein, n, R, W, X, Y and Z represent the same meaning as defined above), a method of reacting a halogenating agent containing X1 as a halogen atom with a reaction product obtained. Examples of the alkyllithium include, for example, methyllithium, n-butyllithium, t-butyllithium, t-butyllithium, and phenyllithium, and preferably n-butyllithium, second butyllithium, and third. Butyl lithium. The alkyllithium is used in an amount of usually 1 to 10 moles, preferably 1 to 5 to 3 moles, per mole of the compound (lc). The halogenating agent is exemplified by halogen molecules such as Cl2, Br2, 12, iodine monochloride, N-chlorosuccinimide, N-bromosinium imine, N-iodosuccinimide, and the like. Wait. The halogenating agent is used in an amount of usually 1 to 10 moles, preferably 1.5 to 3 moles, per mole of the compound (lc). The halogenating agent may be directly added to the reaction product of the compound (lc) and the alkyllithium, or the halogenating agent may be dissolved or suspended in a solvent to be added to the reaction product. The solvent used in the production of the compound (1 a ) is exemplified by an aliphatic hydrocarbon solvent such as pentane, hexane or heptane, an aromatic hydrocarbon solvent such as toluene or xylene, diethyl ether, tetrahydrofuran or 1,4-dioxane. An ether solvent such as cyclopentyl methyl ether, ethylene glycol di-55-201245216 methyl ether or propylene glycol dimethyl ether, or a mixed solvent thereof. Preferred are benzene, hexane, toluene, diethyl ether, tetrahydrofuran and cyclopentyl methyl ether. The amount of the solvent to be used is usually 0.5 to 200 parts by weight, preferably 2 to 100 parts by weight, based on 1 part by weight of the compound (lc). The specific method for producing the compound (la) is as follows. First, the solution containing the compound (lc) and the solvent is cooled to -40 ° C or less 'better - 5 5 ° (: ~ -110 ° 〇, more preferably -65 ° (: ~ -100 ° (: temperature range Thereafter, an alkyl lithium is added, followed by stirring at the above temperature range for 10 minutes to 5 hours to obtain a reaction solution containing a lithium compound of the compound (lc). Further, the temperature may be raised to -40 ° C to 30 ° C in order to surely terminate the reaction. The mixture was stirred for 10 minutes to 5 hours. Next, the reaction solution was adjusted to room temperature (about 25 ° C) to -10 (temperature range of TC, and then a halogenating agent was added, and the mixture was stirred at the above temperature range for 0 minutes to 5 hours. Then, in order to surely terminate the reaction, the temperature may be raised to -4 (TC to room temperature, and stirred for 10 minutes to 5 hours. After mixing the aqueous solution containing a reducing agent such as sodium sulfite in the crude product containing the compound (1 a ) thus obtained, The liquid layer is obtained by mixing the organic layer with the organic solvent in which the water-insoluble organic solvent is added, and the obtained organic layer is washed with an aqueous solution of sodium chloride or water, and then concentrated. Column chromatography, distillation, recrystallization, circulating gel as needed The compound (1a) is obtained by a purification means such as chromatography, and the compound (U) used in the production method of the compound (la) is exemplified by the compound described in Table 26. In the compound described in Table 26, It is preferably a compound containing a halogen atom, that is, a compound represented by (lc-13) to (lc-32). -56- 201245216 [Table 2 6] R (1c-m) R mn XYW z R- 1 0 s S - - H- 2 0 ss - - ch3- 3 0 ss - - C2H5- 4 0 ss - — n_C3H7_ 5 0 ss - — b c3h7- 6 0 ss - - n_C4H9- 7 0 ss - - n-QHn- 8 0 ss - - c-C5Hn- 9 0 ss -n-C6H13- 10 0 ss - - c-C6H13- 11 0 ss - - n-C12H25- 12. 0 ss - - .6 only 5 - 13 0 ss - - (CH3)3Si- 14 0 ss - - (G2H5)3Si- 15 0 .s. s - - (i-C3H7)3Si- 16 ό ss - - (CH3)2(t-C4H9)Si- 17 0 ss - - (C6H5)3Si- 18 0 0 0 - (CH3)3Sh 19 0 0 0 - - (C2H 5)381- 20 0 0 0 - - (i-C3H7)3S Bu 21 0 Se Se -. - ( CH3)3Si- 22 0 Se Se - - (C2H5)3Si~ 23 0 Se Se - (Bu C3H7)3S Bu 24 1 ss S s (CH3)3S Bu 25 1 ssss (C2Hs)3S Bu 26 1 ssss (i -C3H7)3Si- 27 1 0 0 0 0 (CH3)3S Bu 28 1 0 0 0 0 (C2H5)3Si- 29 1 0 0 0 0 (i-C3H7)3Si- 30 1 Se Se Se Se (CH3)3Si- 31 1 Se Se Se Se (CsHASi - 32 1 Se Se Se Se . (i-C3H7) 3S 卜-57-201245216 The production method of the compound (1 c ) is, for example, in the presence of a transition metal compound, a copper halide and an organic base, and the following formula (Id) is given. (Compound (Id)), dd) (wherein, n, W, X, Y and Ζ represent the same meaning as defined above, and X2 each independently represents a halogen atom, preferably an iodine atom, and X2 is preferably the same a method of reacting with a compound represented by the following formula (5) (compound (5)), Η-ΞΖΙ-R (5) (wherein R represents a substituted alkyl group, a substituted aryl group or The substituted alkylene group is preferably the following formula R1 - 4 to R 2

I R3 (式中’ R1、R2及R3各獨立表示碳數1~16之烷基或 碳數6〜12之芳基))。 化合物(5)之使用量相對於化合物(ld) 1莫耳,通 常爲卜10莫耳,較好爲2〜4莫耳之比例。 -58- 201245216 至於化合物(lc)之製造方法中之過渡金屬化合物可 例示與化合物(lb)之製造方法中使用之過渡金屬化合物 相同者。過渡金屬化合物之使用量相對於化合物(Id) 1 莫耳’過渡金屬化合物中所含過渡金屬原子爲0.001〜1莫 耳之比例。 化合物(1 C )之製造方法中使用之鹵化銅(I )列舉 爲例如氯化銅(I )、溴化銅(I )、碘化銅(I ),較好爲 溴化銅(I )、碘化銅(I )。 鹵化銅(I )之使用量相對於化合物(1 d ) 1莫耳,通 常爲0.001~1莫耳之比例。 化合物(1C)之製造方法中使用之有機鹼可列舉爲例 如二乙胺、二異丙胺、哌啶、吡咯啶、二環己基胺等二級 胺,三乙胺、二異丙基乙胺等三級胺等之有機胺。 有機鹼之使用量相對於化合物(id) 1莫耳,爲至少 2莫耳之比例。又,有機鹼亦可作爲溶劑使用,該情況下 ,有機鹼之使用量相對於化合物(Id) 1重量份,通常爲 0.5〜200重量份’較好爲2〜100重量份之比例。 化合物(1 c )之製造較好在溶劑中進行。至於溶劑列 舉爲例如苯、甲苯、二甲苯等之芳香族烴溶劑;二乙醚、 四氫呋喃、1,4 -二噁烷、第三丁基甲基醚、環戊基甲基醚 、乙二醇二甲基醚等醚溶劑;N,N-二甲基甲醯胺、Ν,Ν·二 甲基乙酿胺、Ν -甲基D比略院酮、1,3_二甲基-2-咪Π坐d定酮等 醯胺溶劑;二甲基亞颯。溶劑可單獨使用亦可混合兩種以 上使用。溶劑較好經脫氣使用。又,亦可將化合物(1 c )I R3 (wherein R 1 , R 2 and R 3 each independently represent an alkyl group having 1 to 16 carbon atoms or an aryl group having 6 to 12 carbon atoms)). The compound (5) is used in an amount of usually 1 mole to 10 moles per mole of the compound (ld), preferably 2 to 4 moles. The transition metal compound in the production method of the compound (lc) can be exemplified as the transition metal compound used in the production method of the compound (lb). The amount of the transition metal compound used is 0.001 to 1 mol per mole of the transition metal atom contained in the compound (Id) 1 molar 'transition metal compound. The copper (I) halide used in the method for producing the compound (1 C ) is, for example, copper (I) chloride, copper (I) bromide or copper (I) iodide, preferably copper (I) bromide. Copper iodide (I). The amount of copper (I) halide used is usually from 0.001 to 1 mol per mol of the compound (1 d ). The organic base used in the production method of the compound (1C) may, for example, be a secondary amine such as diethylamine, diisopropylamine, piperidine, pyrrolidine or dicyclohexylamine, triethylamine or diisopropylethylamine. An organic amine such as a tertiary amine. The amount of the organic base used is at least 2 moles relative to the compound (id) 1 mole. Further, the organic base may be used as a solvent. In this case, the amount of the organic base to be used is usually 0.5 to 200 parts by weight, preferably 2 to 100 parts by weight, based on 1 part by weight of the compound (Id). The production of the compound (1 c ) is preferably carried out in a solvent. The solvent is exemplified by an aromatic hydrocarbon solvent such as benzene, toluene or xylene; diethyl ether, tetrahydrofuran, 1,4-dioxane, tert-butyl methyl ether, cyclopentyl methyl ether, ethylene glycol dimethyl Ether solvent such as ether; N,N-dimethylformamide, hydrazine, hydrazine dimethyl ethanoamine, hydrazine-methyl D ratio ketone, 1,3 dimethyl-2-imidine D- ketone and other guanamine solvent; dimethyl hydrazine. The solvent may be used singly or in combination of two or more. The solvent is preferably used for degassing. Also, the compound (1 c ) can also be used.

C -59- 201245216 之製造中使用之化合物(Id)或化合物(5)之 全部溶解或懸浮於溶劑後,通入氮氣或減壓等進 溶劑之使用a相對於化合物(id) 1重量份 0.5~200重量份,較好爲2〜100重量份之比例。 化合物(1 c )之製造亦可在大氣中進行,但 或氬等惰性氣體中進行。 化合物(lc)之製造可進而在相間轉移觸媒 行。相間轉移觸媒可列舉爲例如四烷基鹵化銨、 酸氫銨、或四烷基氫氧化銨等四級銨化合物,較 丁基鹵化銨、苄基三乙基鹵化銨等。 相間轉移觸媒之使用量相對於化合物(1 d ) 0.0001〜1莫耳,較好爲〇.〇1~〇·2莫耳之比例。 化合物(Id)與化合物(5)之反應中之反 常爲0~ 200°C之範圍內。 化合物(lc)之製造之反應時間通常爲1分 時之範圍內。 化合物(1 d )與化合物(5 )之反應結束後 例如混合所得反應混合物與水後分液,獲得有機 液之水層可與視需要添加不溶於水之有機溶劑進 理之該有機層混合。所得有機層再以氯化鈉水溶 洗淨後,經濃縮,視需要進行管柱層析、蒸餾、 循環凝膠滲透層析等純化手段,獲得化合物(1 c : 化合物(lc)之製造中使用之化合物(ld) 舉爲後述表27中所記載之化合物。 —部份或 行脫氣。 ,通常爲 較好在氮 存在下進 四烷基硫 好爲四正 1莫耳爲 應溫度通 鐘~96小 ,可藉由 層。經分 行萃取處 液或水等 再結晶、 )0 之例可列 -60- 201245216 表27中,較好爲以式(ld-3 )表示之化合物、以式 (ld-6 )表示之化合物、以式(ld-9 )表示之化合物、以 式(ld-12 )表示之化合物、以式(ld-15 )表示之化合物 及以式(1 d-1 8 )表示之化合物,亦即X2爲碘原子之化合 物(Id)。 [表 2 7]The compound (Id) or the compound (5) used in the manufacture of C-59-201245216 is dissolved or suspended in a solvent, and is introduced into a solvent such as nitrogen or reduced pressure, and a part relative to the compound (id) is 0.5 part by weight. ~200 parts by weight, preferably in a proportion of 2 to 100 parts by weight. The production of the compound (1 c ) can also be carried out in the atmosphere, or in an inert gas such as argon. The manufacture of the compound (lc) can further transfer the catalyst between the phases. The intermediate transfer catalyst may, for example, be a quaternary ammonium compound such as a tetraalkylammonium halide, an ammonium hydrogen phosphate or a tetraalkylammonium hydroxide, or a butylammonium halide or a benzyltriethylammonium halide. The amount of the phase transfer catalyst used is 0.0001 to 1 mol per mol of the compound (1 d ), preferably 〇.〇1 to 〇·2 mol. The reaction in the reaction of the compound (Id) with the compound (5) is in the range of 0 to 200 °C. The reaction time for the production of the compound (lc) is usually in the range of 1 minute. After completion of the reaction of the compound (1 d ) with the compound (5), for example, the resulting reaction mixture is mixed with water and then subjected to liquid separation to obtain an aqueous layer of an organic liquid which can be mixed with the organic layer which is optionally treated with a water-insoluble organic solvent. The obtained organic layer is further washed with sodium chloride water, and then concentrated, and subjected to purification methods such as column chromatography, distillation, and cyclic gel permeation chromatography as needed to obtain a compound (1 c : used in the production of the compound (lc). The compound (ld) is a compound described in Table 27, which will be described later. - Partial or degassing. It is usually preferred to introduce tetraalkylsulfide in the presence of nitrogen to a temperature of four plus one mole. ~96 small, can be re-crystallized by extracting liquid or water by branch, and 0 can be listed as -60-201245216, in Table 27, preferably a compound represented by formula (ld-3), a compound represented by (ld-6), a compound represented by formula (ld-9), a compound represented by formula (ld-12), a compound represented by formula (ld-15), and a formula (1d-1) The compound represented by X2, that is, the compound (Id) in which X2 is an iodine atom. [Table 2 7]

χ2 ' Ζ&quot;η、Υ’ m η X Y w Z X2 1 0 s s — - Cl 2 0 s s - -— Br 3 0 s s - - I 4 0 0 0 - - Cl 5 0 0 0 - - Br. 6 0 0 0 - - I 7 0 Se Se - - Cl 8 0 Se Se - - Br 9 0 Se Se - - I 10 1 s s s s Cl 11 1 s S s s Br 12 1 s S s s I 13 1 0 0 0 0 Cl 14 1 0 0 0 0 Br 15 1 〇 0 0 0 I 16 1 Se Se Se Se Cl 17 1 Se Se Se Se Br 18 1 Se Se Se Se· IΧ2 ' Ζ&quot;η,Υ' m η XY w Z X2 1 0 ss — - Cl 2 0 ss - -— Br 3 0 ss - - I 4 0 0 0 - - Cl 5 0 0 0 - - Br. 6 0 0 0 - - I 7 0 Se Se - - Cl 8 0 Se Se - - Br 9 0 Se Se - - I 10 1 ssss Cl 11 1 s S ss Br 12 1 s S ss I 13 1 0 0 0 0 Cl 14 1 0 0 0 0 Br 15 1 〇0 0 0 I 16 1 Se Se Se Se 17 17 Se Se Se Se Br 18 1 Se Se Se Se· I

S -61 - 201245216 至於化合物(Id)之製造方法可列舉爲使包含以下$ (le)表示之化合物(化合物(1〇 ),S-61 - 201245216 The method for producing the compound (Id) can be exemplified by including the compound represented by the following $ (le) (compound (1〇),

(式中,n、W、X、Y及Z表示與前述相同之意義) ,與含X2作爲鹵素原子之鹵化劑反應,獲得X及Υ之0 位之碳原子上鍵結X2之化合物(以下有時記爲α取代體 ),使所得α取代體與二異丙基醯胺鋰等強鹼反應,使 X2轉移成鍵結於X及Υ之β位之碳原子上之方法》 至於化合物(1)爲化合物(lb’)時之製造方法可列 舉爲包含例如在過渡金屬化合物、鹵化銅及有機鹼存在下 ,使以下式(la’)表示之化合物(化合物(la,))與化 合物(5)反應之步驟之方法:(wherein, n, W, X, Y and Z represent the same meanings as described above), and reacting with a halogenating agent containing X2 as a halogen atom to obtain a compound in which X and a carbon atom at the 0 position of the oxime are bonded to X2 (hereinafter It is sometimes referred to as an α-substituted compound, and the resulting α-substituted compound is reacted with a strong base such as lithium diisopropylguanidinium to transfer X 2 to a carbon atom bonded to the β-position of X and oxime. 1) The production method in the case of the compound (lb') is, for example, a compound (compound (la)) and a compound represented by the following formula (la') in the presence of a transition metal compound, a copper halide and an organic base. 5) The method of the reaction step:

(式中,n、W、X、Y、Z及P表示與前述相同之意 義,X2各獨立表示鹵素原子)。 具體而言,只要使用化合物(la’)代替化合物(lc )之製造中之化合物(Id)以外,餘與化合物(lc)之製 造同樣進行即可。 化合物(1 a’)之製造方法可列舉爲包含例如使以下 -62- 201245216 式(lc,)表示之化合物(化合物(lc’))與烷基鋰反應(wherein, n, W, X, Y, Z and P represent the same meaning as defined above, and X2 each independently represents a halogen atom). Specifically, the compound (1') may be used in the same manner as in the production of the compound (lc), except that the compound (la') is used instead of the compound (Id) in the production of the compound (lc). The method for producing the compound (1 a') includes, for example, reacting a compound (compound (lc')) represented by the following formula (62, 201245216) (lc.) with an alkyllithium.

PP

(1C1) (式中,n、W、X、Y、Z及R表示與前述相同之意 義),使包含X2作爲鹵素原子之鹵化劑與所得反應產物 反應之步驟之方法。 具體之化合物(la’)之製造方法只要使用化合物( lc’)代替化合物(la)之製造方法中之化合物(ic)以外 ,餘與化合物(1 a )同樣之製造方法進行即可。 至於化合物(1 c ’)之製造方法可列舉爲例如在過渡 金屬化合物存在下,使包含P之金屬化合物與化合物(Id )反應之步驟,具體爲只要使用化合物(Id)代替化合物 (lb)之製造方法中之化合物(la),作爲含p之金屬化 合物使用作爲含Q之金屬化合物所例示之化合物以外,餘 與化合物(lb)之製造方法同樣進行即可。 具體之化合物()可列舉爲表28~38所記載之化 合物。 -63- 201245216 [表 2 8] m n X Y W Z P- 1 0 s S — — 2 0 s S — ’一 同上 3 0 s S — — 同上 4 0 0 0 — — 同上 5 0 0 0 — 一 同上 6 0 0 0 — — .同上 7 0 Se Se — — 同上 8 0 Se Se — — 同上 9 0 Se Se — 一 同上 10 1 S S S s 同上 .11 1 S S s s 同上 12 1 S S s s 同上 13 1 0 0 0 0 同上 14 1 0 0 0 0 同上 15 1 0 0 0 0 同上 .16 1 Se Se Se Se 同上 17 1 Se Se Se Se 同上 18 1 Se Se Se Se 同上 -64- 201245216 [表2 9] no-) .m η X Y w Z P- 20 0 s S — — 21 0 s S — — 同上 22 0 s S 一 — 同上 23 0 0 0 — 一 同上 24 0 0 〇 — — 同上 25 0 0 0 一 一 同上 26 0 Se Se — 一 同上 27 0 Se Se — 一 同上 28 0 Se Se 一 一 同上 29 1 S S S S 同上 30 1 S S s S 同上 31 1 S S s S 同上 32 1 0 0 0 0 同上 33 1 o 0 〇 0 同上 34 1 〇 0 0 〇 同上 35 1 Se Se Se Se 同上 36 1 Se Se Se Se 同上 37 1 Se Se Se Se 同上 -65- 201245216 [表 3 Ο] π-) m n X Y W Z P- 40 0 s S — 一 XX) 41 0 s S — — 同上 42 0 .s S — — 同上 43 0 0 0 — — 同上 44 0 o 0 — — 同上 45 0 0 0 — — 同上 46 0 Se Se — — 同上 47 0 Se Se — 一 同上 48 0 Se Se — — 同上 49 1 S S S s 同上 50 1 S S s s 同上 51 1 S S s s 同上 52 .1 0 0 0 0 同上 53 1 0 0 0 0 同上 54 1 〇 0 0 0 同上 55 1 Se Se Se Se 同上 56 1 Se Se Se Se 同上 57 1 Se Se Se Se 同上 -66- 201245216 [表3 1 ] m n X Y W z P- 60 0 s S — -to 61 0 s S — — 同上 62 0 s S — — 同上 63 0 0 0 — — 同上 64 0 0 0 — 一 同上 65 0 0 0 — 一 同上 66 0 Se Se — 一 同上 67 0 Se Se — — 同上 68 0 Se Se — - 同上 69 1 S S S s 同上 70 1 s S s s 同上 71 1 s S s s 同上 72 1 0 0 0 0 同上 73 1 0 0 0 0. 同上 74 1 0 0 0 0 同上 75 1 Se Se Se Se 同上 76 1 Se Se Se Se 同上 77 1 Se Se Se Se 同上 -67- 201245216 [表 3 2] m n X Y W Z P- 80 0 s S 一 — 81 0 s S — — 同上 82 0 s S 一 — 同上 83 0 0 0 一 — 同上 84 0 0 0 — — 同上 85 0 o 0 — — 同上 86 0 Se Se — .— 同上 87 0 Se Se 一 — .同上 88 0 Se Se — — 同上 89 1 S S S s 同上 90 1 S S s s 同上 91 1 S S s s 同上 92 1 0 0 0 0 同上 93 1 0 0 0 0 同上 94 1 0 0 0 0 同上 95 1 Se Se Se Se 同上 96 1 Se Se Se Se 同上 97 1 Se Se Se Se 同上 -68- 201245216 [表 3 3] m η X Y w Z p- 100 0 s S 一 — 101 0 s s 一 — 同上 102 0 s s — — 同上 103 0 0 0 — — 同上 104 0 0 0 — — 同上 105 0 o 0 — — 同上 106 0 Se Se — — 同上 107 0 Se Se — — 同上 108 0 Se Se· — — 同上 109 1 s s S s 同上 110 1 s s s s 同上 111 1 s s s s 同上 112 1 0 0 0 0 同上 113 1 0 0 〇 0 同上 114 • 1 0 0 0 0 同上 115 1 Se Se Se Se 同上 116 1 Se Se Se Se 同上 117 1 Se Se Se Se 同上 -69- 201245216 [表 3 4] do-) m η . X Y W Z P- 120 0 s S — — XXX^ 121 0 s S 一 — 同上 122 0 s S 一 — 同上 123 0 0 0 — — 同上 124 0 o 〇 一. — 同上 125 0 0 〇 一 — 同上 126 0 Se Se — 一 同上 127 0 Se Se — — 同上 128 0 Se Se — — 同上 129 1 S S S s 同上 130 1 S S s s 同上 131 1 S S s s 同上 132 1 0 〇 0 0 同上 133 1 o 0 0 0 同上 134 1 o 0 0 o 同上 135 1 Se Se Se Se 同上 136 1 Se Se Se Se 同上 137 1 Se Se Se Se 同上 -70- 201245216 [表 3 5] 愈 m η X Y W Z P- 140 0 s s 一 一 PQ 141 0 s S 一 — 同上 142 0 s s — 一 同上 143 0 0 0 — — 同上 144 0 0 0 — — 同上 145 0 0 0 — — 同上 146 0 Se Se — — 同上 ’147 0 Se Se — — 同上 148 0 Se Se — 一 同上 149 1 S S S s 同上 150 1 S S s s 同上 151 1 s s s s 同上 152 1 0 〇 0 〇 同上 153 1 0 0 0 0 同上 154 1 0 0 0 0 同上 155 1 Se Se Se Se 同上 156 1 Se Se Se Se 同上 157 1 Se Se Se Se 同上 -71 - 201245216 [表 3 6 ] (1-) m η X Y W Z P- 160 0 s S — — po 161 0 s S 一 一 同上 162 0 s S — — 同上 163 0 0 0 一 — 同上 164 0 0 0 — — 同上 165 0 o 0 一 — 同上 166 0 Se Se 一 — 同上 167 0 Se Se 一 — 同上 168 0 Se Se 一 — 同上 169 1 S S S s 同上 170 1 S S S s 同上 171 1 S S S s 同上 172 1 0 〇 0 〇 同上 173 1 0 0 〇 o 同上 174 1 〇 ,0 〇 o 同上 175 1 Se Se Se Se 同上 176 1 Se Se Se Se 同上 177 1 Se Se Se Se 同上 -72- 201245216 [表 3 7] π-) m n X Y W Z p- ISO 0 s S — — P 181 0 s S — — 同上 182 0 s S 一 — 同上 183 0 0 0 一 - 同上 184 0 0 0 — — 同上 185 0 0 0 — — 同上 186 0 Se Se — 一 同上 187 0 Se Se — — 同上 188 0 Se Se 一 — 同上 189 1 S s S s 同上 190 1 S S s s 同上 191 1 S s s s 同上 192 1 0 0 〇 0 同上 193 1 0 0 0 o 同上 194 1 0 0 0 o 同上 195 1 Se Se Se Se 同上 196 1 Se Se Se Se 同上 197 1 Se Se Se Se 同上 -73- 201245216 [表 3 8 ] m η X Y W Z P- 200 0 s S 一 一 ..9〇 201 0 s S — 一 同上 202 0 s S — 一 同上 203 0 0 0 — — 同上 204 0 0 0 — 一 同上 205、 0 0 0 一 — 同上 206 0 Se Se — 一 同上 207 0 Se Se — 一 同上 208 0 Se Se 一 一. 同上 209 1 S S s S 同上 210 1 S S s S 同上 211 1 S S s S 同上 212 .1 0 0 0 0 同上 213 1 0 0 0 0 同上 214 1 0 0 0 〇 同上 215 1 Se Se Se Se 同上 216 1 Se Se Se Se 同上 217 1. Se Se Se Se 同上 本發明之化合物(1)可使用作爲可溶於有機溶劑中 之有機半導體材料。 至於溶解化合物(1 )之有機溶劑可列舉爲例如苯、 甲苯、二甲苯、四氫萘、均三甲苯、氯苯、鄰-二氯苯、 三氯苯、氟苯、苯甲醚等芳香族烴溶劑,例如二氯甲烷、 氯仿、1,2-二氯乙烷、1,1’,2,2’-四氯乙烷、四氯乙烯、四 氯化碳等鹵化脂肪族烴溶劑,例如,二乙醚、二噁烷、四 氫呋喃等醚溶劑,例如,戊烷、己烷、庚烷、辛烷、環己 烷等脂肪族烴溶劑,例如,丙酮、甲基乙基酮、甲基異丁 -74- 201245216 基酮、環己酮等酮溶劑,例如,乙酸乙酯、乙酸丁酯等酯 溶劑,例如乙腈、丙腈、甲氧基乙腈、戊二腈、苯甲腈等 腈溶劑,例如二甲基亞颯、環丁颯、N,N-二甲基甲醯胺、 Ν,Ν-二甲基乙醯胺、N-甲基-2-吡咯烷酮等非質子性極性 溶劑。較佳列舉爲甲苯、二甲苯、四氫萘、均三甲苯、氯 苯、鄰-二氯苯、二氯甲烷、氯仿、四氫呋喃等。有機溶 劑亦可混合兩種以上之溶劑而使用。 溶解化合物(1)之溶液中化合物(1)之濃度通常可 列舉爲0.001〜50重量%之範圍,較好爲0.01〜10重量%, 更好爲0.1〜5重量%。 該溶液中化合物(1)可單獨使用,且只要不顯著損 及後述薄膜(有機半導體活性層)之載體移動度之範圍, 則亦可與抗氧化劑、安定劑、與化合物(1 )不同之有機 半導體材料、有機絕緣性材料等混合。 至於與化合物(1)不同之有機半導體材料可爲低分 子材料,亦可爲高分子材料。高分子材料亦可爲使高分子 經交聯反應而成者。較佳列舉爲高分子材料。至於具體例 ’列舉爲聚乙炔及其衍生物、聚噻吩及其衍生物、聚伸噻 吩伸乙烯及其衍生物、聚伸苯基及其衍生物、聚伸苯基伸 乙嫌及其衍生物、聚吡咯及其衍生物、聚苯胺及其衍生物 、聚二方基胺及其衍生物、聚喹啉及其衍生物、嵌二萘及 其衍生物、并四苯及其衍生物、并五苯及其衍生物、酞菁 及其衍生物等。本發明之薄膜中,化合物(1)之含量相 對於與化合物(1)不同之有機半導體材料與化合物(η -75- 201245216 之合計1 0 0重量%較好爲1 0重量%以上 以上。 至於該有機絕緣性材料可爲低分子 子材料。高分子材料亦可爲使高分子經 較佳列舉爲局分子材料。至於具體例, 聚碳酸酯、聚二甲基矽氧烷、尼龍、聚 共聚物、環氧聚合物、纖維素、聚氧基 聚合物、聚乙烯系聚合物、聚酯系聚合 、聚釀S女系聚合物、氣系聚合物、生分 脂、胺基樹脂、不飽和聚酯樹脂、苯二 、環氧樹脂、聚醯亞胺樹脂、聚胺基甲 樹脂、及組合各種聚合物單元而成之聚 薄膜中,化合物(1)之含量相對於有;| 合物(1)之合計100重量%較好爲10 爲20重量%以上。又,該溶液組成物之 10〜200°c,較好20〜150°c左右將化合物 中而得。 接著,針對本發明之薄膜及有機半 〇 本發明之薄膜含有化合物(1)。丨 的載體移動度,故適用作爲具有該薄膜 性層之有機半導體裝置之材料。 又,本發明之有機半導體裝置爲含 。本發明之有機半導體裝置可列舉爲例 ,更好爲2 0重量% 材料,亦可爲高分 交聯反應而成者。 列舉爲聚苯乙烯、 醯亞胺、環狀烯烴 亞甲基、聚烯烴系 物、聚醚系聚合物 解性塑膠、酚系樹 甲酸二烯丙酯樹脂 酸酯樹脂、聚矽氧 合物等。本發明之 幾絕緣性材料與化 重量%以上,更好 調製可藉由在例如 (1 )溶解於溶劑 導體裝置加以說明 該薄膜由於顯示高 作爲有機半導體活 有本發明之薄膜者 如有機電晶體、電 -76- 201245216 場發光元件、太陽能電池等。又,本發明之有機電晶體可 使用於例如電子紙、可撓性顯示器、1C標籤、及感測器等 中〇 本發明薄膜之形成方法可列舉爲例如塗佈成膜加工。 此處’所謂塗佈成膜加工意指具有如前述將化合物(1 ) 溶解於溶劑中,將所得溶液塗佈於基板或絕緣體層上之步 驟之成膜加工。 塗佈方法列舉爲澆鑄塗佈法、浸漬塗佈法、模嘴塗佈 法、輥塗佈法、棒塗佈法、旋轉塗佈法、噴墨法、網版印 刷法、平版印刷法、微接觸印刷法等。該等方法可單獨使 用,亦可組合兩種以上。 將含化合物(1 )及有機溶劑之溶液塗佈於基板或絕 緣層上形成塗佈膜後,去除該塗佈膜中含有之溶劑,藉此 於基板上或絕緣層上形成薄膜。該有機溶劑之去除係採用 自然乾燥處理、加熱處理、減壓處理、通風處理或組合該 等之處理,但就操作簡便之觀點而言較好爲自然乾燥處理 或加熱處理。簡單說明該處理相關之條件時,列舉爲如放 置在大氣中或以加熱板加熱基板(例如40〜250°c,較好爲 50〜200°C )之條件。 本發明之薄膜亦可使用使化合物(1)分散於溶劑中 之分散液,藉由塗佈成膜加工形成薄膜。該情況下,上述 之塗佈成膜加工中,只要將該溶液換成該分散液亦可容易 地實施。該情況下,溶劑除前述有機溶劑外,亦可爲水。 因此,本發明之薄膜可利用上述之塗佈成膜加工等簡 -77- 201245216 便方法形成》 形成本發明薄膜之方法之不同例示可列舉爲將化合物 (η供給於真空蒸鍍法、濺鍍法、CVD法、分子束磊晶 成長法等真空製程中,形成薄膜之方法。 以真空蒸鍍法形成薄膜之方法爲使化合物(1)在坩 渦或金屬鉢中,在真空下加熱、蒸發,將有機半導體材料 蒸鍍於基板或絕緣體材料上之方法。蒸鍍時之真空度通常 爲lxiodpa以下,較好爲lxi〇-3Pa以下。蒸鍍時之基板 溫度通常爲0°C〜300°C,較好爲20。(:〜200°C。蒸鍍速度可 列舉爲例如 0.001nm/sec〜10nm/sec之範圍等,較好爲 0.01nm/sec~ lnm/sec 之範圍。 藉由上述塗佈成膜加工或上述真空製程獲得之含有化 合物(1)之薄膜的膜厚可依據例如有機電晶體之元件構 造適當調節,但較好爲1ηΓη~10μηι,更好爲5nm〜ΙμΓη。 本發明之有機電晶體列舉爲例如有機場效電晶體( OFET )。 該有機場效電晶體之構造通常若爲將源極電極及汲極 電極與由本發明之薄膜所成之有機半導體活性層鄰接設置 ,接著以隔著絕緣層(介電體層)鄰接於有機半導體活性 層而設置閘極電極即可。至於該元件構造列舉爲例如: (1)基板/閘極電極/絕緣體層/源極電極·汲極電極/ 有機半導體活性層之構造 (2 )基板/閘極電極/絕緣體層/有機半導體活性層/源 極電極·汲極電極之構造(參照圖1 ) -78- 201245216 (3 )基板/有機半導體活性層/源極電極·汲極電極/ 絕緣體層/閘極電極之構造 (4 )基板/源極電極·汲極電極/有機半導體活性層/ 絕緣體層/閘極電極(參照圖2)之構造等。此時,源極電 極、汲極電極、閘極電極可分別設置複數個。又,可將複 數個有機半導體活性層設置在同一平面內,亦可層合設置 〇 接著,列舉具體例說明本發明之有機電晶體之其他構 成成分。 本發明中,有機電晶體之製作中,形成源極電極、汲 極電極及閘極電極之材料只要是導電性材料即無特別限制 ,可使用鉑、金、銀、鎳、鉻、銅、鐵 '錫、銻、鉛、钽 、銦、鈀、碲、銶、釔、鋁、釕、鍺、鉬、氧化鉬、鎢、 氧化錫·銻、氧化銦·錫(ITO )、摻雜氟之氧化鋅、鋅 、碳、石墨、壓碎碳、銀糊及碳糊、鋰、鈹、鈉、鎂、鉀 、鈣、緦、鈦、錳、锆、鎵、鈮、鈉、鈉-鉀合金、鎂、 鋰、鋁 '鎂/銅混合物、鎂/銀混合物、鎂/鋁混合物、鎂/ 銦混合物、鋁/氧化鋁混合物、鋰/鋁混合物等,但較好爲 鉑、金、銀、氧化鉬、銦' ITO、碳。或,亦可適當地使 用以摻雜等提高導電率之習知導電性聚合物,例如導電性 聚苯胺、導電性聚吡咯、導電性聚噻吩、聚伸乙二氧基噻 吩與聚苯乙烯磺酸之錯合物等。其中較好爲與半導體層之 接觸面之電阻較少者。該等導電性材料可單獨使用,亦可 混合兩種以上使用。電極之膜厚雖隨材料而不同,但較好 -79- 201245216 爲0 · 1 nm~ 1 0 μηι,更好爲〇 · 5 nm〜5 μηι,又更好爲1 nm〜3 μηι 。又,閘極電極兼作基板時亦可比上述膜厚大。 本發明之有機電晶體所使用之源極電極、汲極電極可 施以表面處理。對與本發明之薄膜(有機半導體活性層) 接觸之電極表面施予表面處理時,由於會有提高含該薄膜 之有機電晶體之電晶體特性之傾向故較佳。至於表面處理 可列舉爲例如使1-辛基硫醇、1-全氟辛基硫醇、1-十八烷 基硫醇、1·全氟十八烷基硫醇等具有硫醇基之飽和烴化合 物,例如苯硫醇、全氟苯硫醇等具有硫醇基之芳香族化合 物,例如噻吩基硫醇、全氟噻吩基硫醇等具有硫醇基之雜 環芳香族化合物等硫醇化合物與醇等一起成爲溶液,將上 述電極於該溶液中進行浸漬處理等,而修飾上述電極表面 之方法等。 電極之形成方法可使用上述原料,以各種方法進行。 具體而言,列舉爲真空蒸鍍法、濺鍍法、塗佈法、熱轉印 法、印刷法、溶凝膠法等。成膜時或成膜後,較好視需要 進行圖型化。圖型化之方法可使用各種方法。具體而言, 列舉爲組合光阻之圖型化與蝕刻之光微影法等。又,亦列 舉爲噴墨印刷、網版印刷、平版印刷、凸版印刷等印刷法 、微接觸印刷法等之軟微影之方法等》該等方法可單獨使 用,亦可混合兩種以上進行圖型化。 至於絕緣體層可使用各種絕緣膜。該絕緣膜之材料可 列舉爲無機氧化物、無機氮化物、有機化合物等。 無機氧化物列舉爲氧化矽、氧化鋁、氧化鉬、氧化鈦 -80- 201245216 、氧化錫、氧化鈀、鈦酸鈀緦、锆酸鈦酸鋇、锆酸鈦酸鉛 、鈦酸鉛鑭、鈦酸緦、鈦酸鋇、氟化鋇鎂、鈦酸鉍、鈦酸 緦鉍、鉅酸緦鉍、钽酸鈮酸鉍、三氧化釔等,較好爲氧化 矽、氧化鋁、氧化鉬、氧化鈦。無機氮化物列舉爲氮化矽 、氮化鋁等。有機化合物列舉爲聚醯亞胺、聚醯胺、聚酯 、聚丙烯酸酯、經光自由基聚合或光陽離子聚合獲得之光 硬化性樹脂、含有丙烯腈成分之共聚物、聚乙烯基苯酚、 聚乙烯醇、酚醛清漆樹脂、氰基乙基普魯蘭多糖等,較好 爲聚醯亞胺、聚乙烯基苯酚、聚乙烯醇。該等絕緣體層之 材料可單獨使用,亦可組合兩種以上使用。 絕緣體層之厚度雖隨材料而不同,但較好爲O.lnm〜 1 〇 Ο μιη 1 更好爲 0.5nm〜50μιη,又更好爲 5ηιη~10μιη。 絕緣體層之形成方法可使用上述原料,以各種方法進 行。具體而言,列舉爲旋轉塗佈、噴霧塗佈、浸漬塗佈、 澆鑄、棒塗佈、刮板塗佈、網版印刷、平版印刷、噴墨、 真空蒸鍍法、分子束磊晶成長法、離子團束法、離子鍍敷 法、濺鍍法、大氣壓電漿法、CVD法等乾式製程法。另外 ,溶凝膠法或於鋁上之防蝕鋁(alumite )、如矽之熱氧化 膜之金屬上形成氧化物膜之方法等。 至於基材材料列舉爲玻璃、紙、石英、陶磁、樹脂製 薄片等。該樹脂製薄片之材質具體列舉爲聚對苯二甲酸乙 二酯(PET)、聚萘二甲酸乙二酯(PEN)、聚醚硒(PES )、聚醚醯亞胺、聚醚醚酮、聚苯硫醚、聚丙烯酸酯、聚 醯亞胺、聚碳酸酯(PC)、纖維素三乙酸酯(TAC )、纖 -81 - 201245216 維素乙酸酯丙酸酯(CAP)等。基板之厚度較好爲 Ιμηι〜10mm,更好爲 5μηι〜5mm。 與本發明之薄膜(以下有時記爲有機半導體活性層) 接觸之絕緣體層或基板之部分中,亦可對絕緣體層或基板 上進行表面處理。藉由對層合有機半導體活性層之絕緣體 層上進行表面處理,可提高有機電晶體之電晶體特性。至 於表面處理具體而言列舉爲利用六亞甲基二矽氮烷、十八 烷基三氯矽烷、辛基三氯矽烷、苯乙基三氯矽烷等之疏水 化處理,利用鹽酸、硫酸、過氧化氫水溶液等之酸處理, 利用氫氧化鈉、氫氧化鉀、氫氧化鈣、氨等之鹼處理,臭 氧處理、氟化處理、氧或氬等之電漿處理,蘭慕爾布羅吉 (Langmuir-Brodgett)膜之形成處理,其他絕緣體或半導 體之薄膜之形成處理、機械處理、電暈放電等之電處理、 利用纖維等之摩擦處理等》 至於進行表面處理之方法列舉爲例如真空蒸鍍法、濺 鍍法、塗佈法、印刷法、溶凝膠法等。 又,亦可在有機半導體活性層上設置由樹脂或無機化 合物所成之保護膜。藉由形成保護膜,可抑制外氣之影H ,使電晶體之驅動安定化。 本發明之薄膜由於含有化合物(1),故顯示高的載 體移動度。亦即,本發明之薄膜作爲有機電晶體中之有機 半導體活性層有用,具有含有本發明之薄膜之有機半導體 活性層之有機電晶體爲展現優異之電晶體特性者’且爲可 用在有機半導體裝置中者》 -82- 201245216 本發明之薄膜有顯示發光性之情況,而可作爲發光性 薄膜使用。 所謂發光性薄膜意指含有化合物(1 )之薄膜,且在 光或電刺激之條件下發光之薄膜。發光性薄膜可使用作爲 例如有機發光二極體、液晶顯示元件、有機電致發光元件 、電子紙等之發光元件之材料。 本發明之發光薄膜除使用本發明之化合物(1)作爲 材料以外,亦可與過去習知之方法同樣地製造。 至於包含本發明之薄膜之有機半導體裝置,除前述之 有機電晶體、發光元件以外,亦可應用於感測器、RFIDs (射頻辨識卡)等中。 實施例 以下以實施例更詳細說明本發明。 反應進行之確認係以如下之氣體層析(GC )及高速液 體層析(LC )之分析條件進行》 1 ·氣體層析分析 裝置 島津GC2010 管柱 J&amp;W Scientific 公司製造,DB-1,內徑 0 · 2 5 m m,長度 3 0 m 2.高速液體層析分析 裝置 島津LC 1 0ΑΤ 管柱 化學物質評價機構製造,L-管柱 ODS,內 徑 4.6mm,長度 15cm -83- 201245216 循環分取高速液體層析純化係使用以下裝置、管柱進 行。 裝置 LC-9 104C日本分析工業公司製造) 管柱 日本分析工業公司製造,JAIGEL-1H-4 0, 內徑20mm,長度60cm之兩根串聯連接。 生成物之鑑定係以下述分析裝置測定。 1 · j-NMR : EX2 70 (曰本電子股份有限公司製造) 2. HRMS: JMS-T100GCC日本電子股份有限公司製造 ) 3. LC-HRMS: QSTAR XL (Applied Biosystem 公司製 造) 管柱 化學物質評價機構製造,L-管柱 ODS,內 徑 4.6mm,長度 15cm β施例1:3,6-二碘噻吩并[3,2-b]噻吩(化合物(ld-3 ))之製造(1C1) (wherein, n, W, X, Y, Z and R represent the same meaning as defined above), a method comprising the step of reacting a halogenating agent containing X2 as a halogen atom with the obtained reaction product. The method for producing the specific compound (la') may be carried out in the same manner as in the production method of the compound (1a), except that the compound (lc') is used instead of the compound (ic) in the production method of the compound (la). The method for producing the compound (1 c ') may, for example, be a step of reacting a metal compound containing P with the compound (Id) in the presence of a transition metal compound, specifically, as long as the compound (Id) is used instead of the compound (lb). The compound (la) in the production method may be used in the same manner as in the production method of the compound (lb), except that the compound represented by the metal compound containing Q is used as the metal compound containing p. Specific compounds () can be exemplified by the compounds described in Tables 28 to 38. -63- 201245216 [Table 2 8] mn XYWZ P- 1 0 s S — — 2 0 s S — 'Stop together 3 0 s S — — Same as above 4 0 0 0 — — Same as above 5 0 0 0 — Together with 6 0 0 0 — — . Same as above 70 Se Se — — Same as above 8 0 Se Se — Same as above 90 Se Se — together with 10 1 SSS s Same as above. 11 1 SS ss Same as above 12 1 SS ss Same as above 13 1 0 0 0 0 Ibid 14 1 0 0 0 0 Same as above 15 1 0 0 0 0 Same as above. 16 1 Se Se Se Se Same as above 17 1 Se Se Se Se Same as above 18 1 Se Se Se Se Ibid. -64- 201245216 [Table 2 9] no-) .m η XY w Z P- 20 0 s S — — 21 0 s S — — Same as above 22 0 s S — Same as above 23 0 0 0 — Together with 24 0 0 〇 — Same as above 25 0 0 0 1 1 Ibid 26 0 Se Se — together with 27 0 Se Se — together with 28 0 Se Se one by one 29 1 SSSS Same as above 30 1 SS s S Same as above 31 1 SS s S Same as above 32 1 0 0 0 0 Same as above 33 1 o 0 〇0 Same as above 34 1 〇0 0 〇 Same as above 35 1 Se Se Se Se Same as 36 1 Se Se Se Se Same as above 37 1 Se Se Se Se Ibid. -65- 201245216 [Table 3 Ο] π-) mn XYWZ P- 40 0 s S — XX) 41 0 s S — — Same as above 42 0 .s S — — Same as above 43 0 0 0 — — Same as above 44 0 o 0 — — Same as above 45 0 0 0 — — Same as above 46 0 Se Se — Same as above 70 0 Se Se — together with 48 0 Se Se — same as above 49 1 SSS s Same as above 50 1 SS ss Same as above 51 1 SS ss Same as above 52 .1 0 0 0 0 Same as above 53 1 0 0 0 0 Same as above 54 1 〇 0 0 0 Same as above 55 1 Se Se Se Se Same as above 56 1 Se Se Se Se Same as above 57 1 Se Se Se Se Ibid. -66- 201245216 [Table 3 1 ] mn XYW z P- 60 0 s S — -to 61 0 s S — — Same as above 62 0 s S — — Same as above 63 0 0 0 — — Same as above 64 0 0 0 — Together with 65 0 0 0 — Together with 66 0 Se Se — together with 67 0 Se Se — Same as above 68 0 Se Se — - Ibid 69 1 SSS s Same as above 70 1 s S ss Same as above 71 1 s S ss Same as above 72 1 0 0 0 0 Same as above 73 1 0 0 0 0. Same as above 74 1 0 0 0 0 Same as above 75 1 Se Se Se Se Same as above 76 1 Se Se Se Se Ibid. 77 1 Se Se Se Se Ibid. -67- 201245216 [Table 3 2] mn XYWZ P- 80 0 s S-81 0 s S — — Same as above 82 0 s S — Ibid. 83 0 0 0 — Same as above 84 0 0 0 — — Same as above 85 0 o 0 — — Same as above 86 0 Se Se — . — Same as above 87 0 Se Se — — Same as 88 0 Se Se — Same as above 89 1 SSS s Same as above 90 1 SS ss Same as above 91 1 SS ss Same as above 92 1 0 0 0 0 Same as above 93 1 0 0 0 0 Same as above 94 1 0 0 0 0 Same as above 95 1 Se Se Se Se Same as 96 1 Se Se Se Se Same as above 97 1 Se Se Se Se Ibid. -68- 201245216 [Table 3 3] m η XY w Z p- 100 0 s S - 101 0 ss One - Same as above 102 0 ss — — Same as above 103 0 0 0 — — Same as above 104 0 0 0 — — Same as above 105 0 o 0 — — Same as above 106 0 Se Se — Same as above 107 0 Se Se — Same as above 108 0 Se Se — — Same as above 109 1 ss S s Same as above 110 1 ssss Same as above 111 1 ssss Same as above 112 1 0 0 0 0 Same as above 113 1 0 0 〇0 Same as above 114 • 1 0 0 0 0 Same as above 115 1 Se Se Se Se Same as above 116 1 Se Se Se Se Same as above 117 1 Se Se Se Se Ibid. -69- 201245216 [Table 3 4] do-) m η . XYWZ P- 120 0 s S — — XXX^ 121 0 s S — Same as above 122 0 s S — Same as above 1 23 0 0 0 — — Same as above 124 0 o 〇一 — — Same as above 125 0 0 〇一 — Same as above 126 0 Se Se — Together with 127 0 Se Se — Same as above 128 0 Se Se — Same as above 129 1 SSS s Same as above 130 1 SS ss Same as above 131 1 SS ss Same as above 132 1 0 〇0 0 Same as above 133 1 o 0 0 0 Same as above 134 1 o 0 0 o Same as above 135 1 Se Se Se Se Same as above 136 1 Se Se Se Se Same as above 137 1 Se Se Se Se Ibid -70- 201245216 [Table 3 5] The more m η XYWZ P- 140 0 ss One PQ 141 0 s S One - Same as above 142 0 ss — Same as above 143 0 0 0 — — Same as above 144 0 0 0 — — Same as above 145 0 0 0 — — Same as above 146 0 Se Se — Same as above '147 0 Se Se — Same as above 148 0 Se Se — Together with 149 1 SSS s Same as above 150 1 SS ss Same as above 151 1 ssss Same as above 152 1 0 〇0 〇 Ibid 153 1 0 0 0 0 Same as above 154 1 0 0 0 0 Same as above 155 1 Se Se Se Se Same as above 156 1 Se Se Se Se Same as above 157 1 Se Se Se Se Same as above -71 - 201245216 [Table 3 6 ] (1-) m η XYWZ P - 160 0 s S — — po 161 0 s S One-to-one 162 0 s S — — same 163 0 0 0 — — Same as above 164 0 0 0 — — Same as above 165 0 o 0 — Same as above 166 0 Se Se — Same as above 167 0 Se Se — Same as above 168 0 Se Se — Same as above 169 1 SSS s Same as above 170 1 SSS s Same as above 171 1 SSS s Same as above 172 1 0 〇0 〇 Same as above 173 1 0 0 〇o Same as above 174 1 〇, 0 〇o Same as above 175 1 Se Se Se Se Same as above 176 1 Se Se Se Se Same as above 177 1 Se Se Se Se Same as above -72- 201245216 [Table 3 7] π-) mn XYWZ p- ISO 0 s S — — P 181 0 s S — — Same as above 182 0 s S — Same as above 183 0 0 0 — I Same as above 184 0 0 0 — — Same as above 185 0 0 0 — — Same as above 186 0 Se Se — Together with 187 0 Se Se — Same as above 188 0 Se Se One — Same as above 189 1 S s S s Same as above 190 1 SS ss Same as above 191 1 S sss Same as above 192 1 0 0 〇0 Same as above 193 1 0 0 0 o Same as above 194 1 0 0 0 o Same as above 195 1 Se Se Se Se Same as above 196 1 Se Se Se Se Same as above 197 1 Se Se Se Se Ibid. -73- 201245216 [Table 3 8 ] m η XYWZ P- 200 0 s S one by one..9〇201 0 s S — together with 202 0 s S — together 203 0 0 0 — — Same as above 204 0 0 0 — Same as above 205, 0 0 0 — Same as above 206 0 Se Se — together with 207 0 Se Se — together with 208 0 Se Se One. Same as above 209 1 SS s S Same as above 210 1 SS s S Same as above 211 1 SS s S Same as above 212 .1 0 0 0 0 Same as above 213 1 0 0 0 0 Same as above 214 1 0 0 0 〇 Same as above 215 1 Se Se Se Se Same as above 216 1 Se Se Se Se Same as 217 1 Se Se Se Se The compound (1) of the present invention can be used as an organic semiconductor material which is soluble in an organic solvent. The organic solvent for dissolving the compound (1) may, for example, be an aromatic such as benzene, toluene, xylene, tetrahydronaphthalene, mesitylene, chlorobenzene, o-dichlorobenzene, trichlorobenzene, fluorobenzene or anisole. a hydrocarbon solvent such as a halogenated aliphatic hydrocarbon solvent such as dichloromethane, chloroform, 1,2-dichloroethane, 1,1', 2,2'-tetrachloroethane, tetrachloroethylene or carbon tetrachloride, for example An ether solvent such as diethyl ether, dioxane or tetrahydrofuran, for example, an aliphatic hydrocarbon solvent such as pentane, hexane, heptane, octane or cyclohexane, for example, acetone, methyl ethyl ketone or methyl isobutyl -74- 201245216 A ketone solvent such as a ketone or a cyclohexanone, for example, an ester solvent such as ethyl acetate or butyl acetate, for example, a nitrile solvent such as acetonitrile, propionitrile, methoxyacetonitrile, glutaronitrile or benzonitrile, for example An aprotic polar solvent such as dimethyl sulfoxide, cyclobutyl hydrazine, N,N-dimethylformamide, hydrazine, hydrazine-dimethylacetamide or N-methyl-2-pyrrolidone. Preferred examples are toluene, xylene, tetrahydronaphthalene, mesitylene, chlorobenzene, o-dichlorobenzene, dichloromethane, chloroform, tetrahydrofuran and the like. The organic solvent may be used by mixing two or more kinds of solvents. The concentration of the compound (1) in the solution of the dissolved compound (1) is usually in the range of 0.001 to 50% by weight, preferably 0.01 to 10% by weight, more preferably 0.1 to 5% by weight. The compound (1) in the solution may be used alone, and may be organically different from the antioxidant, the stabilizer, and the compound (1) as long as it does not significantly impair the range of carrier mobility of the film (organic semiconductor active layer) described later. A semiconductor material, an organic insulating material, or the like is mixed. The organic semiconductor material different from the compound (1) may be a low molecular material or a high molecular material. The polymer material may also be one obtained by crosslinking a polymer. Preferred examples are polymeric materials. Specific examples are exemplified by polyacetylene and its derivatives, polythiophene and its derivatives, polythiophene ethylene and its derivatives, polyphenylene and its derivatives, polyphenylene phenylene and its derivatives, Polypyrrole and its derivatives, polyaniline and its derivatives, polydiamine and its derivatives, polyquinoline and its derivatives, dinaphthalene and its derivatives, tetracene and its derivatives, and Benzene and its derivatives, phthalocyanine and its derivatives. In the film of the present invention, the content of the compound (1) is preferably 10% by weight or more based on the total of the organic semiconductor material and the compound (n-75-201245216) which is different from the compound (1). The organic insulating material may be a low molecular sub-material. The polymer material may also be a polymer which is preferably listed as a molecular material. As a specific example, polycarbonate, polydimethyl siloxane, nylon, poly-copolymer , epoxy polymer, cellulose, polyoxyl polymer, polyethylene polymer, polyester polymer, polystyrene S polymer, gas polymer, raw fat, amine resin, unsaturated poly In the polycrystalline film formed by ester resin, benzene diene, epoxy resin, polyimide resin, polyamine resin, and various polymer units, the content of the compound (1) is relative to the compound; The total amount of 100% by weight is preferably 10% by weight or more. Further, the solution composition is obtained by adding a compound of 10 to 200 ° C, preferably about 20 to 150 ° C. Next, the film of the present invention is obtained. And organic semiquinone The material (1) has a carrier mobility of ruthenium, and is suitable as a material of the organic semiconductor device having the thin film layer. Further, the organic semiconductor device of the present invention is contained. The organic semiconductor device of the present invention can be exemplified as an example. It is a material of 20% by weight, and it can also be a high-crosslinking reaction. It is exemplified by polystyrene, quinone imine, cyclic olefin methylene, polyolefin compound, polyether polymer dissolving plastic, a phenolic resin diallyl carboxylic acid resin resin, a polyfluorene oxide compound, etc. The insulating material of the present invention is more than 5% by weight, and more preferably can be prepared by, for example, (1) dissolving in a solvent conductor device. It is to be noted that the film exhibits high film as an organic semiconductor, such as an organic transistor, an electro-optical cell, an electric cell, a solar cell, etc. Further, the organic transistor of the present invention can be used for, for example, electronic paper. The method for forming the film of the present invention in a flexible display, a 1C label, a sensor, or the like can be exemplified by, for example, a coating film forming process. Here, the so-called coating film forming process means having the former A film forming process in which the compound (1) is dissolved in a solvent and the resulting solution is applied onto a substrate or an insulator layer. The coating method is exemplified by a casting coating method, a dip coating method, a die coating method, and a roll. Coating method, bar coating method, spin coating method, inkjet method, screen printing method, lithography method, microcontact printing method, etc. These methods may be used singly or in combination of two or more. (1) The solution of the organic solvent is applied to the substrate or the insulating layer to form a coating film, and then the solvent contained in the coating film is removed to form a film on the substrate or the insulating layer. Natural drying treatment, heat treatment, pressure reduction treatment, ventilation treatment, or a combination of these treatments is employed, but from the viewpoint of ease of handling, natural drying treatment or heat treatment is preferred. A brief description of the conditions associated with the treatment is exemplified by the conditions of placing the substrate in the atmosphere or heating the substrate with a hot plate (e.g., 40 to 250 ° C, preferably 50 to 200 ° C). The film of the present invention can also be formed into a film by coating film formation using a dispersion in which the compound (1) is dispersed in a solvent. In this case, in the above-described coating film forming process, the solution can be easily replaced by changing the solution. In this case, the solvent may be water in addition to the above organic solvent. Therefore, the film of the present invention can be formed by the above-described coating film forming process, etc., and the method of forming the film of the present invention can be exemplified by supplying the compound (η to vacuum evaporation, sputtering). A method of forming a thin film in a vacuum process such as a method, a CVD method, or a molecular beam epitaxial growth method. The method of forming a thin film by vacuum evaporation is to heat (evaporate) and evaporate the compound (1) in a vortex or a metal crucible under vacuum. A method of depositing an organic semiconductor material on a substrate or an insulator material. The degree of vacuum during vapor deposition is usually less than lxiodpa, preferably less than lxi〇-3Pa. The substrate temperature during vapor deposition is usually from 0 ° C to 300 °. C is preferably 20. (: 〜200 ° C. The vapor deposition rate is, for example, in the range of 0.001 nm/sec to 10 nm/sec, etc., preferably in the range of 0.01 nm/sec to 1 nm/sec. The film thickness of the film containing the compound (1) obtained by the coating film forming process or the above vacuum process can be appropriately adjusted depending on, for example, the element structure of the organic transistor, but is preferably from 1 nm to 10 μm, more preferably from 5 nm to ΙμΓη. Organic transistor For example, there is an airport effect transistor (OFET). The structure of the organic field effect transistor is generally provided by arranging the source electrode and the drain electrode adjacent to the organic semiconductor active layer formed by the film of the present invention, and then interposing The insulating layer (dielectric layer) may be provided adjacent to the organic semiconductor active layer, and the gate electrode may be provided. For example, the element structure is as follows: (1) substrate/gate electrode/insulator layer/source electrode·dip electrode/organic Structure of semiconductor active layer (2) Structure of substrate/gate electrode/insulator layer/organic semiconductor active layer/source electrode and drain electrode (refer to Fig. 1) -78- 201245216 (3) Substrate/organic semiconductor active layer/ Structure of source electrode, drain electrode, insulator layer/gate electrode (4) structure of substrate/source electrode, drain electrode/organic semiconductor active layer/insulator layer/gate electrode (see Fig. 2), etc. The source electrode, the drain electrode, and the gate electrode may each be provided in plural. Further, a plurality of organic semiconductor active layers may be disposed in the same plane, or may be laminated, and then a specific example will be described. In the production of the organic transistor, the material for forming the source electrode, the drain electrode, and the gate electrode is not particularly limited as long as it is a conductive material, and platinum, gold, or the like can be used. Silver, nickel, chromium, copper, iron 'tin, antimony, lead, antimony, indium, palladium, iridium, osmium, iridium, aluminum, lanthanum, cerium, molybdenum, molybdenum oxide, tungsten, tin oxide, antimony, indium oxide, tin (ITO), fluorine-doped zinc oxide, zinc, carbon, graphite, crushed carbon, silver paste and carbon paste, lithium, barium, sodium, magnesium, potassium, calcium, barium, titanium, manganese, zirconium, gallium, germanium , sodium, sodium-potassium alloy, magnesium, lithium, aluminum 'magnesium/copper mixture, magnesium/silver mixture, magnesium/aluminum mixture, magnesium/indium mixture, aluminum/alumina mixture, lithium/aluminum mixture, etc., but preferably Platinum, gold, silver, molybdenum oxide, indium 'ITO, carbon. Alternatively, a conventional conductive polymer which is improved in conductivity by doping or the like, such as conductive polyaniline, conductive polypyrrole, conductive polythiophene, poly(ethylenedioxythiophene), and polystyrene sulfonate may be suitably used. Acid complexes and the like. Among them, it is preferred that the contact surface with the semiconductor layer has less resistance. These conductive materials may be used singly or in combination of two or more. Although the film thickness of the electrode varies depending on the material, it is preferably -79 to 201245216 of 0 · 1 nm to 10 μm, more preferably 5 5 nm to 5 μηι, and more preferably 1 nm to 3 μηι. Further, when the gate electrode also serves as a substrate, it may be thicker than the above film. The source electrode and the drain electrode used in the organic transistor of the present invention may be subjected to surface treatment. When the surface of the electrode which is in contact with the film (organic semiconductor active layer) of the present invention is subjected to a surface treatment, it tends to increase the crystal characteristics of the organic transistor containing the film. As the surface treatment, for example, saturation of a thiol group such as 1-octyl mercaptan, 1-perfluorooctyl mercaptan, 1-octadecyl mercaptan, or 1-perfluorooctadecyl mercaptan may be mentioned. a hydrocarbon compound such as a thiol group-containing aromatic compound such as phenylthiol or perfluorobenzenethiol, such as a thiol compound having a thiol group such as a thienylthiol or a perfluorothiophenylthiol; A method in which the electrode is subjected to a immersion treatment or the like in the solution together with an alcohol or the like to modify the surface of the electrode. The method of forming the electrode can be carried out by various methods using the above materials. Specifically, it is exemplified by a vacuum deposition method, a sputtering method, a coating method, a thermal transfer method, a printing method, a sol gel method, and the like. When forming a film or after film formation, it is preferable to pattern as needed. Various methods can be used for the method of patterning. Specifically, it is exemplified by a patterning of combined photoresist and a photolithography method of etching. Further, examples thereof include a printing method such as inkjet printing, screen printing, lithography, and letterpress printing, and a method of soft lithography such as a microcontact printing method. These methods may be used alone or in combination of two or more. Modeling. As the insulator layer, various insulating films can be used. The material of the insulating film can be exemplified by an inorganic oxide, an inorganic nitride, an organic compound or the like. The inorganic oxides are exemplified by cerium oxide, aluminum oxide, molybdenum oxide, titanium oxide-80-201245216, tin oxide, palladium oxide, palladium titanate titanate, strontium titanate titanate, lead zirconate titanate, lead titanate tantalum, titanium Barium strontium, barium titanate, barium magnesium fluoride, barium titanate, barium titanate, barium strontium strontium, strontium bismuth citrate, antimony trioxide, etc., preferably cerium oxide, aluminum oxide, molybdenum oxide, oxidation titanium. The inorganic nitrides are exemplified by tantalum nitride, aluminum nitride, and the like. The organic compound is exemplified by polyimine, polyamine, polyester, polyacrylate, photocurable resin obtained by photoradical polymerization or photocationic polymerization, copolymer containing acrylonitrile component, polyvinylphenol, poly The vinyl alcohol, the novolac resin, the cyanoethyl pullulan, etc. are preferably polyimine, polyvinylphenol, or polyvinyl alcohol. The materials of the insulator layers may be used singly or in combination of two or more. The thickness of the insulator layer varies depending on the material, but is preferably from 0.1 nm to 1 〇 Ο μιη 1 , more preferably from 0.5 nm to 50 μm, and even more preferably from 5 nm to 10 μm. The method of forming the insulator layer can be carried out by various methods using the above materials. Specifically, it is exemplified by spin coating, spray coating, dip coating, casting, bar coating, blade coating, screen printing, lithography, inkjet, vacuum evaporation, and molecular beam epitaxy. Dry process methods such as ion beam method, ion plating method, sputtering method, atmospheric piezoelectric slurry method, and CVD method. Further, a sol gel method or a method of forming an oxide film on a metal of alumite on aluminum, a metal such as a thermal oxide film of ruthenium or the like. The substrate material is exemplified by glass, paper, quartz, ceramic, resin, and the like. The material of the resin sheet is specifically exemplified by polyethylene terephthalate (PET), polyethylene naphthalate (PEN), polyether selenium (PES), polyether sulfimine, polyether ether ketone, Polyphenylene sulfide, polyacrylate, polyimine, polycarbonate (PC), cellulose triacetate (TAC), fiber -81 - 201245216, vitamin acetate propionate (CAP), and the like. The thickness of the substrate is preferably Ιμηι 10 mm, more preferably 5 μηι 5 mm. In the portion of the insulator layer or the substrate which is in contact with the film of the present invention (hereinafter sometimes referred to as an organic semiconductor active layer), the surface of the insulator layer or the substrate may be subjected to surface treatment. The surface characteristics of the organic transistor can be improved by surface-treating the insulator layer of the laminated organic semiconductor active layer. Specifically, the surface treatment is a hydrophobization treatment using hexamethylene diazane, octadecyltrichloromethane, octyltrichlorodecane or phenethyltrichloromethane, and hydrochloric acid, sulfuric acid, and the like. Acid treatment such as aqueous solution of hydrogen peroxide, treatment with alkali such as sodium hydroxide, potassium hydroxide, calcium hydroxide, ammonia, etc., ozone treatment, fluorination treatment, plasma treatment of oxygen or argon, etc., Lanmul Brogji ( Langmuir-Brodgett) formation of a film, formation of a film of another insulator or semiconductor, electrical treatment of a mechanical treatment, corona discharge, etc., rubbing treatment using a fiber or the like, etc. The method of performing surface treatment is exemplified by vacuum evaporation, for example. Method, sputtering method, coating method, printing method, lyotropic method, and the like. Further, a protective film made of a resin or an inorganic compound may be provided on the organic semiconductor active layer. By forming the protective film, the shadow H of the outside air can be suppressed, and the driving of the transistor can be stabilized. Since the film of the present invention contains the compound (1), it exhibits high carrier mobility. That is, the film of the present invention is useful as an organic semiconductor active layer in an organic transistor, and an organic transistor having an organic semiconductor active layer containing the film of the present invention is an organic semiconductor device which exhibits excellent crystal characteristics. The latter" -82- 201245216 The film of the present invention has a luminescent property and can be used as a luminescent film. The luminescent film means a film containing the film of the compound (1) and emitting light under conditions of light or electric stimulation. As the light-emitting film, a material which is a light-emitting element such as an organic light-emitting diode, a liquid crystal display element, an organic electroluminescence element, or an electronic paper can be used. The luminescent film of the present invention can be produced in the same manner as in the conventional methods, except that the compound (1) of the present invention is used as a material. The organic semiconductor device including the thin film of the present invention can be applied to sensors, RFIDs (Radio Frequency Identification Cards) and the like in addition to the above-described organic transistor and light-emitting element. EXAMPLES Hereinafter, the present invention will be described in more detail by way of examples. The confirmation of the reaction was carried out under the following conditions of gas chromatography (GC) and high-speed liquid chromatography (LC): 1 gas chromatography analyzer Shimadzu GC2010, manufactured by J&amp;W Scientific, DB-1, Diameter 0 · 2 5 mm, length 3 0 m 2. High-speed liquid chromatography analyzer Shimadzu LC 1 0ΑΤ Manufactured by column chemical substance evaluation mechanism, L-column ODS, inner diameter 4.6mm, length 15cm -83- 201245216 The high-speed liquid chromatography purification system was carried out using the following apparatus and column. Device LC-9 104C manufactured by Nippon Analytical Co., Ltd.) Pipe column manufactured by Japan Analytical Industries Co., Ltd., JAIGEL-1H-4 0, two inner diameters of 20 mm and a length of 60 cm. The identification of the product was measured by the following analysis apparatus. 1 · j-NMR : EX2 70 (manufactured by Sakamoto Electronics Co., Ltd.) 2. HRMS: JMS-T100GCC manufactured by JEOL Ltd.) 3. LC-HRMS: QSTAR XL (Applied Biosystem) Manufactured by mechanism, L-column ODS, inner diameter 4.6 mm, length 15 cm β Example 1: Preparation of 3,6-diiodothieno[3,2-b]thiophene (compound (ld-3))

藉由使N_溴琥珀酸醯亞胺與噻吩并[3,2-b]噻吩反應, 調製 2,5-二溴噻吩并[3,2-b]噻吩(參照 Dalton Trans., 2005 年,8 74 頁)》 接著,使二異丙基醯胺鋰與2,5-二溴噻吩并[3,2-b]噻 吩反應,調製3,6-二溴噻吩并[3,2-b]噻吩之結晶(參照 -84- 2012452162,5-Dibromothieno[3,2-b]thiophene is prepared by reacting N-bromosuccinate with thieno[3,2-b]thiophene (cf. Dalton Trans., 2005, 8 Page 74) Next, lithium diisopropylamide is reacted with 2,5-dibromothieno[3,2-b]thiophene to prepare 3,6-dibromothieno[3,2-b] Crystallization of thiophene (Ref. -84- 201245216

Org. Lett·,2007 年,9 卷,1 005 頁)。 於具備攪拌子、溫度計之反應容器中加入3,6-二溴噻 吩并[3,2-b]噻吩之結晶(5.00g,1 6.8mmol ),使該容器 內部經氮氣置換。接著,將二乙基醚17〇ml添加於該容器 中,在室溫(約25°C )使該結晶溶解後,將溶解液冷卻 至-78 °C。接著,邊維持在_78°C邊於1〇分鐘內將正丁基鋰 (關東化學製造,1.57M )之己烷溶液(23.5ml, 36.9mmol)添加於該溶解液中,進而在相同溫度攪拌2小 時,獲得反應液。另外,將使碘(l〇.2g,40.3mmol )溶 解於脫水二乙基醚100ml中而成之溶液於15分鐘內添加 於調整至-78 °C之反應液中,在相同溫度攪拌2小時。使反 應液升溫至室溫,在相同溫度攪拌3小時後,添加於飽和 氯化銨水溶液中,接著,添加二乙醚。分取有機層,依序 以飽和亞硫酸鈉水溶液、飽和氯化鈉水溶液洗淨,以硫酸 鈉乾燥後,減壓下餾除溶劑。所得產物以矽膠層析(移動 層;己烷)純化,以收率93%獲得3,6-二碘噻吩并[3,2-b] 噻吩(化合物(Id-3) ,6.1 lg,15.6mmol)之黃色結晶。 化合物(1 d - 3 )之物性如下。 'H-NMR ( 5,CDC13 ) : 7.48 ( s,2H ) 實施例2 : 3,6-雙[2-(三甲基矽烷基)乙炔基]噻吩并 [3,2-b]噻吩(化合物(ic_13))之製造Org. Lett·, 2007, 9 volumes, 1 005 pages). Crystals of 3,6-dibromothieno[3,2-b]thiophene (5.00 g, 1 6.8 mmol) were placed in a reaction vessel equipped with a stirrer and a thermometer, and the inside of the vessel was replaced with nitrogen. Next, 17 〇ml of diethyl ether was added to the vessel, and after dissolving the crystal at room temperature (about 25 ° C), the solution was cooled to -78 °C. Then, a hexane solution (23.5 ml, 36.9 mmol) of n-butyllithium (manufactured by Kanto Chemical Co., Ltd., 1.57 M) was added to the solution in one minute while maintaining the temperature at _78 ° C, and further at the same temperature. After stirring for 2 hours, a reaction liquid was obtained. Further, a solution obtained by dissolving iodine (1 g. 2 g, 40.3 mmol) in 100 ml of dehydrated diethyl ether was added to the reaction liquid adjusted to -78 ° C for 15 minutes, and stirred at the same temperature for 2 hours. . The reaction solution was allowed to warm to room temperature, and stirred at the same temperature for 3 hours, and then added to a saturated aqueous solution of ammonium chloride, and then diethyl ether was added. The organic layer was separated, washed with a saturated aqueous solution of sodium sulfite and saturated aqueous sodium chloride, and dried over sodium sulfate. The obtained product was purified by silica gel chromatography (mobile layer; hexane) to give 3,6-diiodothieno[3,2-b]thiophene (compound (Id-3), 6.1 lg, 15.6 mmol. ) yellow crystals. The physical properties of the compound (1 d - 3 ) are as follows. 'H-NMR (5, CDC13): 7.48 (s, 2H) Example 2: 3,6-bis[2-(trimethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound) (ic_13)) Manufacturing

-85- 201245216 在氮氣氛圍中,於安裝攪拌子、溫度計、冷凝器之反 應容器中混合3,6-二碘噻吩并[3,2-15]噻吩(4.00§, 1 0.2mmol )、二異丙胺143ml及甲苯l〇〇ml後,邊在室溫 攪拌所得混合液,邊通過注射針吹拂氮氣30分鐘。接著 ’在氮氣氛圍下、於室溫將雙(三苯基膦)鈀二氯化物( 0.22g,0.3 mmo 1 )、碘化亞銅(0.12g,0_6mmol)及三甲 基矽烷基乙炔(2.31g,23.5mmol )添加於該反應容器中 ,升溫至60°C且在相同溫度攪拌5小時。接著,冷卻至室 溫後,添加水及氯仿。分取有機層,以水洗淨,以硫酸鎂 乾燥後,減壓下餾除溶劑。所得產物以矽膠層析(移動層 ;己烷)純化,以收率95%獲得3,6-雙[2-(三甲基矽烷基 )乙炔基]噻吩并[3,2-b]噻吩(化合物(lc-13 ) ,3.24g, 9.74mmol)之白色結晶。化合物(lc-13)爲對應於表26 之m=13之化合物》化合物(lc-13)之物性如下。 1 H-NMR ( 6,CDC13 ) : 0.26 ( s, 1 8H ) 、7.54 ( s,2H) 贲施例3: 3,6-雙[2-(三甲基矽烷基)乙炔基l·2,5-二 碘噻吩并[3,2-b]噻吩(化合物(la-13))之製造-85- 201245216 Mix 3,6-diiodothieno[3,2-15]thiophene (4.00 §, 1 0.2 mmol) in a reaction vessel equipped with a stir bar, thermometer, and condenser under a nitrogen atmosphere. After 143 ml of propylamine and 10 ml of toluene were added, the resulting mixture was stirred at room temperature, and nitrogen gas was blown through an injection needle for 30 minutes. Then 'bis(triphenylphosphine)palladium dichloride (0.22 g, 0.3 mmo 1 ), cuprous iodide (0.12 g, 0-6 mmol) and trimethyldecyl acetylene (2.31) at room temperature under nitrogen atmosphere g, 23.5 mmol) was added to the reaction vessel, and the mixture was heated to 60 ° C and stirred at the same temperature for 5 hours. Then, after cooling to room temperature, water and chloroform were added. The organic layer was separated, washed with water and dried over magnesium sulfate. The obtained product was purified by silica gel chromatography (mobile layer; hexane) to give 3,6-bis[2-(trimethyldecyl)ethynyl]thieno[3,2-b]thiophene in a yield of 95%. Compound (lc-13), 3.24 g, 9.74 mmol) of white crystals. The compound (lc-13) is a compound corresponding to the compound of m = 13 in Table 26, and the physical properties of the compound (lc-13) are as follows. 1 H-NMR (6, CDC13 ) : 0.26 ( s, 1 8H ) , 7.54 ( s, 2H) 贲 Example 3: 3,6-bis[2-(trimethyldecyl)ethynyl l·2, Manufacture of 5-diiodothieno[3,2-b]thiophene (compound (la-13))

於安裝攪拌子、溫度計之反應容器中饋入實施例2中 -86- 201245216 獲得之3,6-雙[2-(三甲基矽烷基)乙炔基]噻吩并[3,2-b] 噻吩(化合物(lc-13) ,2.40g,7.22mmol)之結晶,使 該容器內部經氮氣置換後,添加脫水二乙醚50m〖,在室 溫使該結晶溶解。使所得溶解液冷卻至-78 °C後,在相同溫 度下於10分鐘內添加第三丁基鋰(關東化學製造,1.55M )之正己院溶液(l〇.7ml,16.6mmol),在相同溫度攪拌 10分鐘後,升溫至〇°C且在相同溫度攪拌1小時,獲得反 應液。另外,將碘(4.40g,17.3mmol )溶解於脫水二乙 醚90ml中調製碘溶液。在0°C下於10分鐘內將該碘溶液 添加於該反應液後,升溫至室溫且在相同溫度攪拌1小時 。將反應液添加於冰水中分液,所得有機層依序以飽和亞 硫酸鈉水溶液、飽和氯化鈉水溶液洗淨,以硫酸鈉乾燥後 ,減壓下餾除溶劑。所得產物以矽膠層析(移動層:己烷 )純化,以收率87%獲得3,6-雙[2-(三甲基矽烷基)乙炔 基]-2,5-二碘噻吩并[3,2-b]噻吩(3.68g,6.30mmol)之黃 色結晶。化合物(la-13 )爲對應於表23之m=13之化合 物。化合物(la-1 3 )之物性如下。 1 H-NMR ( 6,CDC13 ) : 0.28 ( s, 1 8H ) 實施例4:2,5-雙(噻吩并[3,2-13]噻吩-2-基)-3,6-雙 [2-(三甲基矽烷基)乙炔基]噻吩并[3,2-b]噻吩(化合物 (1-13))之製造 -87- 201245216Feeding 3,6-bis[2-(trimethyldecyl)ethynyl]thieno[3,2-b]thiophene obtained in Example 2 -86-201245216 in a reaction vessel equipped with a stirrer and a thermometer The crystals of the compound (lc-13), 2.40 g, 7.22 mmol) were subjected to nitrogen substitution in the inside of the vessel, and then dehydrated diethyl ether 50 m was added, and the crystal was dissolved at room temperature. After the obtained solution was cooled to -78 ° C, a solution of a third butyl lithium (manufactured by Kanto Chemical Co., Ltd., 1.55 M) in a positive chamber (1 ml, 16.6 mmol) was added at the same temperature for 10 minutes. After stirring at a temperature for 10 minutes, the temperature was raised to 〇 ° C and stirred at the same temperature for 1 hour to obtain a reaction liquid. Further, iodine (4.40 g, 17.3 mmol) was dissolved in 90 ml of dehydrated diethyl ether to prepare an iodine solution. The iodine solution was added to the reaction solution at 0 ° C for 10 minutes, and the mixture was heated to room temperature and stirred at the same temperature for 1 hour. The reaction mixture was added to ice water, and the obtained organic layer was washed with saturated aqueous sodium sulfate and saturated aqueous sodium chloride, and dried over sodium sulfate. The obtained product was purified by silica gel chromatography (mobile layer:hexane) to yield 3,6-bis[2-(trimethyldecyl)ethynyl]-2,5-diiodothiophene [3] in a yield of 87%. , 2-b] Thiophene (3.68 g, 6.30 mmol) of yellow crystals. The compound (la-13) was a compound corresponding to m = 13 of Table 23. The physical properties of the compound (la-1 3 ) are as follows. 1 H-NMR (6, CDC13): 0.28 (s, 1 8H) Example 4: 2,5-bis(thieno[3,2-13]thiophen-2-yl)-3,6-bis[2 -(Trimethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound (1-13)) -87- 201245216

(1-13) 於安裝搅拌子、溫度計之反應容器中饋 b]唾吩(1.50g,10.7mmol)之結晶,使反應 氣置換。接著,將脫水四氫呋喃l〇〇ml添加 在室溫使結晶溶解後,使所得溶解液冷卻至 溫度下於5分鐘內將第三丁基鋰(關東化| )之正己院溶液(6.21ml,9.63mmol)添加 解液中,再於相同溫度搅拌1小時,接著, 分鐘內添加氯化三(正丁基)錫(2.61ml, ,升溫至室溫,在相同溫度下再搅拌3小時 〇 於安裝搅拌子之另一反應容器中,在氮 溫混合實施例3獲得之3,6-雙[2-(三甲基矽 ]-2,5-二碘噻吩并[3,2-b]噻吩(化合物(la-: 4.81mmol )、參(二亞苄基丙酮)二 0.48mmol )、三(2-呋喃基)膦(0.22g, 脫水四氫呋喃l〇〇ml,獲得混合液。 在室溫下將該混合液添加於上述反應液 度再搅拌22小時。將飽和氯化鈉水溶液及 應混合物中。分取有機層,以水洗淨,以硫 減壓下餾除溶劑。以己烷洗淨所得粗製產物 入噻吩并[3,2-容器內部經氮 於該容器中, •78t。在相同 B 製造,1 .55M 於經冷卻之溶 在-7 8 t下於5 9.63mmol)後 ,獲得反應液 氣氛圍中及室 烷基)乙炔基 13) ,2.8 1 g, IG ( 0 · 44g, 0_96mmol)及 中,在相同溫 氯仿添加於反 酸鎂乾燥後, 後,以循環分 -88- 201245216 取高速液體層析(移動層;氯仿)分取產物,餾除溶劑後 ,自甲苯再結晶,以收率25%獲得2,5-雙(噻吩并Π,2-b] 噻吩-2 -基)-3,6 -雙[2-(三甲基矽烷基)乙炔基]噻吩并 [3,2-b]噻吩(化合物(1-13) ,0.72g’ 1.18mmol)之黃色 結晶。化合物(1-13)爲對應於表1之m=13之化合物。 化合物(1 -1 3 )之物性如下。(1-13) A crystal of b]pyr (1.50 g, 10.7 mmol) was fed into a reaction vessel equipped with a stirrer and a thermometer to displace the reaction gas. Next, the dehydrated tetrahydrofuran l〇〇ml was added to the room temperature to dissolve the crystals, and the resulting solution was cooled to a temperature of 5 minutes to the third butyl lithium (Kantong | |) Zhengjiyuan solution (6.21 ml, 9.63) Add) to the solution, and then stir at the same temperature for 1 hour, then add tri(n-butyl)tin chloride (2.61 ml, and warm to room temperature, stir for 3 hours at the same temperature for installation) In a separate reaction vessel of the stirrer, the 3,6-bis[2-(trimethylhydrazine)-2,5-diiodothieno[3,2-b]thiophene obtained in Example 3 was mixed at a nitrogen temperature. Compound (la-: 4.81 mmol), ginseng (dibenzylideneacetone) 0.48 mmol), tris(2-furyl)phosphine (0.22 g, dehydrated tetrahydrofuran), obtained a mixture. The mixture was added to the above reaction solution and stirred for another 22 hours. The saturated aqueous sodium chloride solution and the mixture were mixed. The organic layer was separated, washed with water, and the solvent was evaporated under reduced pressure of sulfur. The crude product was taken into the thieno [3,2-container inside the vessel via nitrogen, • 78t. Manufactured in the same B, 1.55M in cold After being dissolved at -7 8 t at 5 9.63 mmol), the reaction liquid atmosphere was obtained and the benzyl group) ethynyl group 13), 2.8 1 g, IG (0 · 44 g, 0-96 mmol) and in the same temperature chloroform After being added to the magnesium sulfate to be dried, the product is obtained by high-speed liquid chromatography (moving layer; chloroform) in a cycle of -88-201245216, and the solvent is distilled off, and then recrystallized from toluene to obtain 2 in a yield of 25%. 5-bis(thienoindole, 2-b)thiophen-2-yl)-3,6-bis[2-(trimethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound ( 1-13), 0.72 g ' 1.18 mmol) of yellow crystals. The compound (1-13) is a compound corresponding to m=13 of Table 1. The physical properties of the compound (1 -1 3 ) are as follows.

H-NMR ( δ , CDC13 ) : 0.36 ( s, 18H) , 7.27 ( d, 2H ),7.42 ( d,2H ),7.8 1 ( s,2H ) LC-HRMS ( APPI+ ):對 C28H25S i2S6 之計算値 608.98 1 4 ;實測値 608.9805 實施例5: 3,6-雙[2-(三異丙基矽烷基)乙炔基]噻吩 并[3,2-b]噻吩(化合物(lc-15 ))之製造 iPr3SiH-NMR ( δ , CDC13 ) : 0.36 ( s, 18H) , 7.27 ( d, 2H ), 7.42 ( d, 2H ), 7.8 1 ( s, 2H ) LC-HRMS ( APPI+ ): Calculation of C28H25S i2S6値608.98 1 4 ;Measured 値608.9805 Example 5: Manufacture of 3,6-bis[2-(triisopropyldecyl)ethynyl]thieno[3,2-b]thiophene (compound (lc-15)) iPr3Si

(1c-15). 除將三甲基矽烷基乙炔變更爲三異丙基矽烷基乙炔以 外,餘與實施例2同樣操作,以收率9 8 %獲得3,6 -雙[2 -( 三異丙基矽烷基)乙炔基]噻吩并[3,2-b]噻吩(化合物( lc-15))之白黃色結晶》化合物(ic_15)爲對應於表26 之m= 1 5之化合物。化合物(1 c_丨5 )之物性如下。 'H-NMR ( ά , CDC13 ) : 1 .05-1 . 19 ( m, 42H ) , 7.53 (s, 2H)。 -89- 201245216 實施例6: 3,6-雙[2-(三異丙基矽烷基)乙炔基]·2,5-二碘噻吩并[3,2-b]噻吩(化合物(la-15))之製造(1c-15). Except that trimethyldecyl acetylene was changed to triisopropyldecyl acetylene, the same operation as in Example 2 was carried out to obtain 3,6-bis[2-(3) in a yield of 98%. Isopropyl decyl) ethynyl]thieno[3,2-b]thiophene (white crystallization of compound ( lc-15)) The compound (ic_15) is a compound corresponding to m = 15 in Table 26. The physical properties of the compound (1 c_丨5 ) are as follows. 'H-NMR ( ά , CDC13 ) : 1 .05-1 . 19 ( m, 42H ) , 7.53 (s, 2H). -89- 201245216 Example 6: 3,6-bis[2-(triisopropyldecyl)ethynyl]·2,5-diiodothieno[3,2-b]thiophene (compound (la-15) )) manufacturing

除將化合物(lc-13 )變更爲化合物(lc-15 )以外’ 餘與贲施例3同樣操作,以收率95%獲得3,6-雙[2-(三異 丙基矽烷基)乙炔基]-2,5-二碘噻吩并[3,2-b]噻吩(化合 物(la-15 ))之黃色結晶。化合物(la-15 )爲對應於表 23之m = 15之化合物。化合物(la-15)之物性如下° 'H-NMR ( 6,CDC13 ) : 1 · 0 7〜1 · 2 1 ( s,4 2 Η ) 苡施例7: 2,5-雙(噻吩并[3,2-b]噻吩-2-基)*3,6_雙 [2-(三異丙基矽烷基)乙炔基]噻吩并[3,2-b]噻吩(化 物(1·15 ))之製造Except that the compound (lc-13) was changed to the compound (lc-15), the same operation as in Example 3 was carried out, and 3,6-bis[2-(triisopropyldecylalkyl)acetylene was obtained in a yield of 95%. Yellow crystal of -2,5-diiodothieno[3,2-b]thiophene (compound (la-15)). The compound (la-15) is a compound corresponding to m = 15 of Table 23. The physical properties of the compound (la-15) are as follows: 'H-NMR (6, CDC13): 1 · 0 7 to 1 · 2 1 (s, 4 2 Η ) 苡 Example 7: 2,5-bis (thieno[ 3,2-b]thiophen-2-yl)*3,6-bis[2-(triisopropyldecyl)ethynyl]thieno[3,2-b]thiophene (1·15) Manufacturing

除將化合物(1 a· 1 3 )變更爲化合物(la-1 5 )以# -90 - 201245216 餘與實施例4同樣操作,以收率29%獲得2,5-雙(噻吩并 [3,2-b]噻吩-2 -基)·3,6 -雙[2-(三異丙基矽烷基)乙炔基] 噻吩并[3,2 - b ]噻吩(化合物(1 -1 5 ))之黃色結晶。化合 物(1-15 )爲對應於表1之m = 15之化合物。化合物(1-1 5 )之物性如下。 'H-NMR ( δ , CDC13) · 1.14-1.29 ( m, 42H ) , 7.25 (d, 2H) , 7.41 ( d, 2H ),7.88 ( s,2H) LC-HRMS ( APPI+ ):對 C4〇H49Si2S6 之計算値 777.1 692 ;實測値 777.1 686 實施例8 : 3,6·雙[2-(三乙基矽烷基)乙炔基]噻吩并 [3,2-1?]噻吩(化合物(1^14))之製造Except that the compound (1 a· 13 3 ) was changed to the compound (la-1 5 ) in the same manner as in Example 4, using #-90 - 201245216, 2,5-bis (thieno[3, 2-b]thiophen-2-yl)·3,6-bis[2-(triisopropyldecyl)ethynyl]thieno[3,2-b]thiophene (compound (1 -1 5 )) Yellow crystals. The compound (1-15) is a compound corresponding to m = 15 of Table 1. The physical properties of the compound (1-1 5 ) are as follows. 'H-NMR ( δ , CDC13 ) · 1.14-1.29 ( m, 42H ) , 7.25 (d, 2H) , 7.41 ( d, 2H ), 7.88 ( s, 2H) LC-HRMS ( APPI+ ): for C4〇H49Si2S6 Calculation 値777.1 692; Measured 値777.1 686 Example 8: 3,6·bis[2-(triethyldecyl)ethynyl]thieno[3,2-1?]thiophene (compound (1^14) Manufacturing

除將三甲基矽烷基乙炔變更爲三乙基矽烷基乙炔以外 ,餘與實施例2同樣操作,藉此以收率98%獲得3,6-雙[2-(三乙基矽烷基)乙炔基]噻吩并[3,2-b]噻吩(化合物( lc-14))之黃色油。化合物(〗c-14)爲對應於表26之 1 4之化合物。化合物(1 c· 1 4 )之物性如下。Except that trimethyldecyl acetylene was changed to triethyldecyl acetylene, the same operation as in Example 2 was carried out, whereby 3,6-bis[2-(triethyldecyl)acetylene was obtained in a yield of 98%. a yellow oil of thieno[3,2-b]thiophene (compound (lc-14)). The compound (c-14) is a compound corresponding to 14 of Table 26. The physical properties of the compound (1 c· 14 ) are as follows.

*H-NMR ( 5,CDC13 ) : 0.70 ( q, 1 2H ) 、1·07 ( t,l8H )、7.54 ( s,2H ) 實施例9 : 3,6-雙[2-(三乙基矽烷基)乙炔基]-2,5-二 • 91 - 201245216 碘噻吩并[3,2-b]噻吩(化合物(ia_i4))之製造*H-NMR (5, CDC13): 0.70 (q, 1 2H), 1·07 (t, l8H), 7.54 (s, 2H) Example 9: 3,6-bis[2-(triethyldecane) Manufacture of iodothio[3,2-b]thiophene (compound (ia_i4))

除將化合物(1 c-1 3 )變更爲化合物(! c·丨4 )以外, 餘與實施例3同樣操作,以收率9 9 %獲得3,6 -雙[2 -(三乙 基矽烷基)乙炔基]-2,5 -二碘噻吩并[3,2-b]噻吩(化合物 (la-14 ))之黃色結晶。化合物(la_14 )爲對應於表23 之m = 14之化合物。化合物(ia_14)之物性如下。Except that the compound (1 c-1 3 ) was changed to the compound (! c·丨4 ), the same operation as in Example 3 was carried out to obtain 3,6-bis[2-(triethyldecane) in a yield of 99%. Yellow crystal of ethynyl]-2,5-diiodothieno[3,2-b]thiophene (compound (la-14)). Compound (la_14) is a compound corresponding to m = 14 of Table 23. The physical properties of the compound (ia-14) are as follows.

'H-NMR ( 5,CDC13 ) : 0.71 ( q,12H)、1.09 ( t,18H ) 實施例10: 2,5 -雙(噻吩并[3,2-b]噻吩-2-基)-3,6-雙[2·(三乙基矽烷基)乙炔基]噻吩并[3,2-b]噻吩(化合 物(1-14))之製造'H-NMR (5, CDC13): 0.71 (q, 12H), 1.09 (t, 18H) Example 10: 2,5-bis(thieno[3,2-b]thiophen-2-yl)-3 Manufacture of 6-bis[2·(triethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound (1-14))

除將化合物(1 a-1 3 )變更爲化合物(1 a-1 4 )以外, 餘與實施例4同樣操作,以收率4 1 %獲得2,5 -雙(噻吩并 [3,2-b]噻吩-2-基)-3,6-雙[2-(三乙基矽烷基)乙炔基]噻 -92- 201245216 吩并[3,2-b]噻吩(化合物(1-14 ))之黃色結晶。化合物 (1-14)爲對應於表1之m=14之化合物。化合物(1-H )之物性如下。 *H-NMR ( δ , CDC13 ) : 0.78 ( q,12H),1.13 ( t, 18H),7.25 ( d,2H) ,7.41( d, 2H ),7.85 (s,2H) LC-HRMS ( APPI+ ):對 C 3 4 H 3 7 S i 2 S 6 之計算値 6 93.07 5 3 ;實測値 6 9 3.0 7 5 8 實施例 11:2,5-雙(二噻吩并[3,2-13:2’,3’-(1]噻吩-2-基)-3,6-雙[2-(三甲基矽烷基)乙炔基]噻吩并[3,2-b]噻 吩(化合物(1-40))之製造Except that the compound (1 a-1 3 ) was changed to the compound (1 a-1 4 ), the same operation as in Example 4 was carried out to obtain 2,5-bis (thieno[3,2- in a yield of 41%). b]thiophen-2-yl)-3,6-bis[2-(triethyldecyl)ethynyl]thia-92- 201245216 benzo[3,2-b]thiophene (compound (1-14 )) Yellow crystals. The compound (1-14) is a compound corresponding to m = 14 in Table 1. The physical properties of the compound (1-H) are as follows. *H-NMR ( δ , CDC13 ) : 0.78 ( q,12H), 1.13 ( t, 18H), 7.25 ( d,2H) , 7.41 ( d, 2H ), 7.85 (s, 2H) LC-HRMS ( APPI+ ) : Calculation for C 3 4 H 3 7 S i 2 S 6 値 6 93.07 5 3 ; Measured 値 6 9 3.0 7 5 8 Example 11: 2,5-bis (dithieno[3,2-13:2 ',3'-(1)thiophen-2-yl)-3,6-bis[2-(trimethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound (1-40) Manufacturing

除將噻吩并[3,2-b]噻吩變更爲二噻吩并[3,2-b:2’,3’_ d]噻吩以外,餘以與實施例4同樣的操作進行反應。反應 結束後,使反應液冷卻至室溫並經過濾,以水、乙醇、己 烷之順序洗淨濾上物,經乾燥,以收率56%獲得2,5·雙( 二噻吩并[3,2-1?:2’,3’-幻噻吩-2-基)-3,6-雙[2-(三甲基矽 烷基)乙炔基]噻吩并[3,2-b]噻吩(化合物(1-40))之橙 色結晶。化合物(卜4〇)爲對應於表2之m = 40之化合物 。化合物(1 - 4 0 )之物性如下。 -93- 201245216The reaction was carried out in the same manner as in Example 4 except that the thieno[3,2-b]thiophene was changed to dithieno[3,2-b:2',3'-d]thiophene. After completion of the reaction, the reaction solution was cooled to room temperature and filtered, and the filtrate was washed with water, ethanol and hexanes, and dried to obtain 2,5·bis(dithieno[3] in a yield of 56%. , 2-1?: 2',3'-Pyrylthiophen-2-yl)-3,6-bis[2-(trimethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound) Orange crystal of (1-40)). The compound (Bu 4〇) is a compound corresponding to m = 40 of Table 2. The physical properties of the compound (1 - 40) are as follows. -93- 201245216

'H-NMR ( &lt;5 , CDC13 ) : 0.38 ( s,1 8H ),7.3 1 ( d,2H ),7.42 ( d,2H ),7.8 1 ( s,2H ) HRMS ( EI+ ):對 C32H24Si2S8 之計算値 719.9182; 實測値7 1 9.9 1 4 8 實施例 12 : 2,5-雙(二噻吩并[3,2-1?:2,,3,-£1]噻吩-2-基)-3,6-雙[2-(三乙基矽烷基)乙炔基]噻吩并[3,2-b]噻 吩(化合物(1-41))之製造'H-NMR ( &lt;5, CDC13) : 0.38 ( s, 1 8H ), 7.3 1 ( d, 2H ), 7.42 ( d, 2H ), 7.8 1 ( s, 2H ) HRMS ( EI+ ): for C32H24Si2S8 Calculated 値719.9182; 値7 1 9.9 1 4 8 Example 12: 2,5-bis(dithieno[3,2-1?:2,3,-£1]thiophen-2-yl)-3 Manufacture of 6-bis[2-(triethyldecyl)ethynyl]thieno[3,2-b]thiophene (compound (1-41))

除將噻吩并[3,2-b]噻吩變更爲二噻吩并[3,2-b:2,,3’-d]噻吩’且將化合物(la_13)變更爲實施例9中獲得之化 合物(1 a-1 4 ),省略自甲苯之再結晶以外,餘與實施例4 同樣操作,藉此以收率5 2 %獲得2,5 -雙(二噻吩并[3,2-b:2’,3’-d]噻吩-2-基)-3,6-雙[2·(三乙基矽烷基)乙炔基] 嚷吩并[3,2-b]噻吩(化合物(i_41 ))之黃色結晶。化合 物(1-41)爲對應於表2之m = 41之化合物。化合物(ΙΑ1 ) 之物性 如下。 'H-NMR ( δ , CDC13 ) : 0.80 ( q, 12H ) , 1.15 ( t, *8Η) , 7.29 ( d, 2H) , 7.40 ( d, 2H ) , 7.84 ( s, 2H) HRMS ( EI+):對 C38H36Si2S8 之計算値 804.0 1 2 1 ; -94- 201245216 實測値804.0 1 03 實施例13:薄膜及以該薄膜作爲有機半導體層之有機 電晶體之製造 於玻璃基板上,使用剝離製程或微影術,依序蒸鍍鉻 、金,設置源極及汲極電極。此時鉻層之厚度爲5nm,金 層之厚度爲40nm。設置電極後,以丙酮、異丙醇之順序 進行基板之超音波洗淨,乾燥後,以氧電漿進行清潔後, 在8 0°C加熱5分鐘以進行脫水操作。此時之通道寬度爲 2 mm,通道長度爲1 00 μιη。對通道部分進行苯乙基三氯矽 烷處理,對電極部分進行五氟苯硫醇處理後,在氮氣氛圍 下,滴加實施例7製造之化合物(1-15)之0.6重量/體積 %之四氫萘溶液,以旋轉塗佈法形成有機層,接著將含有 氟聚合物之溶液滴加於有機層之上,以旋轉塗佈法形成絕 緣層。此時之化合物(1-15)之膜厚爲25nm,絕緣層之 膜厚爲300nm。於絕緣層上使用遮罩,依序蒸鍍鉻、鋁而 設置閘極電極,製造如圖2所示之有機電晶體。此時鉻層 之厚度爲5nm,銘層之厚度爲200nm» 接著,測定所得有機電晶體裝置之電特性。其結果, 在某閘極電壓(Vg )下,汲極電流(Id )相對於汲極電壓 (Vd)之變化曲線爲良好,在高汲極電壓下具有飽和區域 。另外,增加施加於閘極電極之負的閘極電壓時,由於亦 增加負的汲極電流,故可確認以化合物(1 -1 5 )之薄膜作 爲有機半導體層之有機電晶體爲p型之有機電晶體。再者 -95- 201245216 ,有機電晶體之載體之飽和場效移動度μ係使用表示有機 電晶體之電特性之飽和區域中之汲極電流Id之下式算出In addition to changing the thieno[3,2-b]thiophene to dithieno[3,2-b:2,,3'-d]thiophene' and changing the compound (la-13) to the compound obtained in Example 9 ( 1 a-1 4 ), except that recrystallization from toluene was omitted, the same operation as in Example 4 was carried out, whereby 2,5-bis(dithieno[3,2-b:2' was obtained in a yield of 52%. , 3'-d]thiophen-2-yl)-3,6-bis[2·(triethyldecyl)ethynyl] benzophenan [3,2-b]thiophene (compound (i_41 )) yellow crystallization. The compound (1-41) is a compound corresponding to m = 41 in Table 2. The physical properties of the compound (ΙΑ1) are as follows. 'H-NMR ( δ , CDC13 ) : 0.80 ( q, 12H ) , 1.15 ( t, *8Η) , 7.29 ( d, 2H) , 7.40 ( d, 2H ) , 7.84 ( s, 2H) HRMS ( EI+): Calculation of C38H36Si2S8 値804.0 1 2 1 ; -94- 201245216 Measured 値804.0 1 03 Example 13: Film and organic transistor using the film as an organic semiconductor layer were fabricated on a glass substrate using a lift-off process or lithography The chrome and gold are vapor-deposited in sequence, and the source and drain electrodes are arranged. At this time, the thickness of the chromium layer was 5 nm, and the thickness of the gold layer was 40 nm. After the electrodes were placed, the substrate was ultrasonically washed in the order of acetone and isopropyl alcohol, dried, and then cleaned with oxygen plasma, and then heated at 80 ° C for 5 minutes to carry out a dehydration operation. The channel width is now 2 mm and the channel length is 100 μm. The channel portion was subjected to phenethyltrichloromethane treatment, and after the electrode portion was subjected to pentafluorobenzenethiol treatment, the compound (1-15) produced in Example 7 was added dropwise at 0.6 wt/vol% under a nitrogen atmosphere. The hydrogen naphthalene solution was formed into an organic layer by a spin coating method, and then a solution containing a fluoropolymer was dropped on the organic layer to form an insulating layer by a spin coating method. The film thickness of the compound (1-15) at this time was 25 nm, and the film thickness of the insulating layer was 300 nm. A mask was used on the insulating layer, and chromium and aluminum were sequentially vapor-deposited to form a gate electrode, and an organic transistor as shown in Fig. 2 was fabricated. At this time, the thickness of the chromium layer was 5 nm, and the thickness of the underlayer was 200 nm. Next, the electrical characteristics of the obtained organic crystal device were measured. As a result, at a certain gate voltage (Vg), the curve of the drain current (Id) with respect to the drain voltage (Vd) is good, and the saturation region is high at the high gate voltage. Further, when the negative gate voltage applied to the gate electrode is increased, since the negative drain current is also increased, it is confirmed that the organic transistor having the film of the compound (1 -1 5 ) as the organic semiconductor layer is p-type. Organic transistor. Furthermore, -95-201245216, the saturation field-effect mobility μ of the carrier of the organic transistor is calculated by using the formula of the drain current Id in the saturation region indicating the electrical characteristics of the organic transistor.

Id= ( W/2L ) μΟι ( Vg-V, ) 2 . · · ( a) 此處,L及W分別爲有機電晶體之閘極長度及閘極寬 度,Ci爲閘極絕緣膜每單位面積之電容,Vg爲閘極電壓 ,Vt爲閘極電壓之閾値電壓。使用式(a ),計算以製造 之薄膜作爲有機半導體層之有機電晶體之載體之場效移動 度μ之結果,載體之場效移動度爲〇.〇68cm2/Vs。 [產業上之可能利用性] 本發明係提供一種可獲得有機半導體活性層之新穎化 合物。 【圖式簡單說明】 圖1爲說明本發明之有機電晶體之一樣態之剖面圖。 圖2爲說明本發明之有機電晶體之一樣態之剖面圖。 【主要元件符號說明】 1 1、21 :基板 1 2、2 5 :閘極電極 13、 24 :閘極絕緣膜 14、 22 :源極電極 15、 23 :汲極電極 16、 26 :有機半導體活性層 -96-Id=( W/2L ) μΟι ( Vg-V, ) 2 . · · ( a) Here, L and W are the gate length and gate width of the organic transistor, respectively, and Ci is the gate insulating film per unit area. The capacitance, Vg is the gate voltage, and Vt is the threshold voltage of the gate voltage. Using the formula (a), the field effect mobility μ of the carrier of the organic transistor in which the thin film was formed as the organic semiconductor layer was calculated, and the field effect mobility of the carrier was 〇.〇68 cm 2 /Vs. [Industrial Applicability] The present invention provides a novel compound which can obtain an organic semiconductor active layer. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a cross-sectional view showing the same state of an organic transistor of the present invention. Figure 2 is a cross-sectional view showing the same state of the organic transistor of the present invention. [Description of main component symbols] 1 1, 21: Substrate 1 2, 2 5: Gate electrode 13, 24: Gate insulating film 14, 22: Source electrode 15, 23: Dip electrode 16, 26: Organic semiconductor activity Layer-96-

Claims (1)

201245216 七、申請專利範圍: 1 ·—種化合物’其係以下式(1 )表示:201245216 VII. Scope of application for patents: 1 · a compound ', which is expressed by the following formula (1): [式中,X、Y、W及Z各獨立表示硫原子、氧原子或 硒原子’ η表示〇或1’ P1、p2、Qi及q2各獨立表示以下 式(2 )表示之基: —=R (2) (式中’ R表示氫原子 '可經取代之烷基、可經取代 之芳基或可經取代之矽烷基)、 可經取代之芳香族烴基或可經取代之芳香族雜環基, 其中,P1、P2、Q1及Q2之至少一個基爲以式(2)表示之 基]。 2. 如申請專利範圍第1項之化合物,其中以式(2 ) 表示之基爲以式(3)表示之基: R1 —=-Si—R2 (3) R3 (式中’ R1、R2及R3各獨立表示碳數1〜16之烷基或 碳數6~12之芳基)。 3. 如申請專利範圍第1或2項之化合物,其中Χ、Υ 、…及Ζ均爲硫原子》 4. 如申請專利範圍第1~3項中任一項之化合物,其中 Ρ1及Ρ2爲相同且爲以式(2)表示之基,Q1及Q2爲相同 -97- y 201245216 且爲可經取代基之芳香族烴基或可經取代之芳香族雜環基 〇 5 ·如申請專利範圍第1〜4項中任一項之化合物,其中 η爲0 〇 6. 如申請專利範圍第1〜5項中任一項之化合物,其中 Q1及Q2爲相同且爲噻吩并[3,2-b]噻吩-2·基。 7. —種以下式(lb)表示之化合物之製造方法,[wherein, X, Y, W and Z each independently represent a sulfur atom, an oxygen atom or a selenium atom' η represents 〇 or 1' P1, p2, Qi and q2 each independently represent a group represented by the following formula (2): —= R (2) (wherein R represents a hydrogen atom's substituted alkyl group, a substituted aryl group or a substituted fluorenyl group), a substituted aromatic hydrocarbon group or a substituted aromatic a ring group, wherein at least one of P1, P2, Q1 and Q2 is a group represented by the formula (2). 2. The compound of claim 1 wherein the group represented by formula (2) is a group represented by formula (3): R1 —=—Si—R 2 (3) R3 (wherein R 1 , R 2 and R3 each independently represents an alkyl group having 1 to 16 carbon atoms or an aryl group having 6 to 12 carbon atoms. 3. For the compound of claim 1 or 2, wherein Χ, Υ, ..., and Ζ are all sulfur atoms. 4. The compound of any one of claims 1 to 3, wherein Ρ1 and Ρ2 are The same and the group represented by the formula (2), Q1 and Q2 are the same -97-y 201245216 and are a substitutable aromatic hydrocarbon group or a substituted aromatic heterocyclic group 〇5. The compound of any one of items 1 to 4, wherein η is 0 〇6. The compound of any one of claims 1 to 5, wherein Q1 and Q2 are the same and are thieno[3,2-b Thiophen-2-yl. 7. A method for producing a compound represented by the following formula (lb), (式中,11、评、乂、丫、2、11及(3表示與下述相同之 義),其係包含 在過渡金屬化合物存在下,使含Q之金屬化合物(其 中,Q表示可經取代之芳香族烴基或可經取代之芳香族雜 環基),與以下式(la)表示之化合物反應之步驟:(wherein 11, 11, 乂, 丫, 2, 11 and (3 represents the same meaning as described below), which comprises a metal compound containing Q in the presence of a transition metal compound (where Q represents a step of reacting a substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic group with a compound represented by the following formula (la): (式中,X、Y、W及Z各獨立表示硫原子、氧原子 或硒原子,R表示氫原子、可經取代之烷基、可經取代之 芳基或可經取代之矽烷基,η表示0或Ι,Χ1各獨立表示 鹵素原子)。 8·—種以下式(la)表示之化合物,(wherein X, Y, W and Z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and R represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or a substituted decyl group, η Indicates 0 or Ι, Χ1 each independently represents a halogen atom). 8. A compound represented by the following formula (la), R -98- 201245216 (式中,x、Y、w及z各獨立表示硫原子、氧原子 或硒原子,R表示氫原子、可經取代之烷基、可經取代之 芳基或可經取代之矽烷基’ η表示0或1,X1各獨立表示 鹵素原子)。 9.如申請專利範圍第8項之化合物,其中式(1 a )中 之W、X、γ及Z均爲硫原子。 1 0 ·如申請專利範圍第8或9項之化合物,其中式( 1 a )中之η爲0。 1 1 ·如申請專利範圍第8〜1 0項中任一項之化合物,其 中式(la)中之X1均爲碘原子。 1 2 .如申請專利範圍第8〜1 1項中任一項之化合物,其 中R係以下式表示: R1 —SI—R2 I R3 (式中’ R1、R2及R3各獨立表示碳數卜16之烷基或 碳數6〜12之芳基)^ 13.—種以下式(U)表示之化合物之製造方法,R -98- 201245216 (wherein x, Y, w and z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and R represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or may be substituted The alkyl group 'n represents 0 or 1, and X1 each independently represents a halogen atom). 9. The compound of claim 8, wherein W, X, γ and Z in the formula (1a) are each a sulfur atom. 1 0. A compound according to claim 8 or 9, wherein η in the formula (1a) is 0. A compound according to any one of claims 8 to 10, wherein X1 in the formula (la) is an iodine atom. A compound according to any one of claims 8 to 11, wherein R is represented by the following formula: R1 - SI - R2 I R3 (wherein R1, R2 and R3 each independently represent a carbon number An alkyl group or an aryl group having 6 to 12 carbon atoms). 13. A method for producing a compound represented by the following formula (U), (式中,n、R、W、X、Y&amp; z表示與下述相同之義 ’X1各獨立表示鹵素原子), 該方法包含使以下式(lc)表示之化合物: -99- 201245216(wherein, n, R, W, X, Y &amp; z represent the same meanings as described below. 'X1 each independently represents a halogen atom), and the method comprises a compound represented by the following formula (lc): -99- 201245216 (式中,W、X、Y及Z各獨立表示硫原子、氧原子 或硒原子,R表示氫原子、可經取代之烷基、可經取代之 芳基或可經取代之矽烷基,η表示0或1)與烷基鋰反應 之步驟,以及使前述步驟所得之反應產物與包含X1作爲 鹵素原子之鹵化劑反應之步驟。 14. 一種以下式(lc)表示之化合物,(wherein, W, X, Y and Z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and R represents a hydrogen atom, a substituted alkyl group, a substituted aryl group or a substituted decyl group, η A step of reacting 0 or 1) with an alkyllithium, and a step of reacting the reaction product obtained in the foregoing step with a halogenating agent containing X1 as a halogen atom. 14. A compound represented by the following formula (lc), (式中,W、X、Y及Z各獨立表示硫原子' 氧原子 或硒原子,R表示可經取代之矽烷基,η表示0或1)。 1 5 ·如申請專利範圍第1 4項之化合物,其中式(丨c ) 中之W、X、Y及Z均爲硫原子。 1 6 ·如申請專利範圍第1 4或1 5項之化合物,其中式 (1 c )中之η爲0。 17· —種以下式(U)表示之化合物之製造方法,(wherein, W, X, Y and Z each independently represent a sulfur atom 'an oxygen atom or a selenium atom, R represents a substituted alkylene group, and η represents 0 or 1). 1 5 · A compound of claim 14 wherein all of W, X, Y and Z in the formula (丨c) are sulfur atoms. 1 6 · A compound according to claim 14 or 15 wherein η in the formula (1 c ) is 0. 17. A method for producing a compound represented by the following formula (U), (式中,n、W、X、Y、Z及R表示與下述相同之義 ),該方法包含 在過渡金屬化合物、鹵化銅及有機鹼存在下,使以下 式(Id)表示之化合物, -100- 201245216(wherein n, W, X, Y, Z and R have the same meanings as defined below), and the method comprises a compound represented by the following formula (Id) in the presence of a transition metal compound, a copper halide and an organic base, -100- 201245216 X2 (1d) (式中,X、Y、W及Z各獨立表示硫原子' 氧原子 或硒原子,η表示〇或ι,χ2各獨立表示鹵素原子),與 以下式(5)表示之化合物反應之步驟: Η-ΞΖ R (5) (式中’R表示可經取代之矽烷基)。 18.—種以下式(id)表示之化合物, X. W. X2 X2^W (1d) (式中,X、Y、W及Z各獨立表示硫原子、氧原子 或硒原子,η表示0或1,χ2表示碘原子)。 1 9. 一種組成物,其係含有以下式(1 )表示之化合物 及有機溶劑: pa^Z^Y^Q1 (式中,X'Y、W及Z各獨立表示硫原子、氧原子 或硒原子,η表示0或1, P1、P2、Q1及Q2各獨立表示以下式(2)表示之基: —=-R (2) (式中,R表示氫原子、可經取代之院基、可經取代 之芳基或可經取代之矽烷基)、 可經取代之芳香族烴基或可經取代之芳香族雜環甚 -101 - 进’ 201245216 其中,P1、P2、Q1及Q2之至少一個基爲以式(2)表示之 基)。 20· —種薄膜之製造方法,其包含將如申請專利範圍 第1 9項之組成物塗佈於基板上之步驟,與使塗佈於基板 上之塗佈膜乾燥之步驟。 2 1 . —種薄膜,其含有如申請專利範圍第1〜6項中任 一項之以式(1 )表示之化合物。 2 2 .—種薄膜,其係由如申請專利範圍第1 ~6項中任 一項之以式(1 )表示之化合物所組成。 23.—種有機半導體裝置,其含有如申請專利範圍第 21或22項之薄膜。 2 4·—種有機電晶體,其含有如申請專利範圍第21或 22項之薄膜。 -102-X2 (1d) (wherein, X, Y, W and Z each independently represent a sulfur atom 'an oxygen atom or a selenium atom, η represents 〇 or ι, χ 2 each independently represents a halogen atom), and a compound represented by the following formula (5) Step of the reaction: Η-ΞΖ R (5) (wherein 'R represents a decyl group which may be substituted). 18. A compound represented by the following formula (id), XW X2 X2^W (1d) (wherein, X, Y, W and Z each independently represent a sulfur atom, an oxygen atom or a selenium atom, and η represents 0 or 1, Χ2 represents an iodine atom). 1 9. A composition comprising a compound represented by the following formula (1) and an organic solvent: pa^Z^Y^Q1 (wherein, X'Y, W and Z each independently represent a sulfur atom, an oxygen atom or a selenium An atom, η represents 0 or 1, and P1, P2, Q1 and Q2 each independently represent a group represented by the following formula (2): —=-R (2) (wherein R represents a hydrogen atom, a substituted base, a substituted aryl group or a substituted fluorenyl group), a substituted aromatic hydrocarbon group or a substituted aromatic heterocyclic ring-101-in '201245216 wherein at least one of P1, P2, Q1 and Q2 The base is the base represented by the formula (2). A method for producing a film comprising the steps of applying a composition as disclosed in claim 19 to a substrate, and drying the coating film applied to the substrate. A film comprising a compound represented by formula (1) according to any one of claims 1 to 6 of the patent application. A film comprising a compound represented by the formula (1) according to any one of claims 1 to 6 of the patent application. 23. An organic semiconductor device comprising the film of claim 21 or 22 of the patent application. An organic transistor comprising a film as in claim 21 or 22 of the patent application. -102-
TW101104201A 2011-02-15 2012-02-09 Compound for organic transistor TW201245216A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011029467A JP2012167057A (en) 2011-02-15 2011-02-15 Compound, method for producing the same, thin film comprising the compound, and organic transistor comprising the thin film

Publications (1)

Publication Number Publication Date
TW201245216A true TW201245216A (en) 2012-11-16

Family

ID=46672459

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101104201A TW201245216A (en) 2011-02-15 2012-02-09 Compound for organic transistor

Country Status (3)

Country Link
JP (1) JP2012167057A (en)
TW (1) TW201245216A (en)
WO (1) WO2012111533A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110183622A (en) * 2019-05-27 2019-08-30 西北师范大学 A kind of conjugation organo-boron polymer and preparation method thereof containing thiophene

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE303389T1 (en) * 2001-07-09 2005-09-15 Merck Patent Gmbh THIENOTHIOPHENE DERIVATIVES
EP1510535B1 (en) * 2003-08-28 2008-11-05 MERCK PATENT GmbH Mono-, Oligo- and Polythieno(2,3-b)thiophenes
US7838623B2 (en) * 2004-09-14 2010-11-23 Corning Incorporated Fused thiophenes, methods for making fused thiophenes, and uses thereof
JP2006114581A (en) * 2004-10-13 2006-04-27 Japan Science & Technology Agency Organic field effect transistor and manufacturing method therefor
JP2006232898A (en) * 2005-02-23 2006-09-07 Ricoh Co Ltd Electroconductive polymer material, field-effect transistor using the same and method for producing the same
KR20100017463A (en) * 2007-04-28 2010-02-16 메르크 파텐트 게엠베하 Organic semiconductors
JP5228411B2 (en) * 2007-09-05 2013-07-03 Jnc株式会社 [1] Benzochalcogeno [3,2-b] [1] Compound having benzochalcogenophene skeleton and organic transistor using the same
CN101353352B (en) * 2008-09-11 2010-12-01 中国科学院化学研究所 Hexa-thiophen and derivatives thereof, preparation and use thereof

Also Published As

Publication number Publication date
WO2012111533A1 (en) 2012-08-23
JP2012167057A (en) 2012-09-06

Similar Documents

Publication Publication Date Title
He et al. Asymmetric conjugated molecules based on [1] benzothieno [3, 2-b][1] benzothiophene for high-mobility organic thin-film transistors: influence of alkyl chain length
Li et al. Stable solution-processed high-mobility substituted pentacene semiconductors
KR101556095B1 (en) Novel heterocyclic compound and use thereof
JP5728990B2 (en) Dichalcogenobenzodipyrrole compound, method for producing the compound, thin film containing the compound, and organic semiconductor device containing the thin film
KR20110065511A (en) Novel compound and organic semiconductor material
WO2011004869A1 (en) Substituted benzochalcogenoacene compound, thin film comprising the compound, and organic semiconductor device including the thin film
JP6047261B2 (en) Compound, organic semiconductor material containing the same, organic semiconductor ink, and organic transistor
TW201341375A (en) Organic compound containing chalcogen and method for manufacturing the same, organic semiconductor material, organic semiconductor film and organic field effect transistor
TW201829410A (en) Organic thin-film transistor, organic semiconductor film, compound, organic-thin-film-transistor composition, and organic-thin-film-transistor manufacturing method
WO2011108765A1 (en) Polycyclic compound
TWI722007B (en) Organic compound, its manufacturing method, organic semiconductor material containing it, and organic transistor containing it
TWI773664B (en) Aromatic amine derivatives and their utilization
KR102539063B1 (en) Naphthoindacenodithiophenes and polymers
JP6079259B2 (en) Organic semiconductor layer and organic thin film transistor
JP2013220996A (en) Dithienobenzodithiophene derivative, solution for drop-casting film production, and organic semiconductor layer
JP6274529B2 (en) ORGANIC SEMICONDUCTOR DEVICE AND ITS MANUFACTURING METHOD, ORGANIC SEMICONDUCTOR FILM FORMING COMPOSITION, AND ORGANIC SEMICONDUCTOR FILM MANUFACTURING METHOD
JP2012111748A (en) Substituted chalcogeno-acene compound and organic semiconductor device containing the same
TW201245216A (en) Compound for organic transistor
TW201238971A (en) Dinaphtho[2,3-a:2&#39;,3&#39;-h]phenazines and their use as organic semiconductors
JP2012206989A (en) Soluble heteroacene compound, thin film containing the same, and organic transistor
JP6656506B2 (en) Benzothienobenzothiophene derivative, organic semiconductor material, and organic transistor
JP6252032B2 (en) Benzodifuran derivatives and organic thin film transistors
JP2012184196A (en) Pyrrole-containing heteroacene compound, method for producing the same, thin film containing the same, and organic semiconductor device including the thin film
TW202102491A (en) Compound and organic semiconductor material containing said compound
TWI706946B (en) Carbazole-substituted triazine compounds and organic electroluminescent devices using the same