TW201212124A - Plasma etching method of copper process - Google Patents

Plasma etching method of copper process Download PDF

Info

Publication number
TW201212124A
TW201212124A TW100100571A TW100100571A TW201212124A TW 201212124 A TW201212124 A TW 201212124A TW 100100571 A TW100100571 A TW 100100571A TW 100100571 A TW100100571 A TW 100100571A TW 201212124 A TW201212124 A TW 201212124A
Authority
TW
Taiwan
Prior art keywords
gas
copper
layer
etching
flow rate
Prior art date
Application number
TW100100571A
Other languages
Chinese (zh)
Other versions
TWI425573B (en
Inventor
zhao-xiang Wang
Zhi-Qiang Liu
zhi-lin Huang
Original Assignee
Advanced Micro Fab Equip Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fab Equip Inc filed Critical Advanced Micro Fab Equip Inc
Publication of TW201212124A publication Critical patent/TW201212124A/en
Application granted granted Critical
Publication of TWI425573B publication Critical patent/TWI425573B/zh

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A plasmaetching method of copper process solves the problem of etching rate deviation resulting from exposing the sputtered copper atoms in the reaction chamber during the etching process. In the process of etching a silicon-contained insulation film until exposing the bottom copper layer, the etching method of this invention retains the original etching gas flow while increasing the flow of oxygen-contained gas during the etching process. After increasing the mixing ratio of oxygen and etching gas, the problem of etching speed deviation due to the copper exposure inside the reaction chamber can be inhibited for achieving long-term, uniform, and stable processing effect.

Description

201212124 :、發明說明: 【發明所屬之技術領域】 =發明涉及半導體科的製造領域,尤 程的等離子刻敍方法。 剩製 【先前技術】 腐工藝是指在製造半導體器件過程中採用化學溶液或 的部分等::體除去晶圓内或晶圓表面膜層中不需要 =二用體或等離子體進行刻_方法為乾法刻 越廣泛=使^ 频得更精細的乾法刻鱗到越來 中’㈣_化學反應進行麵·職,即 離=覆ίΓ:也可以被刻㈣反,乾法_反: ,其中,用例如等離子態的鹵素的腐紐化學氣體 =荨離子態離子進行·。因此,乾法職可 圓^垂直方向進行刻_各向異性職,所以,乾法= 二 =度的精細工藝’例如’適用於甚大型積體電 離子處理裝置包含導人處理氣體的反應腔室, 一抑:腔至内配置有由—對上部電極和下部電極組成的平 電極也稱電容耗合型反應腔⑽)。在將處理氣體ί 入反應腔室内的同時,在上τ部電極間施加高頻輕,在電 離:成=,在高頻電場的作用下形成處理氣體的等 2 處理裝置也可以是電感轉合型的⑽),射 頻電源把加到電感線圈,後圈中的雷斑 生等域散到反應腔令產 等離子體纽置加工晶圓的下電極上通常會施加1将 3 201212124 頻的射頻電壓,通過調節該低頻射頻源可以調節下電極上晶 圓上表面的鞘層(sheath)的厚度從而調節等離子體中的^ 電粒子的能量,使帶·子垂直人射到晶社,從而使 離子刻韻具有方向性。 如圖la所示’在銅製程乾法刻財下層的導體銅被上声 的停止層和絕緣層覆蓋,絕緣層可以是_或⑽層^ =膠形成絕緣層上_形,然後再彻聰氣體向下義 直到如圖lb所示露出下面的銅。其中職氣體通常包括氣碳 =合物來職絕緣層,也通入少量地氧氣以控制側壁的形狀 速度。但是在刻蝕進行到最後階段,停止 銅路出表面時,垂直入射的離子會對 被減射出來。部分錢射屮央的如μα $銅原子會 上電極料原子會到達反應腔側壁或者 緣層的反應表面麵鱗體刻蝕絕 可以起催化劑的作用,改變·的速 ==有銅存在反應腔内時,同樣參數 i= 速率會比沒有鋼時的高。相反為 msi〇2的 刻钮速率降低。由轉一 S1N時有鋼的存在會使 來所以銅原子的數量當中的銅都會被機射出 刻料率會隨這就造成了 性就無法保證。 累4移越來越大。刻钱加工的-致 所以業界需要—個全面有 的離子職中的職速率偏=法犧銅造成 致的反應速率。 在長d的刻蝕過程t保持一 【發明内容】 法,銅製程中的等離子_ 抑軸過財濺射到反應腔内⑸ 4 201212124 =銅顆粒對反應速率造成的影響1解決上述問題,本發明 ^:種等離子刻财法,包括:放置基片到等離子反應腔 二座,其中基片上包括含銅材料層和覆蓋在含銅材料層上 夕絕緣層’切絕緣層上覆騎_化的_層;通過 供乳震置向反應腔供制純體和氧化織體並對含魏緣 =行判斷含銅材料層是否已經暴露,在含銅材料層 ,路時停止韻;其特徵在於顧形化掩膜歧有機材料製 t (當刻純體是CF4時)氧化性氣體的氣體流量大於刻韻 亂體流量的1/3。其中有機材料製成的掩膜層可以是光刻膠, 氧^氣體選自02 ’ C02,_,〇3之一或者其混合物,職 耽體選自氟碳化合物。當氧化性氣體為〇2時,〇2氣體流量小 氣體流量的1/2以保證職速率。當氧化性氣體是⑽, ⑽讀流量小於刻職體流量的3/4以保證職速率。當刻 I虫氣體是C4F8時,氧化性氣體流量大於刻佩體流量的i倍 小於刻蝕氣體流量的2. 5倍。 其中該圖形化掩膜層也可以是無機材科製成,氧化性氣 體的氣體流量大於刻佩體流量的1/6,小於刻織體流 1/4。 與現有技術概,本發有以下優點··通過改變職 氣體和側魏護氣_供氣方式實·個晶誠面具有不同 的刻姓氣體和側壁保護其他的混合比,最終抵;肖其他因素造 成的刻蝕不均一效果實現均一的刻蝕效果。 【實施方式】 現有技術中在有銅賤射情況下刻辦發 移’本發狀通細工藝的優化,從而抑細射銅對Ϊ 產工藝的縛。發明人經過研究發現繼銅在存在有大量氧m 5 201212124 化性氣體的氛圍中時,銅對刻姓反應的催化作用不明顯,這 樣就能抑制銅暴露在反應腔時對刻蝕反應速率造成的偏移, 反應腔腔體狀態的復原速度也大大加快。 本發明在_過程中除了通人刻贼體如氣碳化合物外 還通入大量的氧化性的氣體,該氧化性氣體可以是〇2、⑽、 03或者其他具有氧化功能的氣體。與現有技術中氧化性 氣體通入量只有(H,相比本發明為了達到抑制銅的催 化作用,目的,選擇了遠大於傳統需求量的氧化氣體通入量。 氧氣通入量的選擇受到很多因素的影響: 刻钱氣體的不同造朗献應的财略有不㈤ 到本發明目的氧氣通入量會有不同; 含氧氣體成分不同也會造成含氧氣體通人量不同,比如 03的通入量就可以少於02 ; =的不同階段對含氧氣體的需求量不同,在雜如圖! 切、氮切、停止層時由於職深度不同,職 的材料不同所以氧化氣體的f求量也不同· 不同也會影響氧化性氣體的需求量,比如採用 1二1示:光刻膠作為掩膜時,由於光刻膠屬於有機物 多的i氣流ΓΓ2。,所以為了達到本發明的目的就需要更 下面同的因素均對反應腔中的氧氣需求量造成影響, 韻氣目丨触型情況來顯示本發明的一個實施例。當刻 触虱體選則CF4,氧化#齑興、强a λ 的治旦L發見田CF4通入置仍然是500謂時,02/CF4201212124 :, invention description: [Technical field to which the invention pertains] = The invention relates to the field of semiconductor manufacturing, and the plasma engraving method. Remaining [Prior Art] The rot process refers to the use of a chemical solution or a part in the process of manufacturing a semiconductor device: the body is removed from the wafer or the surface layer of the wafer is not required to be used for etching or plasma. For the dry method, the more extensively, the more frequently the dry-grained scales are made to the end of the '(4) _ chemical reaction to carry out the face and position, that is, from = Γ Γ: can also be engraved (four), dry _ counter: In which, for example, a ruthenium chemical gas of a halogen state of the plasma is used. Therefore, the dry duty can be rounded and the vertical direction is performed. Therefore, the dry process = two degrees of fine process 'for example' is applicable to a very large integrated body ion treatment device containing a reaction chamber that introduces a process gas. Room, one: the cavity is internally arranged with a flat electrode composed of an upper electrode and a lower electrode, which is also called a capacitance-consuming reaction chamber (10). While the processing gas is injected into the reaction chamber, a high-frequency light is applied between the upper τ electrodes, and the processing device that forms the processing gas under the action of the high-frequency electric field can also be an inductor fusion. Type (10)), the RF power supply is applied to the inductor coil, and the lightning ray in the rear ring is dispersed into the reaction chamber. The lower electrode of the plasma processing wafer is usually applied with 1 to 3 RF voltage of 201212124 frequency. By adjusting the low-frequency RF source, the thickness of the sheath on the upper surface of the wafer on the lower electrode can be adjusted to adjust the energy of the electro-plasma in the plasma, so that the beam is vertically incident on the crystal society, thereby making the ion The engraving has a directional character. As shown in Figure la, the conductor copper in the lower layer of the copper process is covered by the stop layer and the insulating layer. The insulating layer can be _ or (10) layer ^ = glue forming the insulating layer on the _ shape, and then the Cong Cong gas direction The next meaning is until the copper below is exposed as shown in Figure lb. The gas in it usually includes a gas-carbon = compound insulation layer, and a small amount of oxygen is also introduced to control the shape speed of the side wall. However, when the etching is carried out to the final stage, when the copper exit surface is stopped, the normally incident ions are decomposed. Part of the money, such as μα $ copper atom, will reach the side wall of the reaction chamber or the reaction surface of the edge layer. The scale etching can act as a catalyst, changing the speed == there is copper in the reaction chamber For the same time, the same parameter i= rate will be higher than when there is no steel. Conversely, the button rate of msi〇2 is reduced. The presence of steel from the turn of S1N will cause the copper in the amount of copper atoms to be emitted by the machine. The rate of engraving will be irrelevant. Tired 4 shifts are getting bigger and bigger. Engraved money processing - so the industry needs - a comprehensive ionic job in the job rate = the rate of reaction caused by copper. In the etching process of the long d, the method of the invention is maintained. The plasma in the copper process is sputtered into the reaction chamber (5) 4 201212124 = the effect of copper particles on the reaction rate 1 solve the above problem, Invention: The plasma engraving method comprises: placing a substrate to two chambers of a plasma reaction chamber, wherein the substrate comprises a layer of copper-containing material and covering the layer of the copper-containing material, and the insulating layer of the insulating layer is covered by the insulating layer. _ layer; through the supply of milk to the reaction chamber to supply pure body and oxidized texture and contain the Wei edge = line to determine whether the copper-containing material layer has been exposed, in the copper-containing material layer, the road stops the rhyme; The gas flow rate of the oxidizing gas is greater than 1/3 of the flow rate of the engraved body when the masking organic material is made of t (when the pure body is CF4). The mask layer made of an organic material may be a photoresist, and the oxygen gas is selected from one of 02' C02, _, 〇3 or a mixture thereof, and the steroid is selected from the group consisting of fluorocarbons. When the oxidizing gas is 〇2, the 〇2 gas flow rate is 1/2 of the gas flow rate to ensure the service rate. When the oxidizing gas is (10), (10) the read flow is less than 3/4 of the body flow rate to ensure the service rate. 5倍。 When I, the oxidizing gas flow rate is greater than 2 times the flow rate of the etching gas is less than 2.5 times the flow rate of the etching gas. The patterned mask layer may also be made of an inorganic material, and the gas flow rate of the oxidizing gas is greater than 1/6 of the flow rate of the engraved body and less than 1/4 of the flow of the woven fabric. Compared with the prior art, the present invention has the following advantages: · By changing the occupational gas and the side Wei gas _ the gas supply method, the real crystal surface has different engraving gas and the side wall protection other mixing ratio, and finally arrives; Xiao other The uneven etching effect caused by the factors achieves a uniform etching effect. [Embodiment] In the prior art, in the case of copper smashing, the optimization of the priming process is performed, thereby suppressing the binding of the fine copper to the smelting process. The inventors have found through research that copper has a catalytic effect on the reaction of the engraved name in the presence of a large amount of oxygen m 5 201212124 gas, which can inhibit the rate of etching reaction when copper is exposed to the reaction chamber. The offset, the recovery rate of the reaction chamber state is also greatly accelerated. In the process of the invention, in addition to the thief body, such as a gas-carbon compound, a large amount of oxidizing gas is introduced, and the oxidizing gas may be 〇2, (10), 03 or other gas having an oxidizing function. Compared with the prior art, the amount of oxidizing gas is only (H, compared with the purpose of the present invention in order to achieve the catalysis of inhibiting copper, the purpose is to select an amount of oxidizing gas that is much larger than the conventional demand. The choice of oxygen intake is subject to many The influence of factors: The different financial resources of the engraved gas are not (5) The amount of oxygen introduced into the object of the present invention will be different; the different oxygen-containing gas components will also cause different oxygen-containing gas, such as 03 The amount of access can be less than 02; the demand for oxygen-containing gas is different at different stages of =, in the case of miscellaneous! Cut, nitrogen cut, stop layer, due to different job depths, different materials, so the oxidized gas f The amount is also different. The difference also affects the demand for oxidizing gas. For example, when the photoresist is used as a mask, since the photoresist belongs to the organic gas stream ΓΓ2, in order to achieve the object of the present invention. It is necessary to have the same factors to affect the oxygen demand in the reaction chamber, and to show an embodiment of the present invention when the touch is selected. When the contact with the body is selected, CF4, oxidation #齑兴, Strong a λ of the Judan L issued to see the CF4 pass is still 500, 02/CF4

時3:10時’也就是㈣量大於15〇sccm 、“達物娜卩細她咖的效果。在鍾胞,[S 6 201212124 60MHZ高頻射頻電源為1500W,2MHZ低頻射頻電源為18〇〇w, 刻蝕氣體CF4通入500sccm的運行情況下進行不同含氧氣體 流量刻蝕效果的測試’具體效果請參考圖2。圖2中分別依次 ,示了在不同氧紐量下,在有銅暴露於反應軸和無鋼暴 路在反應腔内時的刻蝕速率。從圖中可以看到在氧氣流量大 於等於150sccm時即使是在有銅暴露的情況下也與無銅暴露 情況下的刻敍速率接近,也就是當〇2流量大於等於15〇_ 時銅的催化作職有__ 了。但是當魏通人量過大比 如大於250_時,02限制了職的進行,整體的職速率 會下降’造成生產效率降低。在對生產效率要雜高的場合 就要求氧氣的通入量要小於25G_,也就是斷F4的流量At 3:10 pm, that is, (four) the amount is greater than 15 〇 sccm, "Da Na Na 卩 fine her coffee effect. In the clock, [S 6 201212124 60MHZ high frequency RF power supply is 1500W, 2MHZ low frequency RF power supply is 18〇〇 w, the etching gas CF4 is passed into the operation of 500sccm to test the effect of different oxygen-containing gas flow etching. For the specific effect, please refer to Figure 2. In Figure 2, respectively, in the case of different oxygen levels, there is copper. The etch rate when exposed to the reaction axis and no steel blast in the reaction chamber. It can be seen from the figure that when the oxygen flow rate is greater than or equal to 150 sccm, even in the case of copper exposure, there is no exposure to copper. The rate is close, that is, when the 〇2 flow rate is greater than or equal to 15〇_, the catalytic work of copper is __. However, when Weitong is too large, such as more than 250_, 02 limits the job, the overall job rate. Will drop 'cause production efficiency to decrease. In the case of high production efficiency, the oxygen supply rate is required to be less than 25G_, that is, the flow rate of F4

=,在<1/2。所以抑制銅的催化作用的同時要保證最 刻蝕率的情況下02/CF4的流量比選擇1/M 其他材料如 材料。/ ”Sl02或SlN具有足夠的刻姓選擇比的 =情況相同,選擇c〇2作為氧化性氣體,⑽仍作 術1/3〜3/4_·的流量: 膜層材料料掩歸,也就是掩 得最佳的效果/、〜1/4的Q2/GF4賊量比會取 性氣==H45F8,_侧作為氧化 取得最麵效果。如果不ϋ的G2/⑽··量比會 與氧反應的材料作為掩膜二,f刻膠作為掩膜而用其他不會 為掩膜的活2/5〜2/3的02/C4F8的流量比⑸ 7 201212124 會取得最佳的效果。 本發明雖然以較佳實施例公開如上,但其並不是用來限 定本發明,任何本領域技術人員在不脫離本發明的精神和範 圍内,,都可以做出可能的變動和修改,因此本發明的保 圍應當以本發明權利要求所界定的範圍為准。 【圖式簡單說明】 圖la疋本發鶴㈣的崎錄難開赠 構; 。 圖lb疋本發明應用到的銅製程乾触完紐的材料結 構, 圖2為採用本發明的刻餘方法與採用現有技術_ 的刻餘速率偏移效果的對比示意圖。 ’ 【主要元件符號說明】 [s] 8=, at <1/2. Therefore, the catalytic effect of copper is suppressed while ensuring the optimum etching rate of the 02/CF4 flow ratio of 1/M other materials such as materials. / "Sl02 or SlN has enough engravings to choose the ratio = the same situation, choose c〇2 as the oxidizing gas, (10) still do the flow of 1/3~3/4_·: the film material is masked, that is Cover the best effect /, ~ 1/4 of the Q2 / GF4 thief volume ratio will take the gas == H45F8, _ side as the oxidation to get the most surface effect. If not the G2 / (10) · · ratio will be with oxygen The reaction material is used as a mask 2, f is used as a mask, and other flow ratios of 2/2 to 2/3 02/C4F8 which are not masks are used (5) 7 201212124 to obtain the best effect. Although the invention is disclosed in the preferred embodiments, it is not intended to limit the invention, and any person skilled in the art can make possible variations and modifications without departing from the spirit and scope of the invention. The enclosure should be based on the scope defined by the claims of the present invention. [Simple description of the diagram] Figure la 疋 发 发 ( 四 四 四 四 四 四 四 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋 疋The material structure of the button, FIG. 2 is the offset effect of the residual method using the prior art method of the present invention. Comparison of Fig. 'Main reference numerals DESCRIPTION [s] 8

Claims (1)

201212124 七、申請專利範圍: 1、一種銅製程等離子刻蝕方法,包括: 放置基片’離子反應腔的基座,其巾基片上包括含銅 材,層和覆蓋在含銅材料層上的含石夕絕緣層,含石夕絕緣層上 覆蓋有圖形化的掩膜層; 通過供氣裝置向反應腔供應麻氣體和氧化性氣體並對 含矽絕緣層進行刻蝕;201212124 VII. Patent application scope: 1. A copper process plasma etching method, comprising: placing a base of a substrate 'ion reaction chamber, the towel substrate comprising a copper-containing material, a layer and a layer covering the copper-containing material layer The shixi insulation layer is covered with a patterned mask layer on the shixi insulation layer; the hemp gas and the oxidizing gas are supplied to the reaction chamber through the gas supply device, and the ruthenium-containing insulation layer is etched; 1貝/則到3鋼材料層暴露時停止刻餘 氣體流量大於刻蝕氣體流量的3/1〇。 、2、如中請專利範圍第i項所述的鋼製程等離子刻钱方 法、,其中’所述氧化性氣體選自〇2,c〇2,_,〇3之一或者 其混合物’刻蝕氣體選自CF4。 3、 如申請專利細第丨項所述的崎轉離子刻財 法,其中,所述圖形化掩膜層是有機材料製成。 4、 如申請專利細第2項所述的鋼製程等離子刻財 法’其中,所述氧化性氣體為〇2,〇2其氣齅法旦 體流量的1/2。 -赠⑽里小於刻聽 5、 如_請專利範圍第2項所述的銅製程等離子刻财 =中,所述氧化性氣體是⑽,⑽其氣 氣體流量的3/4。 里』π%饿 6、 一種銅製程等離子刻蝕方法,包括: 放置基片到等離子反應腔的基座,其中美 =和覆蓋在含銅材料層上的她緣層,含‘二 覆盍有圖形化的掩膜層; 曰 含發紐絲祕㈣和祕喊體並對5 9 201212124 判斷含銅材料是聽露,當含靖料層暴料停止刻敍. 其中該_化掩膜層是無機材料製成,氧化體, 體流量大於刻蝕氣體流量的1/6。 、、軋 7、 如申請專利範圍第6項所述的銅製程等離子刻 ^’。其中’所魏錄氣體軌體流量小於舰氣體流量的 8、 如申請專利範圍第6項所述的刻敍方法, =綱自02,C02,_,〇3之一或者其混:物,刻: 氣體疋氟碳化合物。 9、 一種銅製程等離子刻蝕方法,包括: ㈣Ϊ置基片到等離子反應腔的基座,其中基片上包括含銅 和覆蓋在含銅材料層上的含賴緣層,含魏 覆盍有圖形化的掩膜層; 曰工 通過1 氣裝置向反編空供應刻餘氣體⑽和氧化 並對含矽絕緣層進行刻蝕; 、體 氣體鋼材料層暴露時停止職,其中氧化性氣體的 乳體流1大於職氣體流量的丨倍小於2. 5倍。 10、如申請專利範圍第9項所述的銅製程等離子職方 的’其中,所述氧化性氣體是02,02其流量大於 的1倍小於2倍。 、11如申吻專利範圍第9項所述的銅製程等離子刻钱方 ,所述氧化性氣體是C02,⑽其流量大於c流 置的1.5倍小於2.5倍。 [S] 101 lb / then to 3 steel material layer when exposed to stop the residual gas flow rate is greater than 3 / 1 刻蚀 of the etching gas flow. 2. The steel process plasma engraving method according to item i of the patent scope, wherein the oxidizing gas is selected from the group consisting of 〇2, c〇2, _, 〇3 or a mixture thereof etched The gas is selected from CF4. 3. The method of claim 1, wherein the patterned mask layer is made of an organic material. 4. The steel process plasma engraving method as described in claim 2, wherein the oxidizing gas is 〇2, and 〇2 is 1/2 of the gas enthalpy flow rate. - The gift (10) is less than the inscription 5. In the case of the copper process plasma engraving as described in item 2 of the patent scope, the oxidizing gas is (10), and (10) is 3/4 of the gas gas flow rate. π% Hungry 6, a copper process plasma etching method, comprising: placing a substrate to the base of the plasma reaction chamber, wherein the US = and the edge layer covering the copper-containing material layer, including 'two overlays Graphical mask layer; 曰 contains hairline secret (4) and secret shouting body and judges that the copper-containing material is 5 9 201212124, when the material containing the Jing material layer ceases to be described. The _ mask layer is Made of inorganic material, the oxide body has a bulk flow greater than 1/6 of the flow rate of the etching gas. 7. Rolling 7. The copper process plasma engraving as described in item 6 of the patent application. Wherein, the flow rate of the gas track body of the Weibo is less than that of the ship gas flow. 8. The method described in item 6 of the patent application scope, = one from 02, C02, _, 〇3 or its mixed material : Gas 疋 fluorocarbons. 9. A copper process plasma etching method comprising: (4) placing a substrate to a pedestal of a plasma reaction chamber, wherein the substrate comprises a copper-containing layer and a germanium-containing layer overlying the copper-containing material layer, The mask layer is provided by the 1-air device to supply the residual gas (10) and oxidize the ruthenium-containing insulating layer through the 1-gas device; and the body gas steel material layer is stopped when exposed, wherein the oxidizing gas is milk 5倍。 The body flow 1 is greater than 2. 5 times. 10. The copper process plasma work unit of claim 9, wherein the oxidizing gas is 02, 02, and the flow rate thereof is more than one time less than 2 times. 11. The copper process plasma engraving according to claim 9 of the patent application scope, wherein the oxidizing gas is C02, and (10) the flow rate is greater than 1.5 times and less than 2.5 times of the c flow. [S] 10
TW100100571A 2010-09-14 2011-01-07 Plasma etching method of copper process TW201212124A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201010280097.8A CN102403219B (en) 2010-09-14 2010-09-14 A kind of copper wiring plasma etching method

Publications (2)

Publication Number Publication Date
TW201212124A true TW201212124A (en) 2012-03-16
TWI425573B TWI425573B (en) 2014-02-01

Family

ID=45885299

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100100571A TW201212124A (en) 2010-09-14 2011-01-07 Plasma etching method of copper process

Country Status (2)

Country Link
CN (1) CN102403219B (en)
TW (1) TW201212124A (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4270632B2 (en) * 1999-03-12 2009-06-03 株式会社東芝 Manufacturing method of semiconductor device using dry etching
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
JP4540961B2 (en) * 2003-10-10 2010-09-08 Azエレクトロニックマテリアルズ株式会社 Etching stopper layer forming composition
US20060286792A1 (en) * 2005-06-20 2006-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
JP4827081B2 (en) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium
WO2007132879A1 (en) * 2006-05-17 2007-11-22 Nec Corporation Semiconductor device, semiconductor device manufacturing method and semiconductor manufacturing apparatus
TW200842971A (en) * 2007-04-18 2008-11-01 Philtech Inc Semiconductor device, its manufacturing method, dry etching method, method for manufacturing wiring material and dry etching device

Also Published As

Publication number Publication date
CN102403219A (en) 2012-04-04
CN102403219B (en) 2015-10-07
TWI425573B (en) 2014-02-01

Similar Documents

Publication Publication Date Title
TWI508164B (en) Manufacturing method of semiconductor device
Economou Pulsed plasma etching for semiconductor manufacturing
TWI400749B (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
TWI284372B (en) Method for bilayer resist plasma etch
TW201428848A (en) Dry etch process
TW201201275A (en) Plasma etching method, semiconductor device manufacturing method, and plasma etching apparatus
TW201104742A (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
TW200818306A (en) Etch method in the manufacture of an integrated circuit
KR102192281B1 (en) Method for high aspect ratio photoresist removal in pure reducing plasma
TW201322332A (en) Selective etch of silicon by way of metastable hydrogen termination
JP2006501634A5 (en)
TW201334059A (en) Method for dry etching metal film
TW200931518A (en) Pulsed bias plasma process to control microloading
TW201138558A (en) Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
TW201216362A (en) Etching method and apparatus
CN109219866A (en) Engraving method
TWI525692B (en) Plasma etching method, control program and computer memory media
CN107644812A (en) Substrate lithographic method
Huard et al. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas
Wang et al. Scaling of atomic layer etching of SiO2 in fluorocarbon plasmas: Transient etching and surface roughness
Darnon et al. Synchronous pulsed plasma for silicon etch applications
Miyazoe et al. Highly selective dry etching of polystyrene-poly (methyl methacrylate) block copolymer by gas pulsing carbon monoxide-based plasmas
TW201306124A (en) Method of hard mask CD control by Ar sputtering
Hwang et al. High density plasma reactive ion etching of Ru thin films using non-corrosive gas mixture
TW201212124A (en) Plasma etching method of copper process