TW201137157A - Methods to prepare silicon-containing films - Google Patents

Methods to prepare silicon-containing films Download PDF

Info

Publication number
TW201137157A
TW201137157A TW100104055A TW100104055A TW201137157A TW 201137157 A TW201137157 A TW 201137157A TW 100104055 A TW100104055 A TW 100104055A TW 100104055 A TW100104055 A TW 100104055A TW 201137157 A TW201137157 A TW 201137157A
Authority
TW
Taiwan
Prior art keywords
decane
film
group
oxygen
source
Prior art date
Application number
TW100104055A
Other languages
Chinese (zh)
Other versions
TWI431147B (en
Inventor
Liu Yang
Manchao Xiao
Bing Han
Kirk Scott Cuthill
Mark Leonard O'neill
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/015,720 external-priority patent/US8703625B2/en
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201137157A publication Critical patent/TW201137157A/en
Application granted granted Critical
Publication of TWI431147B publication Critical patent/TWI431147B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Described herein are methods of forming dielectric films comprising silicon, oxide, and optionally nitrogen, carbon, hydrogen, and boron. Also disclosed herein are the methods to form dielectric films or coatings on an object to be processed, such as, for example, a semiconductor wafer.

Description

201137157 六、發明說明: 相關申請案之相互參昭 〆·、、、 本專利申請案請求02/04/2010申請的先前美國臨時專 利申請案序號第61/3〇1,375號的益處。 【發明所屬之技術領域】 文中揭示製備在各種不同電子應用中使用的含石夕材料 或膜,例如但不限於,化學計量的或非化學計量的氧化矽、 氧氮化石夕或氧碳氮化物膜,的方法及組合物。 【先前技術】 氧化矽的薄膜由於其介電質性常作為半導體製造時 的介電質。在製造矽為底的半導體裝置時,氧化矽膜可作 為閘極絕緣體、擴散遮罩、側壁間隔物、硬罩、抗反射塗 層、純化層及封裝材料,及多變的其他用it。氧切膜對 於其他化合物半導體裝置的鈍化也變得越來越重要。201137157 VI. INSTRUCTIONS: The benefits of the prior application of the United States Interim Patent Application No. 61/3〇1, 375, filed on 02/04/2010. FIELD OF THE INVENTION The invention relates to the preparation of a stone-containing material or film for use in various electronic applications, such as, but not limited to, stoichiometric or non-stoichiometric cerium oxide, oxynitride or oxycarbonitride. Membranes, methods and compositions. [Prior Art] A thin film of cerium oxide is often used as a dielectric in semiconductor manufacturing because of its dielectric properties. In the fabrication of germanium-based semiconductor devices, the hafnium oxide film can be used as a gate insulator, a diffusion mask, a sidewall spacer, a hard mask, an anti-reflective coating, a purification layer, and an encapsulating material, as well as other variations. The passivation of oxygen masks to other compound semiconductor devices is also becoming more and more important.

201137157 膜中的某些元素可能不想要,即使於較低濃度下亦同。 舉例來說,當二氧化石夕膜作為触刻阻擋層或單作為深 $外線(DUV)光阻劑底下的介電層時該膜的小量氮可能 與d DUV光阻劑相互作用,以化學方式放大該光阻劑的材 料性質或毒化該光阻劑並且使該光阻劑的一部分不溶於該 顯影劑。結果,剩餘的光阻劑可能留在該構造的圖案化特 ft邊緣或側J上。這可能不利於半導體裝置的光微影圖案 化製程》 另一不含氮的氧化矽膜實例可於抗反射塗層(ARC)的 應用中見到。該ARC抑制阻劑成像期間下方材料層的反射 以提供於能量敏感阻劑層中提供精確的圖案複製。然而, 習用ARC材料含有氮例如’舉例來說’氮化石夕及氮化欽。 該ARC層中出現氮可能以化學方式改變該光阻劑材料的組 成。氮與該光阻劑材料之間的化學反應可被稱作“光阻劑毒 化。進行典型圖案化步驟之經光阻劑毒化的材料可能造成 該光阻劑中不精確地形成特徵或圖案化之後過多的殘留光 阻劑,該二者都會不利地影響pR製程,例如蝕刻製程。舉 例來說,氮可中和光阻劑及ARC界面附近的酸並且造成殘 餘物形成,習稱為基腳(f00ting),其會進一步造成特徵的 底部及側壁的界面處的彎曲或圓形外觀而非想要的直角。 對於幾個應用’電漿強化化學氣相沉積法(“PEcvd”) 係用以於比典型熱化學氣相沉積(“CVD”)法低的沉積溫度 下製造氧化矽膜《具有分子式Si(〇C2H5)4的四乙氧基矽烷 (TE0S )為具有最少殘餘碳污染的氧化石夕膜的pecvd沉 201137157 積之可使用’與一或更多氧來源例如,但不限於〇2或I 合併’的常見前驅物。TEOS係供作安惰性、高蒸氣壓 液體,並且比其他含矽前驅物例如SiH4較沒有危宝。 >儿積溫度(例 使用較便宜基 由於一或更多下列理由而有移向較低 如,低於400。〇的總體驅使力:成本(例如, 材的能力)及熱預算(例如’由於溫度敏感性高性能膜的集 積)。另外關於PECVD TEOS膜’間隙填充及保形於較低溫 度可能比較好。然而’該PECVD TE〇s膜的膜品質可能: 差’因為該等膜沒有化學計量組成,富含氫,纟有低膜密 度’及/錢露快速㈣料。“,需要具有比了咖好 的性能的替代前驅物。 【發明内容】 文中描述形成包切及氧的材料或膜的方法,該等材 料或膜不含關鍵元素例如氮、碳、齒素及氫’或,選擇性 地,由X-射線光電光譜儀(XPS)測量時,包含約〇至約 原子重量百分比的氮及/或包含約❹至約30原子重量百八 比的碳,並且顯現5%或更小的不均勾性% 可使用標準方程式測量··不均勻性%=((最大值— 勺:; 值)/Γ 、、 U取八值敢小 。使用該方法沉積的膜及文中所述的前驅 物非當诒句二T A J m ^ 紫類方法以 特定例子中,仰賴溫度、電毁、電 《其組合的輔助。文中也揭示一種在待加工的物 a歹1如’舉例來說’半導體晶圓,上 的方法,該等介電膜或塗層實質上不含氣及/或實質= 201137157 碳’或選擇性地含有較低量的氮及碳。 在選擇性具體實施例中,該文中所述的方法及前驅物 可提供具有相對低的氮含量的材料,該材料提供具有經控 制的組成之摻氮的氧化物材料。在選擇性具體實施例中, 該文中所述的方法及前驅物可提供具有相對低的碳含量的 材料’該材料提供具有經控制的組成之摻碳的氧化物材 料。在這些具體實施例巾,該材料可包含由xps測得的約 〇至約30原子重量百分比的氮及/或碳。在特定具體實施例 中’所用的前驅物能製造非常高純度,帶有偵測不到的量 的其他元素及其他可由XPS定量的物種的Si〇2材料,該等 元素包括碳、氮、氯及鹵素。 一表面上形成包 有一形態中,提供一種於基材的至少 含石夕及氧的膜的方法,其包含: 將該基材的至少一表面提供於反應艙中;及 藉由選自化學氣相沉積法及原子層沉積法的沉積方 法使用包含至少—選自具有下式卜11及m的前驅物之群 組者的矽前驅物:201137157 Some elements in the film may not be desired, even at lower concentrations. For example, when the dioxide film is used as a etch barrier or as a dielectric layer underneath a deep outer-line (DUV) photoresist, a small amount of nitrogen of the film may interact with the d DUV photoresist to The material properties of the photoresist are chemically amplified or poisoned and a portion of the photoresist is insoluble in the developer. As a result, the remaining photoresist may remain on the patterned edge or side J of the construction. This may be detrimental to the photolithographic patterning process of semiconductor devices. Another example of a nitrogen-free hafnium oxide film can be found in anti-reflective coating (ARC) applications. The ARC inhibits reflection of the underlying material layer during resistive imaging to provide accurate pattern replication in the energy sensitive resist layer. However, conventional ARC materials contain nitrogen such as, for example, 'nitridite and nitrite. The presence of nitrogen in the ARC layer may chemically alter the composition of the photoresist material. The chemical reaction between nitrogen and the photoresist material can be referred to as "photoresist poisoning. Materials that are poisoned by photoresist in a typical patterning step may cause inaccurate formation or patterning in the photoresist. Excessive residual photoresist afterwards, both of which can adversely affect the pR process, such as an etching process. For example, nitrogen can neutralize the acid near the photoresist and the ARC interface and cause residue formation, known as the footing ( F00ting), which further causes a curved or rounded appearance at the interface of the bottom and sidewalls of the feature rather than the desired right angle. For several applications, 'plasma enhanced chemical vapor deposition ("PEcvd") is used The yttrium oxide film is produced at a lower deposition temperature than the typical thermal chemical vapor deposition ("CVD") method. "Tetraethoxy decane (TE0S) having the molecular formula Si(〇C2H5)4 is an oxidized oxide with minimal residual carbon contamination. The pecvd of the membrane Shen 201137157 can be used as a common precursor of 'combined with one or more oxygen sources such as, but not limited to, 〇 2 or I. TEOS is used as an inert, high vapor pressure liquid, and contains more than other gases. before Objects such as SiH4 are less dangerous. > Child temperature (for example, using a cheaper base for one or more of the following reasons has a lower shift, such as less than 400. The overall driving force of 〇: cost (for example, Capability) and thermal budget (eg 'due to the accumulation of temperature-sensitive high-performance membranes.) In addition, PECVD TEOS membranes may have better gap filling and conformality at lower temperatures. However, the membrane quality of the PECVD TE〇s membrane may be : Poor 'because these membranes have no stoichiometric composition, are rich in hydrogen, have low membrane density' and / Qianlu fast (four) materials. ", need to have alternative precursors with better performance than the coffee. [Abstract] Depicting a method of forming a material or film that encapsulates oxygen and oxygen, the material or film being free of key elements such as nitrogen, carbon, dentate, and hydrogen' or, optionally, when measured by X-ray photoelectron spectroscopy (XPS), Nitrogen containing from about 〇 to about atomic weight percent and/or carbon containing from about ❹ to about 30 atomic weight per octave, and exhibiting 5% or less unevenness % can be measured using standard equations · Non-uniformity %=((maximum - scoop:; ) / Γ , , U take eight values to dare. The film deposited by this method and the precursor described in the article are not the 诒 二 TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA TA Auxiliary of the combination. Also disclosed herein is a method on a material to be processed, such as, for example, a semiconductor wafer, the dielectric film or coating is substantially free of gas and/or substantial = 201137157 carbon 'Or selectively containing lower amounts of nitrogen and carbon. In an alternative embodiment, the methods and precursors described herein provide a material having a relatively low nitrogen content, the material providing a controlled composition Nitrogen-doped oxide material. In an alternative embodiment, the methods and precursors described herein provide a material having a relatively low carbon content. The material provides a carbon-doped oxide having a controlled composition. material. In these embodiments, the material may comprise from about 〇 to about 30 atomic percent of nitrogen and/or carbon as measured by xps. In a particular embodiment, the precursor used can produce very high purity, with undetectable amounts of other elements and other Si〇2 materials that can be quantified by XPS, including carbon, nitrogen, and chlorine. And halogen. Forming a surface comprising a film comprising at least one surface of the substrate and comprising an oxygen-containing film, comprising: providing at least one surface of the substrate in the reaction chamber; and by being selected from the group consisting of chemical gases The deposition method of the phase deposition method and the atomic layer deposition method uses a ruthenium precursor comprising at least one selected from the group consisting of precursors having the following formulas 11 and m:

Η R〇-Si-H 1 Η Η RO-Si-OR1 1 Η Η RO-Si - OR2 OR1 I II III 其中式卜…!中的R、R4R2各自獨立地為烧基芳 基、酿基或其組合;及任意—氧來源以在該至少—表面上 ,成該膜’其中該介電膜包含由xps測得的低於約$原子 %的氮或碳。在該膜包含氮或碳的具體實施例中,氮及/或 6 201137157 碳來源也可於該形成步驟的期間引入。在這些具體實施例 中’包括’但不限於,下列材料例如nh3、N2〇、NH2(CH3) 及其組合的示範性氮來源可於該形成步驟及/或另一引進 步驟的期間引入。該碳及氮來源可為同一者。 在另一形態中,提供一種經由原子層沉積(ALD)法形 成包含石夕及氧的膜的方法,該方法包含下列步驟: a. 將基材放入ALD反應器; b. 將包含至少一選自具有下式I、π及ΠΙ的前驅物 之群組者的石夕前驅物引進該反應器:Η R〇-Si-H 1 Η Η RO-Si-OR1 1 Η Η RO-Si - OR2 OR1 I II III wherein R and R4R2 in the formula...! are each independently an alkyl group, a aryl group or Combining; and optionally - an oxygen source to form the film on the at least - surface, wherein the dielectric film comprises less than about $ atom% of nitrogen or carbon as measured by xps. In specific embodiments where the film comprises nitrogen or carbon, nitrogen and/or 6 201137157 carbon sources may also be introduced during the forming step. Exemplary nitrogen sources of the following materials, including but not limited to, the following materials, such as nh3, N2, NH2 (CH3), and combinations thereof, may be introduced during the forming step and/or another introduction step. The carbon and nitrogen sources can be the same. In another aspect, a method of forming a film comprising a stone and an oxygen via an atomic layer deposition (ALD) process, the method comprising the steps of: a. placing a substrate in an ALD reactor; b. comprising at least one The Shixia precursor selected from the group of precursors having the following formulas I, π and ΠΙ introduced the reactor:

Η I Η Η RO-Si-H 1 1 RO-Si-OR1 1 R0-Si-0R: 1 Η Η OR1 I II III 其中式I、II及III中的汉、1^及R2各自獨立地為烷基、芳 基、醯基或其組合及任意一氧來源; c•以氣體洗淨該ALD反應器; d. 將一氧來源引進該ALD反應器; e. 以氣體洗淨該ALD反應器;及 f·重複該等步驟b至d直到獲得想要厚度的介電膜, 其中該介電膜包含由XPS測得的低於約5原子重量%碳及/ 或氛。 在另一形態中,提供一種使用ALD或CVD方法於基 材的至少一表面上形成包含氧化矽的膜的方法,其包含: a. 將該基材置於反應艙中;及 b. 將包含至少一選自具有下式卜以ιπ的前驅物 201137157 之群組者的矽前驅物: Η RO-S 卜 Η I Η Η RO-Si-OR1 iΗ I Η Η RO-Si-H 1 1 RO-Si-OR1 1 R0-Si-0R: 1 Η Η OR1 I II III wherein Han, 1^ and R2 in the formulae I, II and III are each independently an alkane a base, an aryl group, a thiol group or a combination thereof and any source of oxygen; c) washing the ALD reactor with a gas; d. introducing an oxygen source into the ALD reactor; e. washing the ALD reactor with a gas; And f. repeating steps b through d until a desired thickness of the dielectric film is obtained, wherein the dielectric film comprises less than about 5 atomic percent carbon and/or atmosphere as measured by XPS. In another aspect, a method of forming a film comprising ruthenium oxide on at least one surface of a substrate using an ALD or CVD method, comprising: a. placing the substrate in a reaction chamber; and b. At least one ruthenium precursor selected from the group of precursors 201137157 having the following formula: Η RO-S Η Η I Η Η RO-Si-OR1 i

HH

H R〇~Si-〇R2 OR1H R〇~Si-〇R2 OR1

1 11 III 其中式I、II及m中的RH R2各自獨立地為烧基、芳 基、醯基或其組合;及任意一氧來源引進該反應器以在該 至少-表面上沉積該膜’其中該介電膜包含由xps測得的 約〇原子重量%至約30原子重量%的碳及/或氮。 【實施方式】 文中描述形成非常均句的介電膜(例如,使用下列標準 方程式測量時能顯,見5%或更小的不均句性%的不均句性 %。:不均句性%=“最大值—最小值)/(2*平均值))的方法。 使用文中所述的方法製造的介電膜—般主要含切及氧。 在特疋具體實施例中’該介電 电臊係贯質上不含任何其他元 ' 例如氮、碳、氣及鹵素’ /5急。令rt» 及虱文中所用的措辭“實質 上不含,’意指包含由XPS測得的2 $ n 3。 腹▲ 』付的2原子重量%或更少氮的 膘。在其他具體實施例中,該介雷 Λ;丨電膜包含其他量介於約2 '、子/〇至約30原子%的元素例如氣 氮及/或反,並且可依據製 矛條件或該製程中所用的添加物 體警聊3有其他兀素。在特定具 ®實施例中’文中所述的方 -r ,,, 不需要電桌輔助及/或係於低 * (例如,600°C或更低)下谁γ 各 中進仃。在一選擇性具體實施例 τ ’利用低溫(例如’ 450 〇c弋s从、处 的大、i ^或更低)熱方法進行文中所述 J万法。文中所述的膜為介電 骐意札s亥等膜典型顯現7或 201137157 更低或6或更低或5或更低的介電常數。在特定具體實施 例中所製造的材料也可能含有下列元素例如硼、鋁及/或其 他可能有助於該材料的較佳特徵的元素。這些可被引進該 製程作為單獨添加物的構成部分或作為主要前驅物的取代 物。 用以形成該介電膜或塗層的方法為沉積方法。用於文 中所揭示的方法的適合沉積方法的實例包括,但不限於, 循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣 相沉積、電漿強化化學氣相沉積(“PECvd”)、高密度 PECVD、光子辅助CVD、電漿·光子輔助(“ppEcvD,,)、低 溫化學氣相沉積、化學輔助氣相沉積、熱絲極化學氣相沉 積、液‘態聚合物前驅物的CVD、由超臨界流體沉積及低能 (LECVD)。在特定具體實施例中,藉由電漿強化 ald(peald)或電漿強化循環式cVD(pECCVD)方法沉積 該等含金屬膜。如文中所用的,該措辭“化學氣相沉積方法,, 表示任何將基材暴露於-或更多揮發性前驅物的方法,該 一或更多揮發性前驅物在該基材表面上反應及/或分解 產生想要沉積。如文中所用的,該措辭“原子層沉積方3 θ自我限制(例如’在各自反應循環中所沉積的膜材料 量保持不變)的連續表面化學,其能在多變組成的基材上 積保形膜或或材料。儘管文中所用的前驅物、試藥及來 $時候可&述< H ’據理解該等前驅物可為液態或 可此經由直接汽化、起泡或昇華利用或沒用惰性 體輸送至該反應H巾。在―些案例中該汽化的前驅物 201137157 通過電漿產生器。有一具體實施例中,利用ald方法沉積 Μ ;1電膜。在另一具體實施例中利用CCVD方法沉積該 "電膜。在另一具體實施例中,利用熱cVD方法沉積該介 電膜。在另一具體實施例中,該前驅物可藉著發生最少反 應,接著後處理而凝結在該基材上以使該材料變成固態並 且助於黏附於所沉積的物品,可了解的是有許多方式,藉 由該等方式可使用製程條件以從化學前驅物形成一膜,但 是所沉積的材料的最終性質可藉由該化學前驅物或與這些 前驅物合併使用的添加物的本質予以獨特界定。 在特定具體實施例中,文中所揭示的方法避免藉由使 用於引進6亥反應器之前及/或期間分離該等前驅物的 或CCVD方法避免該等前驅物的預反應。關此,使用沉積 技術例如ALD或CCVD方法來沉積該介電膜。有一具體實 施例中,經由ALD方法藉由將該基材表面選擇性地暴露於 該一或更多含矽前驅物、氧來源,或其他前驅物或試藥沉 積該膜。#由表面反應的自身限制控制、各自前驅物或試 藥的脈衝長度及該沉積溫度進行膜生長。然而,一旦該基 材的表面飽和,該膜生長就停止。 在特定具體實施例中’該前驅物係純的,或沒有其他 反應物或添加物引進,以凝結,填充特徵,或使表面平坦 化’接著反應物步驟使該前驅物反應或形成固體。在特定 具體實施例令,此方法使用氧化製程、觸媒或其他能量形 態(化學、$、輻射、f漿、光子或其也離子化或非輻射能) 將該前驅物及任意添加物改質以形成固態材料。 10 201137157 為了形成實質不含氮之包含矽及氧的介電膜,吾人所 欲為該含碎前驅物不含氮。吾人也想要的是,在特定且體 實施例中’該專前驅物有足夠的反應性以於相對低的溫度 (例如,400°C或更低)沉積一膜。儘管想要有前驅物反應 性,該前驅物也必須有足夠的安定性以不隨時時降解或變 化到任何明顯程度(例如,低於每年1%的變化)。再者在 各個不同具體實施例中,吾人所欲為於沒有電漿的情況之 下進行該沉積方法。不欲受理論所束缚,咸信被取代的矽 烷傾向氧化的反應性與連至該矽原子的氫原子數成正比。 文中所揭示的方法使用一含矽前驅物,其中該含矽前 驅物係選自包含至少一選自具有下式卜„及則前驅物 之群組者的>5夕前驅物: Η RO-Si-H I Η I . OR1 Η RO_S 卜 OR1 Η Η RO-Si~〇R21 11 III wherein RH R2 of formulas I, II and m are each independently alkyl, aryl, fluorenyl or a combination thereof; and any source of oxygen is introduced into the reactor to deposit the film on the at least surface Wherein the dielectric film comprises from about 1% by weight to about 30% by weight of carbon and/or nitrogen as measured by xps. [Embodiment] The dielectric film forming a very uniform sentence is described herein (for example, when measured using the following standard equation, see 5% or less of the unevenness of the sentence %). Method of %=“maximum-minimum value//(2*average)). The dielectric film produced by the method described herein generally contains cut and oxygen. In the specific embodiment, the dielectric The eMule system does not contain any other elements such as nitrogen, carbon, gas and halogens. /5 Urgent. The wording used in rt» and 虱文 is “substantially free,” meaning that it contains 2 measured by XPS. $ n 3.腹 ▲ 』 2 atomic weight percent or less of nitrogen. In other embodiments, the ruthenium oxide film comprises other elements in an amount from about 2 Å to about 30 atom%, such as gas nitrogen and/or anti, and may be based on a spear condition or The added object used in the process has 3 other elements. In the specific embodiment, the square-r, described in the text, does not require an electric table assist and/or is tied to a low * (for example, 600 ° C or lower). The X-method described herein is carried out in an alternative embodiment τ ' using a low temperature (e.g., '450 〇c弋s from, large, i ^ or lower) thermal method. The film described herein is a dielectric constant of dielectric film 7 or 201137157 which is lower or 6 or lower or 5 or lower. Materials made in certain embodiments may also contain elements such as boron, aluminum, and/or other elements that may contribute to the preferred characteristics of the material. These can be introduced into the process as a component of a separate additive or as a substitute for the primary precursor. The method used to form the dielectric film or coating is a deposition method. Examples of suitable deposition methods for the methods disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (metal organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition ("PECvd" High-density PECVD, photon-assisted CVD, plasma/photon assist ("ppEcvD,"), low temperature chemical vapor deposition, chemically assisted vapor deposition, hot filament chemical vapor deposition, liquid-state polymer precursors CVD, by supercritical fluid deposition, and low energy (LECVD). In a specific embodiment, the metal-containing films are deposited by plasma enhanced ald (peald) or plasma enhanced cyclic cVD (pECCVD) methods. The phrase "chemical vapor deposition method" means any method of exposing a substrate to - or more volatile precursors, the one or more volatile precursors reacting and/or decomposing on the surface of the substrate. Produce what you want to deposit. As used herein, the phrase "atomic layer deposition 3 θ self-limiting (eg, 'the amount of membrane material deposited in the respective reaction cycles remains the same" continuous surface chemistry, which can accumulate on a multi-variable substrate Conformal film or material. Although precursors, reagents, and reagents used herein may be < H ' it is understood that the precursors may be in liquid form or may be utilized by direct vaporization, foaming or sublimation or No inert body is delivered to the reaction H. In some cases the vaporized precursor 201137157 is passed through a plasma generator. In one embodiment, the ald method is used to deposit a Μ; 1 film. In another embodiment The "electric film is deposited by a CCVD method. In another embodiment, the dielectric film is deposited using a thermal cVD method. In another embodiment, the precursor can be reacted with minimal, followed by post processing While condensing on the substrate to render the material solid and assist in adhering to the deposited article, it is understood that there are many ways by which process conditions can be used to form a film from the chemical precursor. The final properties of the deposited material can be uniquely defined by the nature of the chemical precursor or additive used in conjunction with these precursors. In particular embodiments, the methods disclosed herein are avoided by the use of the introduction 6 The precursors are separated and/or during the CCVD process to avoid pre-reaction of the precursors. Thus, the dielectric film is deposited using deposition techniques such as ALD or CCVD methods. In one embodiment, The film is deposited by ALD methods by selectively exposing the surface of the substrate to the one or more ruthenium-containing precursors, oxygen source, or other precursors or reagents. #Self-restricted control of surface reactions, respective precursors Film growth is performed on the pulse length of the substance or reagent and the deposition temperature. However, once the surface of the substrate is saturated, the film growth stops. In a particular embodiment, the precursor is pure or has no other reactants. Or additives are introduced to coagulate, fill the features, or flatten the surface' followed by a reactant step to react or form a solid. Thus, the method uses an oxidation process, catalyst or other energy form (chemistry, $, radiation, f-pulp, photon or also ionized or non-radiative energy) to modify the precursor and any additives to form a solid state material. 10 201137157 In order to form a substantially nitrogen-free dielectric film containing niobium and oxygen, we do not want to contain nitrogen for the shattered precursor. What we also want is, in a specific and in vivo embodiment, the special precursor There is sufficient reactivity to deposit a film at a relatively low temperature (for example, 400 ° C or lower). Although precursor reactivity is desired, the precursor must have sufficient stability to not degrade or The change is to any significant extent (e.g., less than 1% change per year). Again, in various embodiments, we intend to perform the deposition process without plasma. Without wishing to be bound by theory, the reactivity of the substituted decane to oxidize is proportional to the number of hydrogen atoms attached to the ruthenium atom. The method disclosed herein uses a ruthenium-containing precursor, wherein the ruthenium-containing precursor is selected from the group consisting of at least one selected from the group consisting of the following formulas and precursors: 5 RO- Si-H I Η I . OR1 Η RO_S 卜 OR1 Η Η RO-Si~〇R2

1 11 III :中式卜…中的R、R、R2各自獨立地為院基、芳 二醯基或其組合;任意其他切前驅物、任意—氧 或試藥及任意一還原劑形成該介電 “、 電膜。用於沉積的前驅物 枓的選擇取決於想要的介電材料或膜。舉例來說 物材料可針對其化學元素含量 -則驅 例;5 / + + ”化于70素的化學計量比 例及/或在CVD條件之下形成所得 撰埋 》1 电腸或塗層加以 選擇。该别驅物材料也可針對多 擇’例如,舉例來說,成本、安定:、门非=他特徵加% 於室溫保持液想的能力、揮發性、分:二::特徵、 11 201137157 中’介電膜係利 的前駆物之群組 在文中所揭示的方法之一具體實施例 用包包含至少一選自具有下式I、II及III 者的矽前驅物形成: ^ Η Η R〇-Si-H R〇-Si-〇R1 Ro'Si-〇R2 H H OR11 11 III : R, R, R2 in the Chinese formula are each independently a hospital base, an aryldithiol group or a combination thereof; any other cutting precursor, any oxygen or reagent and any reducing agent form the dielectric ", electric film. The choice of precursor for deposition depends on the desired dielectric material or film. For example, the material can be directed to its chemical element content - then the case; 5 / + + "in 70" The stoichiometric ratio and/or formation of the resulting burying 1 electrosin or coating under CVD conditions is selected. The material of the alternative can also be used for multiple choices 'for example, cost, stability:, non-characteristic = his characteristic plus % at room temperature to maintain liquid abilities, volatility, points: two:: characteristics, 11 201137157 One of the methods disclosed herein is a package comprising at least one ruthenium precursor selected from the group consisting of Formulas I, II, and III: ^ Η Η R 〇-Si-H R〇-Si-〇R1 Ro'Si-〇R2 HH OR1

1 Π III 其中式卜Π及m中的r、r^r2各自獨立地為烧基芳 基、酿基或其組合。在< 1至111及全文描述中,該措辭“烧 基”表示具有1至20,岑1 5 ! 〇 +、1 25 < 王π及ί至12或1至ό個碳原子的線性、 分支或環狀官能基。示範性烷基包括,但不限於,曱基、 乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁 基、戊基、己基、辛基、癸基、十二基、十四基十八基、 異戊基第—戊基。在式!至m及全文描述中,該措辭“芳 基”表示具有6至12個碳原子的環狀官能基。示範性芳基 包括但不限於笨基、苯甲基、甲苯基及鄰_二曱苯基。 在特定具體實施例中,該烷基、芳基及/或醯基之一或 更多者可經取代或未經取代或具有一或更多原子或氫原子 處被取代的原子群組。示範性取代基包括,但不限於,氧、 硫、齒素(例如,F、Cl、I或Br)、氮、硼及磷。在特定具 體實施例中,該具有式Z至Ιπ的含矽前驅物可具有一或更 多包含氧原子的取代基。在這些具體實施例中,可避免於 該沉積方法期間對於氧來源的需求。在其他具體實施例 中,该具有式I至III的含矽前驅物具有一或更多包含氧原 子的取代基而且還使用氧來源。 12 201137157 在特定具體實施例中,該等烷基、芳基及/或醯基之— 或更多者可為飽和或不飽和。在該一或更多烷基或芳基為 不飽和的具體實施例中,其含有一或更多雙鍵或叁鍵。 具有式I的含矽前驅物的實例包括:第三丁氧基石夕 烧、異丙基矽烷、乙氧基矽烷、正丁氧基矽烷、異丁氧基 石夕烧、甲氧基矽烷或苯氧基矽烷。具有式II的含矽前驅物 的實例包括:二第三丁氧基矽烷、二異丙氧基矽烷、二乙 氧基矽烷、二正丁氧基矽烷、二異丁氧基矽烷、二甲氧基 矽烧或二笨氧基矽烷。具有式III的含矽前驅物的實例包 括:三第三丁氧基矽烷、三異丙氧基矽烷、三乙氧基矽烷、 三正丁氧基矽烷、三異丁氧基矽烷、三曱氧基矽烷或三苯 氧基石夕貌。在文中所述的方法之一具體實施例中,該含石夕 前驅物包含下列前驅物之至少一者:1 Π III wherein r and r^r2 in the formula and m are each independently an alkyl group, a aryl group or a combination thereof. In < 1 to 111 and the full text description, the phrase "alkyl" means having a linearity of 1 to 20, 岑1 5 ! 〇+, 1 25 < king π and ί to 12 or 1 to one carbon atom, Branched or cyclic functional groups. Exemplary alkyl groups include, but are not limited to, mercapto, ethyl, propyl, isopropyl, butyl, isobutyl, t-butyl, t-butyl, pentyl, hexyl, octyl, decyl , 12-base, tetradecyl-octadecyl, isopentyl-pentyl. In style! In the description of m and the full text, the expression "aryl" means a cyclic functional group having 6 to 12 carbon atoms. Exemplary aryl groups include, but are not limited to, stupid, benzyl, tolyl, and o-diphenyl. In a particular embodiment, one or more of the alkyl, aryl and/or fluorenyl groups may be substituted or unsubstituted or have a group of atoms to which one or more atoms or hydrogen atoms are substituted. Exemplary substituents include, but are not limited to, oxygen, sulfur, dentate (eg, F, Cl, I or Br), nitrogen, boron, and phosphorus. In a specific embodiment, the ruthenium-containing precursor having the formula Z to Ιπ may have one or more substituents containing an oxygen atom. In these embodiments, the need for an oxygen source during the deposition process can be avoided. In other embodiments, the ruthenium containing precursor having formulas I through III has one or more substituents comprising oxygen atoms and also uses a source of oxygen. 12 201137157 In certain embodiments, - or more of the alkyl, aryl and/or sulfhydryl groups may be saturated or unsaturated. In particular embodiments where the one or more alkyl or aryl groups are unsaturated, they contain one or more double or triple bonds. Examples of the ruthenium-containing precursor having the formula I include: a third butoxylate, an isopropyl decane, an ethoxy decane, a n-butoxy decane, an isobutoxy sulfonate, a methoxy decane or a phenoxy Base decane. Examples of the ruthenium-containing precursor having the formula II include: di-t-butoxy decane, diisopropoxy decane, diethoxy decane, di-n-butoxy decane, diisobutoxy decane, dimethoxy Keith or dipoxyoxydecane. Examples of the ruthenium-containing precursor having the formula III include: tri-tert-butoxy decane, triisopropoxy decane, triethoxy decane, tri-n-butoxy decane, triisobutoxy decane, trioxane A decyl or triphenyloxy stone. In a specific embodiment of the method described herein, the shi-containing precursor comprises at least one of the following precursors:

Η O-Si-0Η O-Si-0

I Η 二第三丁氧基矽烷 二第三戊氧基矽烷 二異丙氧基矽 在一特定具體實施例中,該含矽前驅物包含二第三丁氧基 矽烷。 在特定具體實施例中,文中所述的方法另外包含上述 具有上式I至III的含矽前驅物以外的一或更多其他含矽前 驅物。其他含矽前驅物的實例包括’但不限於,有機矽化 合物’例如;ε夕氧烷類(例如’六甲基二矽氧烷(HMDSO)及二 13 201137157 甲基矽氧烷(DMSO));有機矽烷類(例如’曱基矽烷;二甲 基矽烷;乙烯基三甲基矽烷;三甲基矽烷;四甲基矽烷; 乙基矽烷;二曱矽烷基曱烷;2,4-二矽雜戊烷;1,2-二矽雜 乙烷;2,5-二矽雜己烷;2,2-二曱矽烷基丙烷;1,3,5-三矽 雜環己烷,及這些化合物之經氟化的衍生物;含苯基的有 機矽化合物(例如,二甲基苯基矽烷及三苯基甲基矽烷); 含氧的有機矽化合物,例如,二甲基二曱氧基矽烷;1,3,5,7-四甲基環四矽氧烷;1,1,3,3-四曱基二矽氧烷;1,3,5,7-四矽 -4-氧-庚烷;2,4,6,8-四矽-3,7-二氧-壬烷;2,2-二甲基 -2,4,6,8-四矽-3,7-二氧·壬烷;八曱基環四矽氧烷; [1,3,5,7,9]-五曱基環五矽氧烷;1,3,5,7-四矽-2,6-二氧-環辛 烷;六曱基環三矽氧烷;1,3-二曱基二矽氧烷;1,3,5,7,9-五甲基環五矽氧烷;六甲氧基二矽氧烷,及這些化合物經 氟化的衍生物;及含氮有機矽化合物(例如,六曱基二矽氮 烷;二乙烯基四曱基二矽氮烷;六曱基環三矽氮烷;二曱 基雙(N-曱基乙醯胺)石夕烷;二曱基雙(N-乙基乙醯胺)石夕烷; 雙(第三丁胺基)矽烷(BTBAS)、雙(第三丁胺基)曱基矽烷 (BTBMS)、雙(N-甲基乙醯胺)曱基乙烯基矽烷;雙(N_ 丁基 乙醯胺)甲基乙烯基矽烷;叁(Ν·苯基乙醯胺)甲基矽烷;叁 (Ν-乙基乙醯胺)乙烯基矽烷;肆(Ν·曱基乙醯胺)石夕烷;雙(二 乙基胺氧基)二苯基矽烷;叁(二乙基胺氧基)甲基矽烷;及 雙(三曱基石夕烧基)碳二醯亞胺)。 在特定具體實施例中,該含矽的前驅物包含具有至少 一 Ν-Η片斷及至少_ Si-H片斷的含氮有機矽前驅物。同時 14 201137157 含該N-H片斷及該Si-H片斷的適合前驅物包括,舉例來 說,雙(第三丁基胺基)矽烷(BTBAS)、叁(第三丁基胺基)矽 烷、雙(異丙基胺基)矽烷、叁(異丙基胺基)矽烷及其混合 物。在一具體實施例中,該前驅物具有此式 (R5NH)nSiR6mH4-(n + m),其中R5及R6為相同或不同而且獨 立地選自由烷基、乙烯基、烯丙基、苯基、環烷基、氟烷 基及曱矽烷基烧基所組成的群組,而且其中η為介於1至 3的數字,m為介於0至2的數字,而且“n + m”的總和為 小於或等於3的數字。在另一具體實施例中,該含矽的前 驅物包含具有此式(R72N-NH)xSiR8yH4_(x+y)的肼基矽烷,其 中R及R8為相同或不同而且獨立地選自由烧基、乙稀基、 稀丙基、苯基、環烷基、氟烷基及甲矽烷基烷基所組成的 群組’而且其中x為介於1至2的數字,y為介於〇至2 的數字,而且“X + y”的總和為小於或等於3的數字。遍合 的肼基石夕烧前驅物的實例包括,但不限於,雙(1 , 1 -二曱基 肼基)-矽烷、叁(1,1-二甲基肼基)矽烷、雙二甲基肼基) 乙基矽烷、雙(1,1-二曱基肼基)異丙基矽烷、雙(1,丨二曱基 肼基)乙烯基矽烷及其混合物。在特定具體實施例中,該前 驅物或添加物另外包括齒化矽烷類、硼烷類、硼氮烷類、 爛酸鹽類及其經改質的版本。 依據該沉積方法,在特定具體實施例中,該一或更多 含矽前驅物可以預定的莫耳體積或約01至約1000微莫耳 被引進該反應器中’在各個不同具體實㈣中,該含石夕前 驅物可被引進該反應器中歷經預定的時期,或、約0.001至 15 201137157 約500秒 如前文提及的,利用文中所述的方法所沉積的一些介 電膜可在氧存在之下利用一氧來源、試藥或包含氧的前驅 物形成。氧來源可以至少-氧來源的形態被引入該反應器 内及及/或可附帶存在於該沉積方法中所用的其他前驅物 中。適合的氧來源氣體可包括,舉例來說,水例如, 去離子水、純水及/或蒸餾水)、氧(〇2)、氧電聚、臭氧(〇3)、 NO、N2〇、一氧化碳(c〇)、二氧化碳(c〇2)及其組合。在特 定具體實施例中,該氧來源包含於典型介於約…勺2_ 標準立方公分(s⑽)的流速下被引入該反應器的氧來源氣 體’其範圍取決於反應方法、想要的材料、基材大小 '沉 積速率帛等。該氧來源可在該前驅物之前,與該前驅物 同時,以重複循環的方式與該前驅物依照順序或在所有 前驅物都已經引入之後被引入。在一特定具體實施例中, 含水。在藉由—或循環式CVD方法沉積該 衝期間 中’該前驅物脈衝可具有大於〇:〇1秒的脈 該水“而且該氧來源可具有大於〇.01秒的脈衝期間,而 體實施例t間可具有大於〇.01秒的脈衝期間。在又另-具 脈衝…,1亥等脈衝之間的洗淨期間可低到0秒或連續 脈衝而於其間不需洗淨。 文中所揭示的沉積方法可能咮 ^ ώ 體。該洗淨氣炉…± 步及一或更多洗淨氣 副產物,為,,以未消耗的反應物及/或反應 的惰性2 定具體實施例中,不會㈣“㈣反應 體°示範性惰性氣體包括,但不㈣ϋ、 201137157I Η Di-tert-butoxy oxane Di-p-methoxy decane Di-isopropoxy oxime In a specific embodiment, the ruthenium-containing precursor comprises ditributable tributoxide. In a particular embodiment, the methods described herein additionally comprise one or more other ruthenium-containing precursors other than the ruthenium-containing precursors of Formulas I through III above. Examples of other ruthenium-containing precursors include, but are not limited to, organic ruthenium compounds such as; oxime oxanes (eg, 'hexamethyldioxane (HMDSO) and two 13 201137157 methyl decane (DMSO)) Organic decanes (eg 'mercaptodecane; dimethyl decane; vinyl trimethyl decane; trimethyl decane; tetramethyl decane; ethyl decane; dinonyl decane; 2, 4-di Heteropentane; 1,2-dioxaethane; 2,5-dioxahexane; 2,2-didecylpropane; 1,3,5-trioxane, and these compounds a fluorinated derivative; a phenyl group-containing organic hydrazine compound (for example, dimethylphenyl decane and triphenylmethyl decane); an oxygen-containing organic hydrazine compound, for example, dimethyl dimethoxy decane ; 1,3,5,7-tetramethylcyclotetraoxane; 1,1,3,3-tetradecyldioxane; 1,3,5,7-tetradec-4-oxo-g Alkane; 2,4,6,8-tetradec-3,7-dioxo-decane; 2,2-dimethyl-2,4,6,8-tetradec-3,7-dioxo Alkane; octadecylcyclotetraoxane; [1,3,5,7,9]-quinolylcyclopentaoxane; 1,3,5,7-tetradec-2,6-dioxo- Cyclooctane; hexamethylene ring Oxane; 1,3-dimercaptodioxane; 1,3,5,7,9-pentamethylcyclopentaoxane; hexamethoxydioxane, and fluorinated derivatives of these compounds And a nitrogen-containing organic ruthenium compound (for example, hexakisodiazane; divinyltetradecyldioxane; hexakisylcyclotriazane; bis-bis-bis(N-mercaptoacetonitrile) Amine; alkaloid; dimercapto bis(N-ethylacetamide) astaxane; bis(tert-butylamino)decane (BTBAS), bis(t-butylamino)decyl decane (BTBMS) , bis(N-methylacetamide) mercapto vinyl decane; bis(N-butyl acetamide) methyl vinyl decane; hydrazine (Ν phenyl acetamide) methyl decane; Ethyl acetamide) vinyl decane; hydrazine (decyl decyl acetamide) oxalate; bis (diethylamino) phenyl decane; hydrazine (diethylamino) decane And bis(trimethyl decyl) carbodiimide. In a specific embodiment, the ruthenium containing precursor comprises a nitrogen-containing organic having at least one ruthenium-tellium moiety and at least a _Si-H moiety矽Precursor. Also 14 201137157 contains the NH Suitable precursors for breaking the Si-H moiety include, for example, bis(t-butylamino) decane (BTBAS), hydrazine (t-butylamino) decane, bis(isopropylamino) Decane, hydrazine (isopropylamino) decane, and mixtures thereof. In a particular embodiment, the precursor has the formula (R5NH)nSiR6mH4-(n + m), wherein R5 and R6 are the same or different and independently Selected from the group consisting of alkyl, vinyl, allyl, phenyl, cycloalkyl, fluoroalkyl and decyl alkyl, and wherein η is a number between 1 and 3, m is between A number from 0 to 2, and the sum of "n + m" is a number less than or equal to three. In another embodiment, the ruthenium-containing precursor comprises a decyl decane having the formula (R72N-NH)xSiR8yH4_(x+y), wherein R and R8 are the same or different and are independently selected from the group consisting of a group consisting of ethylene, propyl, phenyl, cycloalkyl, fluoroalkyl, and germylalkyl groups' and wherein x is a number between 1 and 2, and y is between 〇 and 2 The number, and the sum of "X + y" is a number less than or equal to 3. Examples of ubiquitous sulfhydryl precursors include, but are not limited to, bis(1,1-diindenyl)-decane, decyl (1,1-dimethylindenyl)decane, didimethyl Indenyl) Ethyl decane, bis(1,1-dimercaptodecyl)isopropyl decane, bis(1,indanylfluorenyl)vinyl decane, and mixtures thereof. In a particular embodiment, the precursor or additive additionally comprises a dentate decane, a borane, a borazane, a rotten acid salt, and modified versions thereof. In accordance with the deposition method, in a particular embodiment, the one or more ruthenium-containing precursors can be introduced into the reactor in a predetermined molar volume or from about 01 to about 1000 micromoles in each of the different concrete (four) The cerium precursor may be introduced into the reactor for a predetermined period of time, or about 0.001 to 15 201137157 for about 500 seconds. As mentioned above, some of the dielectric films deposited by the methods described herein may be In the presence of oxygen, an oxygen source, a reagent, or a precursor containing oxygen is formed. The source of oxygen can be introduced into the reactor in at least the form of an oxygen source and/or can be incidental to other precursors used in the deposition process. Suitable oxygen source gases may include, for example, water such as deionized water, purified water and/or distilled water, oxygen (〇2), oxygen electropolymerization, ozone (〇3), NO, N2 〇, carbon monoxide ( C〇), carbon dioxide (c〇2) and combinations thereof. In a particular embodiment, the source of oxygen is included in an oxygen source gas that is introduced into the reactor at a flow rate typically between about 2% standard cubic centimeters (s(10)). The range depends on the reaction method, the desired material, Substrate size 'deposition rate 帛, etc. The source of oxygen can be introduced prior to the precursor, simultaneously with the precursor, in a repetitive manner with the precursor in sequence or after all precursors have been introduced. In a particular embodiment, the water is present. During the deposition of the rush by the - or cyclic CVD method 'the precursor pulse may have a pulse greater than 〇: 〇 1 second of the water" and the source of oxygen may have a pulse period greater than 〇.01 seconds, while the implementation In the case of t, there may be a pulse period greater than 〇.01 seconds. During the cleaning period between pulses of another pulse, ... 1 hai, the pulse period may be as low as 0 seconds or continuous pulse without cleaning. The disclosed deposition method may be a sputum. The purge gas furnace ... ± step and one or more purge gas by-products, in the specific embodiment of the unconsumed reactants and / or reaction inertia , not (four) "(four) reaction body ° exemplary inert gas including, but not (four) ϋ, 201137157

He、Xe、氖、η2及其混合物。7在特定的具體實施例中, 例如Ar的洗淨氣體係於介於約1 〇至約2〇〇〇 seem的流速 下供應至該反應器内歷經約〇.1至10〇〇秒,藉以洗掉未反 應的材料及可能留在該反應器中的副產物。 在特定的具體實施例中,例如,舉例來說,對於介電 質另外包含氮》及/或碳的元素及/或其他物的那些具體實施 例’可將例如氮來源氣體的另一氣體引進該反應器。添加 物的實例可包括’舉例來說,NO、N〇2、氨、氨電衆、肼、 單烧基肼、二烧基肼、烴類、雜芳族烴類、蝴烧類、蝴氮 烷類及其組合。 在文中所述的方法的特定具體實施例中,該反應器或 沉積艙的溫度可介於環境溫度(例如,25°C)至約700。0有 關該ALD或CVD沉積的示範性反應器溫度包括具有任一 或更多下列端點的範圍:25、50、75、100、125、150、175、 200 、 225 、 250 、 275 、 300 、 325 、 350 、 375 、 400 、 425 、 450 、 475 、 500 、 525 、 550 、 575 、 600 、 625 、 650 、 675 或 7 〇 〇 C。特定反應器溫度範圍的實例包括但不限於,2 $它至 375°C,或 75°C 至 700°C,或 325°C 至 675。(:。在各個不同 具體實施例中,壓力可介於約〇· 1托耳至約1〇〇托耳或約 〇. 1托耳至約5托耳。在一特定具體實施例中,該介電膜係 利用熱CVD方法於介於100毫托耳至6〇〇毫托耳的壓力下 沉積。在另一特定具體實施例中,該介電膜係利用ALD方 法於1托耳或更低的壓力範圍下沉積。 在文中所述的方法的特定具體實施例中,在該反應器 17 201137157 或沉積艙中的基材溫度可介於環境溫度(例如,25°C)至約 700。0有關該ALD或CVD沉積的示範性基材溫度包括具 有任一或更多下列端點的範圍:25、50、75、100、125、 150 、 175 、 200 、 225 、 250 、 275 、 300 、 325 、 350 、 375 、 400 、 425 、 450 、 475 、 500 、 525 、 550 、 575 、 600 、 625 、 650、675及/或700°C。特定基材溫度範圍的實例包括但不 限於 ’25C 至 375°C ’ 或 75°C 至 700°C,或 325。(:至 675°C。 在特定的具體實施例中,該基材溫度可與沉積期間的反應 器溫度相同或於相同溫度範圍内。在其他具體實施例中, 該基材溫度與沉積期間的反應器溫度不同。 供應該等前驅物、氧來源及/或其他前驅物、來源氣體 及/或藥物的分別步驟可經由改變供應彼等的時間以改變 所得的介電膜的計量化學組成而進行。 將能量施於該前驅物、氧來源氣體、還原劑、其他前 驅物或其組合之至少其一以引發反應及在該基材上形成該 介電膜或塗層。此能量可經由,但不限於,熱、電漿、脈 衝電漿、螺旋電漿(heiicon piasma)、高密度電漿、誘導搞 合電衆、X-射線、電子束、光子及遠距電漿方法來提供。 在特定具體實施例中,可使用二次RF頻率來源以改變該基 材表面的電漿特性。在沉積涉及電漿的具體實施例中,該 電樂·產生方法可包含該反應器中直接產生電漿的直接電梨 產生方法’或選擇性地在該反應器外側產生電漿而且供應 至s玄反應器内的遠距電漿產生方法。 該等含矽前驅物及/或其他前驅物可以各式各樣的方 18 201137157 式遞送至該沉積艙’例如CVD或ALD反應器。在一具體 實施例中,可利用液體遞送系統。有一選擇性具體實施例 中’可運用合併液體遞送及閃蒸處理單元,例如,舉例來. 說’明尼蘇達州’休爾瓦的MSP有限公司所製造的渦輪蒸 發器使低揮發性材料能夠以體積遞送,導致可再現的輸送 及沉積而不會使該前驅物熱分解。在液體遞送配方中,文 中所述的前驅物可以純液體形態遞送,或者選擇性地,可 以溶劑配方或包含該前驅物的組成物加以運用。因此,在 特定具體實施例中,該等前驅物配方可包括指定的終端用 途應用可能想要及有益的適合特性的溶劑成分以在基材上 形成一膜。 在文中所述的方法之一具體實施例中,可運用循環式 沉積方法’例如CCVD、ALD或PEALD,其中運用至少一 具有式I至III的含矽前驅物及其組合及一氧來源,例如, 舉例來說,臭氧、氧電漿或水電漿。從該前驅物藥罐連接 到該沉積艙的氣體管路係依據程序的需求加熱至一或更多 溫度,而且將該具有式I至III的含石夕前驅物的容器注入保 持一或更多溫度的蒸發器以供直接液體注入。氬及/或其他 氣體可當作.載體氣體流以協助在該前驅物脈衝的期間遞送 該至少一含石夕前驅物至該反應艙。在特定具體實施例中, 該反應艙處理壓力為約1托耳或更低。在典型的ald CCVD方法中,例如氧化矽基材的基材係在一反應臉的加 熱器段上被加熱,該反應艙最初係暴露於該含碎前驅物以 使該錯合物能化學吸附在該基材的表面上。例如氯的洗,爭 201137157 氣體從該加工艙洗掉未被吸附 洗淨之後,可將—氧來源… ㈠物。經過充分的 應,接著另-氣體洗淨以從該艙移除…"'的表面反 、 /頫移除反應副產物。此加工 循環可重複進行以達到想要 〜的膜厚度。在各個不同具體實 施例中,咸瞭解文+ .^ ^ 中所述的方法的步驟可以各式各樣的順 序,可連續地或同時地進行(例如,在另—步驟的至少一部 =間),及其任何組合進行。供應該等前驅物及該等氧 來源氣體的分別步驟可藉由 π 化供應彼荨的時期以改變所 仔的介電膜的計量化學計量組成。 在文中所揭示的方法的另-具體實施例中,利用包含 下列步驟的⑽沉積方法形成該等介電膜: 物a.引進包含至少一選自具有下式卜的前驅 物之群組者的矽前驅物: Η R0-S 卜 〇R1 Η R〇-Si-〇R2 Η OR1 II III R、R1及R2各 自獨立地為院基、芳 Η RO-Si—Η 基、酿基或其組合;及 ▲任意-氧來源、氮來源或其組合,並且將該至少一矽 月1J驅物化學吸附於一基材上; b. 利用洗淨氣體洗掉該未反應的至少一含石夕前驅物; c. 任意將一氧來源引進該經加熱的基材上以與所吸 附的至少一含石夕前驅物反應;及 d. 任意洗掉該未反應的氧來源。 20 201137157 ,上述步驟界定有關文中所述的方法之一循環;而且此 循%可重複進行直到獲得想要厚度的介電膜為止。在各個 不同具體實施例中,咸瞭解文中所述的方法的步驟可以各 樣的順序,可連續地或同時地進行(例如,在另一步驟 的至夕部分的期間)’及其任何組合進行。供應該等前驅 物及任意氧來源氣體的分別步驟可藉由變化供應彼等的時 期以改篗所侍的介電膜的化學計量組成。冑關多成分介電 膜’其他前驅物例如含矽前驅物、含氮前驅物、還原劑或 其他藥物可於步驟“a”選擇性地引進該反應器驗。在此具體 實施例中,該反應器溫度可介於周遭溫度至6〇〇〇c。在各 個不同具體實施射,可將該反應器的壓力保持於i托耳 或更低。 在文中所述的方法的另一具體實施例中,利用熱cvd 方法沉積該介電膜》在此具體實施例中,胃方法包含:將 —或更多基材放進一經加熱至介於環境溫度至約7〇〇<>c或 4〇〇至700°C的溫度的反應器;引進包含至少一選自具有 下式I、II及III的前驅物之群組者的矽前驅物: Η RO-Si-H I ΗHe, Xe, 氖, η2 and mixtures thereof. In a particular embodiment, a scrub system such as Ar is supplied to the reactor at a flow rate of from about 1 Torr to about 2 〇〇〇seem for about 〇1 to 10 〇〇 seconds. The unreacted material and by-products that may remain in the reactor are washed away. In particular embodiments, for example, those embodiments in which the dielectric further contains nitrogen and/or carbon elements and/or other materials may introduce another gas such as a nitrogen source gas. The reactor. Examples of the additive may include, for example, NO, N〇2, ammonia, ammonia, hydrazine, monoalkyl hydrazine, dialkyl hydrazine, hydrocarbons, heteroaromatic hydrocarbons, smoldering, sulphur Alkanes and combinations thereof. In a particular embodiment of the method described herein, the temperature of the reactor or deposition chamber can range from ambient temperature (eg, 25 ° C) to about 700. 0. Exemplary reactor temperature for the ALD or CVD deposition. Includes ranges with any or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475 , 500, 525, 550, 575, 600, 625, 650, 675 or 7 〇〇C. Examples of specific reactor temperature ranges include, but are not limited to, 2 $ it to 375 ° C, or 75 ° C to 700 ° C, or 325 ° C to 675. (In various embodiments, the pressure may range from about 1 Torr to about 1 Torr or about 1 Torr to about 5 Torr. In a particular embodiment, The dielectric film is deposited using a thermal CVD method at a pressure of between 100 mTorr and 6 MTorr. In another specific embodiment, the dielectric film is ALD by 1 Torr or more. Deposition at a low pressure range. In a particular embodiment of the method described herein, the substrate temperature in the reactor 17 201137157 or deposition chamber may range from ambient temperature (eg, 25 ° C) to about 700. An exemplary substrate temperature for the ALD or CVD deposition includes a range having any one or more of the following endpoints: 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 600, 625, 650, 675 and/or 700 ° C. Examples of specific substrate temperature ranges include, but are not limited to, '25C to 375 ° C ' or 75 ° C to 700 ° C, or 325. (: to 675 ° C. In a specific concrete In one example, the substrate temperature can be the same as or within the same temperature range as the reactor during deposition. In other embodiments, the substrate temperature is different from the reactor temperature during deposition. Supplying the precursors, oxygen The separate steps of the source and/or other precursors, source gases, and/or drugs can be performed by varying the time at which they are supplied to change the metered chemical composition of the resulting dielectric film. Applying energy to the precursor, oxygen source gas At least one of a reducing agent, other precursors, or a combination thereof, to initiate a reaction and form the dielectric film or coating on the substrate. This energy can be via, but not limited to, heat, plasma, pulsed plasma, Provided by heiicon piasma, high density plasma, induced power, X-ray, electron beam, photon, and remote plasma methods. In a particular embodiment, a secondary RF frequency source can be used To modify the plasma characteristics of the surface of the substrate. In a specific embodiment in which the deposition involves plasma, the method of generating electricity can include a direct electric pear production method in which direct plasma is generated in the reactor. A method of selectively generating a plasma on the outside of the reactor and supplying it to a remote plasma in the s-reactor. The ruthenium-containing precursors and/or other precursors can be delivered in a variety of ways 18 201137157 To the deposition chamber, such as a CVD or ALD reactor. In a particular embodiment, a liquid delivery system can be utilized. In an alternative embodiment, a combined liquid delivery and flash processing unit can be utilized, for example, by way of example. Turbine evaporators manufactured by MSP Ltd. of 'Snowwah, Minnesota' enable low volatility materials to be delivered in volume, resulting in reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein can be delivered in pure liquid form or, alternatively, in a solvent formulation or a composition comprising the precursor. Thus, in particular embodiments, the precursor formulations can include a solvent component of a suitable end-use application that may be desirable and beneficial to form a film on the substrate. In one embodiment of the method described herein, a cyclic deposition method such as CCVD, ALD or PEALD can be utilized in which at least one ytterbium-containing precursor of the formulae I to III and combinations thereof and a source of oxygen are used, for example For example, ozone, oxygen plasma or water plasma. The gas line connecting the precipitant canister to the deposition chamber is heated to one or more temperatures as required by the program, and the vessel containing the Ishi precursors of Formulas I through III is injected to maintain one or more A temperature evaporator for direct liquid injection. Argon and/or other gases may be used as a carrier gas stream to assist in the delivery of the at least one Zeolite precursor to the reaction chamber during the pulse of the precursor. In a particular embodiment, the reaction chamber process pressure is about 1 Torr or less. In a typical ald CCVD process, a substrate such as a cerium oxide substrate is heated on a heater face of a reaction face that is initially exposed to the fused precursor to enable chemisorption of the complex. On the surface of the substrate. For example, the washing of chlorine, the 201137157 gas is washed away from the processing chamber and is not adsorbed. After washing, the source of oxygen can be... (1). After sufficient reaction, then another gas purge is performed to remove the &#; surface from the cabin. This processing cycle can be repeated to achieve the desired film thickness. In various embodiments, the steps of the method described in the text + . ^ ^ can be performed in a variety of sequences, either continuously or simultaneously (eg, at least one of the other steps = between ), and any combination thereof. The separate steps of supplying the precursors and the oxygen source gases can be varied by supplying π to the metered stoichiometric composition of the dielectric film. In another embodiment of the method disclosed herein, the dielectric films are formed using a deposition method comprising the following steps: (a) introducing a group comprising at least one precursor selected from the group consisting of:矽Precursor: Η R0-S 〇 R1 Η R〇-Si-〇R2 Η OR1 II III R, R1 and R2 are each independently a hospital base, a lanthanum RO-Si- thiol, a vat or a combination thereof; And ▲ arbitrary-oxygen source, nitrogen source or a combination thereof, and chemically adsorbing at least one month 1J of the precursor on a substrate; b. washing off the unreacted at least one stone-containing precursor by using a purge gas c. optionally introducing an oxygen source onto the heated substrate to react with at least one of the adsorbed precursors; and d. optionally washing away the unreacted source of oxygen. 20 201137157, the above steps define a cycle of one of the methods described herein; and this can be repeated until the desired thickness of the dielectric film is obtained. In various embodiments, the steps of the methods described herein can be performed in various sequences, either continuously or simultaneously (eg, during the period of the other step) and any combination thereof. . The separate steps of supplying the precursors and any oxygen source gases can be modified to provide a stoichiometric composition of the dielectric film. A multi-component dielectric film 'other precursors such as a ruthenium-containing precursor, a nitrogen-containing precursor, a reducing agent or other drug can be selectively introduced into the reactor at step "a". In this particular embodiment, the reactor temperature can range from ambient to 6 〇〇〇c. The pressure of the reactor can be maintained at iTorr or lower at various different implementations. In another embodiment of the method described herein, the dielectric film is deposited using a thermal cvd method. In this particular embodiment, the gastric method comprises: placing - or more substrates into the environment once heated a reactor having a temperature of about 7 Å <>c or a temperature of 4 Torr to 700 ° C; introducing a ruthenium precursor comprising at least one group selected from the group consisting of precursors of the following formulas I, II and III : Η RO-Si-H I Η

Η R0-S 卜 or2 OR1Η R0-S 卜 or2 OR1

III 其中式I、II及III中的R、R丨及R2夂 a K各自獨立地為烷基、III wherein R, R丨 and R2夂 a K in the formulae I, II and III are each independently an alkyl group,

基、醯基或其組合;及任意將一逻白铥A 遇自軋來源、氮來源或Base, sulfhydryl or a combination thereof; and optionally arbitrarily a source of nitrogen, a source of nitrogen or

組合的來源引進該反應器以將一介I 丨電膜沉積在該一或更 基材上’其中該反應器係於該引進步 疋,驟期間保持於介於1 21 201137157 毫托耳至6GG毫托耳㈣力。在特定具體實施财,該cvd 反應器㈣力可在約〇.G1T至約1T的範圍中。該反應性氣 體例如,舉例來說,〇2,的流速可介於5sccms 該-或更多含矽前驅物蒸氣的流速可可介於5 8“爪至2〇〇 seem。該沉積溫度與該反應器壁溫度相同。該溫度可在環 境溫度至約700〇C或約400γ至約7〇〇〇c的範圍。為此方 法預設沉積時間以產生想要厚度的冑。沉積速率可取決於 -或更多加工參數,其包括但不限於該沉積溫度、的流 速、載體氣體(He)的流速、該含石夕前驅物的液體質量流動、 蒸發器的溫度及/或該反應器的屋力。該蒸發器溫度可介於 2〇。(:至15〇〇C。該材料的沉積速率可在每㈣〇」⑽至 1〇〇〇 nm的範圍。該速率可藉由變化下列非限定參數之任 一者來控制:沉積溫度、蒸發器溫度、該lfc的流量、該 等反應性添加物的流速及/或該CVD反應器的壓力,舉例 來說。 在又另-具體實施例中,該方法可利用循環式cvd 方法進行。在此具體實施例中’可以相同ALD反應器用於 該循環式CVD方法。在由上述ALD方法沉積均勻的不含 氮膜之循環式CVD方法的差異之一為該石夕前驅物及氧前驅 物的劑量可大於ALD所用的劑量,因此沉積速率可比剔 高出許多。該沉積溫度可介於周遭溫度至約7〇〇〇c或4〇〇〇C 至約 700。(:。 在特定具體實施例中,所得的介電膜或塗層可暴露於 沉積後處理,例如’但不限於’電栽處理、化學處理、紫 22 201137157 外光曝光t子束曝光及/或其他處理以影響該薄膜的 更多性質。 文中所述的;I電膜具有7或更低的介電常數。較佳 地,該等膜具有約6或更低,或約5或更低,或約4或更 低的介電常數。 如前文提及的,文中所述的方法可用以在一基材的至 少-部分上沉積介電膜。適合基材的實例包括但不限於, 矽、SA、Sl3N4、有機氧化石夕玻璃(〇SG)、氟化氧化石夕玻 璃(FSG)、碳化梦、氫化碳切、氮切、氫化氮切、碳 氮化石夕、氫化碳氮化⑦、職化物、抗反射塗層、光阻劑' 有機聚合物、多孔性有機及無機材料、金屬例如銅及鋁及 擴散阻障層例如但不限於,TiN、Ti(c)N、TaN、Ta(^N、 W或WN。該等薄膜可與各式各樣的後續加工步驟相 各,例如,舉例來說,化學機械平坦化(CMp)及各向異性蝕 刻程序。該基材可為均勻或經圖案化、平坦或具有特徵, 平面狀或非平面狀。 所沉積的介電膜的應用包括但不限於電腦晶片、光學 置磁性^料儲存、在支稽'材料或基材上的塗層、微電 機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶 顯示器(LCD)。 下列實施例舉例說明用於製備文中所述的介電膜的 方法’而且不欲以任何方式限制本發明。 實施例 23 201137157 在下列實施例中,除非另行指明,否則由沉積在介質 電阻率(8至12 Ocm)單晶矽晶圓基材上的樣品膜獲得性 質。在此研究中使用低壓化學氣相沉積(LPCVD)水平爐或 ATVPE0 612爐進行CVD沉積。利用蒸氣牵引及根據該前 驅物材料的蒸氣壓調整的管路溫度將該等前驅物遞送至該 爐。用於此研究的原子層沉積機具為裝設用於加熱前驅物 遞送的環境烘箱(environmental oven)之R&D設計的水平管 式爐。該系統能從室溫至700。(:進行沉積。所有電漿為底 的/儿積藉由在裝配Advanced Energy 2000無線射頻(rf)產 生器之 200 mm DXZ 艙中的 Applied Materials Precisi〇n 5000系統’利用TEOS加工套組來進行。 利用標準折射儀或橢圓儀測量系統,例如,舉例來 說,FilmTek 2000SE橢圓儀,並且利用眾所皆知的數據適 配技術進行厚度及光學性質,例如該等介電膜的折射率的 測量。 使用裝配多通道板檢測器(MCD)及鋁單色x_射線來 源的 Physical Electronics 5000VersaProbe XPS 分光計來定 義該等膜的化學組成的特徵。使用Alka X-射線激發(25 Ma 及15 kv)收集該xps數據。於117 eV通行能量(叫8 energy)' 50毫秒暫停時間及i .〇 eV/步驟收集該低解析度測 里光谱。於23.5 eV通行能量、50 msec毫秒暫停時間、〇 1 eV/步驟收集該高解析度區域光譜。該分析面積於45。的出 射角為100 μιη。由該高解析度區域光譜測量該等峰面積及 應用該透射函數校正的原子靈敏度因子測定定量元素分 24 201137157 收集並且使用CasaXPS nm Si02/Si 來校正 析。使用PHI Summitt軟體進行數據 軟體進行數據分析。轴刻速率靠著 而且為大約12〇A/min。 蝕刻試驗係於6: 1經緩衝的氧化物蝕刻劑(“b〇e”)溶 液中進行’該溶液具有6份40%NH4F在水中及W>49%HF 溶液在水中的體積比以形成經緩衝的氧化物蝕刻劑。將示 範性介電膜置於HF溶液中30秒,接著在再度測量蝕刻期 間的材料損失之前以去離子(DI)水沖洗並且乾燥。重複進 仃该製程直到該等膜完全被蝕刻為止。接著由該蝕刻時間 對比於被蝕刻掉的厚度的斜率計算蝕刻速率。在蝕刻前後 於橫越該膜表面的3個不同點測量該等膜,連同比較性氧 化矽膜,的厚度。 利用裝配DTGS KBR檢測器及KBr分光器(beam splitter)的Thermo Nicolet Nexus 470系統或類似系統在該 等晶圓上收集傅利葉轉換紅外線光譜(FTIR)數據。在類似 的介質電阻率晶圓上收集背景光譜以從光譜消除c〇2及 水。數據經常藉由利用4 cm·1的解析度收集32次於4000 至400 cnT1的範圍中獲得。所有膜通常都經基線校正,將 強度標準化至500 nm的膜厚度,而且測定感興趣的峰面積 及高度。 根據ASTM Standard D150-98測定各樣品膜的介電常 數。介電常數,k,係由利用,舉例來說,MDC 802B-150The source of the combination is introduced into the reactor to deposit a dielectric film on the one or more substrates, wherein the reactor is held in the process, and is maintained between 1 21 201137157 mTorr to 6 GG. Tor (four) force. In a particular implementation, the cvd reactor (iv) force can range from about G.G1T to about 1T. The reactive gas, for example, 〇2, may have a flow rate of 5 sccms. The flow rate of the ruthenium-containing precursor vapor may be between 58 and 2 〇〇seem. The deposition temperature and the reaction The wall temperature is the same. The temperature may range from ambient temperature to about 700 〇 C or from about 400 γ to about 7 〇〇〇 c. The deposition time is preset for this method to produce enthalpy of the desired thickness. The deposition rate may depend on - Or more processing parameters including, but not limited to, the deposition temperature, the flow rate, the flow rate of the carrier gas (He), the liquid mass flow of the Schlebium precursor, the temperature of the evaporator, and/or the house force of the reactor The evaporator temperature can be between 2 〇. (: to 15 〇〇 C. The deposition rate of the material can range from (10) to 1 〇〇〇 nm per (four) 。. The rate can be varied by changing the following non-limiting parameters Either to control: deposition temperature, evaporator temperature, flow rate of the lfc, flow rate of the reactive additives, and/or pressure of the CVD reactor, for example, in yet another embodiment, This method can be performed using the cyclic cvd method. In the example, the same ALD reactor can be used for the cyclic CVD method. One of the differences in the cyclic CVD method of depositing a uniform nitrogen-free film by the above ALD method is that the dose of the stellate precursor and the oxygen precursor can be greater than The dosage used for ALD, and therefore the deposition rate, can be much higher than the deposition temperature. The deposition temperature can range from about 7 〇〇〇c or 4 〇〇〇C to about 700. (In a particular embodiment, the The dielectric film or coating may be exposed to post-deposition treatments such as, but not limited to, electro-potential treatment, chemical treatment, Violet 22 201137157 external light exposure t-beam exposure and/or other treatments to affect more properties of the film. The I film has a dielectric constant of 7 or lower. Preferably, the films have a dielectric constant of about 6 or less, or about 5 or less, or about 4 or less. As mentioned previously, the methods described herein can be used to deposit a dielectric film on at least a portion of a substrate. Examples of suitable substrates include, but are not limited to, ruthenium, SA, Sl3N4, organic oxidized oxide glass ( 〇SG), fluorinated oxidized olivine glass (FSG), carbonized dream , hydrogenated carbon cutting, nitrogen cutting, hydrogen sulfide cutting, carbonitriding, hydrogenation carbonitriding 7, chemical compounds, anti-reflective coatings, photoresists 'organic polymers, porous organic and inorganic materials, metals such as copper and The aluminum and diffusion barrier layers are, for example but not limited to, TiN, Ti(c)N, TaN, Ta(^N, W or WN. The films may be associated with a variety of subsequent processing steps, for example, by way of example Said, chemical mechanical planarization (CMp) and anisotropic etching procedures. The substrate can be uniform or patterned, flat or feature, planar or non-planar. Applications of deposited dielectric films include but not Limited to computer chips, optical magnetic storage, coatings on materials or substrates, micro-electromechanical systems (MEMS), nano-motor systems, thin-film transistors (TFTs), and liquid crystal displays (LCDs). The following examples illustrate the process for preparing the dielectric films described herein and are not intended to limit the invention in any way. Example 23 201137157 In the following examples, the properties of a sample film deposited on a dielectric resistivity (8 to 12 Ocm) single crystal germanium wafer substrate were obtained unless otherwise specified. CVD deposition was performed in this study using a low pressure chemical vapor deposition (LPCVD) horizontal furnace or an ATV PE0 612 furnace. The precursors are delivered to the furnace using steam draw and line temperatures adjusted according to the vapor pressure of the precursor material. The atomic layer deposition apparatus used in this study was a horizontal tube furnace designed with R&D equipped with an environmental oven for heating the delivery of precursors. The system can be from room temperature to 700. (: Deposition is performed. All plasma-based/children are processed by the Applied Materials Precisi〇n 5000 system in the 200 mm DXZ chamber equipped with the Advanced Energy 2000 radio frequency (RF) generator. Using a standard refractometer or ellipsometer measurement system, such as, for example, the FilmTek 2000SE ellipsometer, and utilizing well-known data adaptation techniques for thickness and optical properties, such as the measurement of the refractive index of such dielectric films. The characteristics of the chemical composition of these films were defined using a Multichannel Plate Detector (MCD) and an aluminum monochromatic x-ray source of Physical Electronics 5000 VersaProbe XPS spectrometer. Alka X-ray excitation (25 Ma and 15 kv) was used. Collect the xps data. Collect the low-resolution Lattice spectrum at 117 eV pass energy (called 8 energy)' 50 ms pause time and i.〇eV/step. Pass at 23.5 eV, 50 msec millisecond pause time, 〇1 The high-resolution region spectrum is collected by the eV/step. The analysis area is 45°, and the exit angle is 100 μm. The peak area is measured by the high-resolution region spectrum and the application is applied. Transmission function corrected atomic sensitivity factor determination Quantitative element scores 24 201137157 Collected and calibrated using CasaXPS nm Si02/Si. Data analysis was performed using the PHI Summitt software for data software. The axis engraving rate was approximately 12 〇A/min. The etching test was carried out in a 6:1 buffered oxide etchant ("b〇e") solution. The solution has a volume ratio of 6 parts of 40% NH4F in water and W>49% HF solution in water to form a Buffered Oxide Etchant. Place the exemplary dielectric film in HF solution for 30 seconds, then rinse and dry with deionized (DI) water before re-measuring material loss during etching. Repeat the process until such time The film is completely etched. The etch rate is then calculated from the etch time versus the slope of the etched thickness. The films are measured at three different points across the surface of the film before and after etching, along with a comparative yttrium oxide film. The thickness of the Fourier Turn was collected on the wafers using a Thermo Nicolet Nexus 470 system or similar system equipped with a DTGS KBR detector and a KBr splitter. Infrared spectroscopy (FTIR) data. The background spectrum is collected on a similar dielectric resistivity wafer to remove c〇2 and water from the spectrum. The data is often collected 32 times from 4000 to 400 cnT1 by using a resolution of 4 cm·1. Obtained in the scope. All membranes are typically corrected for baseline, normalized to a film thickness of 500 nm, and peak area and height of interest are determined. The dielectric constant of each sample film was measured in accordance with ASTM Standard D150-98. Dielectric constant, k, is utilized, for example, MDC 802B-150

Mercury Probe所測得的C-V曲線算出來。該MDC 802B-150The C-V curve measured by Mercury Probe is calculated. The MDC 802B-150

Mercury Probe由盛裝該樣品及在待測量的膜上形成電氣接 25 201137157 觸的探針台、Keithley 236電源表及用於c_v測量的 HP4284A LCR表構成。使用具有相對低的電阻率(薄片電陴 低於0.02 ohm-cm)的矽晶圓來沉積用於c_v測量的膜。使 用正面接觸模式形成連至該膜的電氣接觸。透過細管從貯 槽將液態金屬(汞)推出於該晶圓表面以形成二導電接點。 根據推出該汞的管之直徑計算該等接點面積。接著由此公 式k=電容X接觸面積/該膜厚度計算該介電常數。 實施例1 .藉由化學氣相沉積法利用二第三丁氧基矽烷 (DTBOS)沉積氧化矽膜 〃利用該等前驅物DTB0S及作為該氧來源的氧沉積示 範性氧化矽膜。纟1中提供各膜的沉積條件。表2中提供 各膜的特性。 ' 表1 示範臈 1 沉積溫 度(0C) 壓力(毫 托耳) 前驅物流 量設定(°/〇) 30 前驅物流 量(seem) 14.11 氧流量 (seem) 20 ——— 沉積時 間(分) ^— 50 550 250 2 650 ----- 250 30 14.11 40 3 600 500 30 12.67 40 ~99^~ 4 650 500 30 13.46 40 ----- 99 5 650 250 30 14.26 40 99 6 650 250 30 10.46 40 —. 30 seem =每分鐘標準立方公分 26 201137157 表2The Mercury Probe consists of a probe station that holds the sample and forms an electrical connection on the film to be measured, a Keithley 236 power meter, and an HP4284A LCR meter for c_v measurement. A film for c_v measurement was deposited using a germanium wafer having a relatively low resistivity (sheet current below 0.02 ohm-cm). The front contact mode is used to form an electrical contact to the film. Liquid metal (mercury) is pushed out of the surface of the wafer from the sump through a thin tube to form two conductive contacts. The joint areas are calculated based on the diameter of the tube from which the mercury is introduced. The dielectric constant is then calculated from this formula k = capacitance X contact area / film thickness. Example 1. Deposition of a ruthenium oxide film by chemical vapor deposition using di-tert-butoxy decane (DTBOS) 示 The precursor DTB0S and oxygen as a source of the oxygen were used to deposit an exemplary yttrium oxide film. The deposition conditions of each film are provided in 纟1. The characteristics of each film are provided in Table 2. Table 1 Demonstration 臈1 Deposition temperature (0C) Pressure (mTorr) Precursor flow setting (°/〇) 30 Precursor flow (seem) 14.11 Oxygen flow (seem) 20 ——— Deposition time (minutes) ^— 50 550 250 2 650 ----- 250 30 14.11 40 3 600 500 30 12.67 40 ~99^~ 4 650 500 30 13.46 40 ----- 99 5 650 250 30 14.26 40 99 6 650 250 30 10.46 40 — 30 seem = standard cubic centimeters per minute 26 201137157 Table 2

圖1中顯示實施例1之示範膜之一非常均勻者,不含 例如碳及氮的元素之咼純度膜,的典型Χρς,二 ^ 土 ,而且表3中 也列出不同元素的組成+。由圖1及表3中可目5|| T j見到,該等膜 中都檢測到碳及氮。 表3.該高純度二氧化矽膜(以原子%為單位)的化學組成 ND -量低於檢測極限One of the exemplary films of Example 1 is shown in Figure 1 as being very homogeneous, without the purity of the film of elements such as carbon and nitrogen, typical of Χρς, TiO, and Table 3 also lists the composition of the different elements. It can be seen from the appearance of 5|| T j in Fig. 1 and Table 3 that carbon and nitrogen are detected in the films. Table 3. Chemical composition of the high-purity cerium oxide film (in atomic %) ND - amount is below the detection limit

表3B.不含氮的二氧化矽膜(以原子%為單位)的化學組 成’對應圖1所示的光譜 元素 濃度 64.2 34.1Table 3B. Chemical composition of a nitrogen-free ceria film (in atomic %) corresponds to the spectral element concentration shown in Figure 1 64.2 34.1

27 201137157 實施例2 :該膜的厚度均勻性 利用橢圓測量使用文中所述的方法及組成所形成的 不含氮的二氧化石夕膜的厚度。相對於利用當下可利用的方 法所沉積的二氧化氮石夕膜的差均句性,利用本發明所述的 方法所沉積的膜顯示於基材(或晶圓)内的膜均勻性急劇改 善。圖2中提供在本發明所用的膜與現行方法所用的膜之 間的該膜厚度均勻性的比較,其巾χ_軸表示於晶圓基材的 測量位置而且y-軸表示各點處的厚度相對於該膜平均厚度 的偏差。由圖2可見到使用文中所述的方法所沉積的膜比 起其他膜橫越該晶圓基材更均勻許多。 常用於該等薄膜的厚度均勻性的公式,也就是說,均 勻性=(最大厚度-最小厚度)/(2*平均值)* ι〇〇〇/0 表4中提供使用文中所述的方法所形成的膜的厚度均 勻性。表4中的結果顯示文中所述的方法的膜均勻性比使 用現行方法(前驅物)所形成的膜更好多於1 〇倍。 表4.不同二氧化矽膜的厚度均勻性(〇/0) ----- 1 沉積像用 二第三丁氧 基矽烷 第三丁基矽 烧 二乙基矽烷 膜均勻性 1.43 35.0 18.74 實施例3 : K及介電常數 28 201137157 肖文中所述的方法开’成的氧化矽膜的介電常數係 衍生自圖3所示的c_v作圖。對於該膜的習知厚度及所用 的采探針的接觸面積,發現該膜的介電常數為4.47。 二第三丁氧基矽烷前驅 下沉積的膜的比較 實施例4 :藉由電漿強化cvd利用 物及乙氧基矽烷在不同製程條件之 在下列實施例中,除非另行指明,否則性質均由沉積 =介質電阻率(8-12㈣單晶石夕晶圓基材上的樣品膜獲 得。沉積溫度為200、300及400。(:。 表5提供用於比較該等前驅物或二第三丁氧基矽烷 (DTBOS)及比較性前驅物四乙氧基钱(TE〇_ 3個不同 加工條件的彙總。將此3個不同加工條件標示為BL·卜bl_2 及 BL-3。 表5 製程條件 BL-1 --'^ BL-2 BL-3 月’J驅物流量(seem) 107 45 27 He (載體) ------- 1000 1000 1000 02 1100 ------ 1100 ----—. 700 壓力(托耳) 8.2 "---- 8.2 3.5 間隔(密爾) 500 500 ' ^--^ 800 功率密度(W/cm2) 2.27 ——一 2.27 0.87 *---- — 表 6提供關於TEOS對比於DTBOS針對該 B L1條件 29 201137157 的κ值、沉積速率及濕式蝕刻速率的比較。就相同前驅物 的體積流量而言DTBOS的沉積速率係高於TEOS。這顯示 就PECVD沉積而言DTBOS可能比TEOS更有效率。此外, 該DTBOS沉積膜的WER係與該TEOS沉積膜的WER相等 或更好。這暗示利用該DTBOS前驅物所沉積的Si02膜的 同等或較佳密度。 表6 K 值 D/R(A/min) WER A/min,6:l BOE T TEOS DTBOS TEOS DTBOS TEOS DTBOS 200 4.99 5.78 5310 6174 4278 4096 300 4.43 4.55 4644 5200 2958 2844 400 4.21 4.16 3072 3714 2100 1888 表7提供關於TEOS對比於DTBOS利用該BL2加工 條件的K值、沉積速率及濕式蚀刻速率的比較。就相同前 驅物的體積流量而言DTBOS的沉積速率係高於TEOS。這 證明就PECVD沉積而言DTBOS前驅物的較高效率。然而, 該WER係與該TEOS膜的WER相等或更好。這暗示由 DTBOS所形成的Si02膜的同等或較佳密度。 30 201137157 表7 K 值 D/R (A/min) WER A/min, 6:1 BOE T TEOS DTBOS TEOS DTBOS TEOS DTBOS D/R D/R 200 4.65 4.89 1201 1722 2958 2602 300 4.39 4.52 1003 1430 2304 1980 400 4.19 4.46 1045 1004 1840 1726 表8提供關於TEOS對比於DTBOS針對該BL3加工 條件的K值、沉積速率及濕式蝕刻速率的比較。就相同前 驅物的體積流量而言DTBOS的沉積速率與TEOS相等。然 而,該WER顯然比該TEOS膜的WER更好。這暗示由 DTBOS所形成的Si02膜的較佳密度。另外,DTBOS的K 值較低,暗示較少水分吸收。 表8 K 值 D/R (A/min) WER A/min, 6:1 BOE T TEOS DTBOS TEOS DTBOS TEOS DTBOS 200 5.9 5.4 1014 1003 5382 4075 300 4.45 4.38 818 803 3504 3006 400 4.25 4.13 655 416 2340 2007 31 201137157 圖4顯示利用表3中所述的所有基線條件及沉積溫度 (例如,BL-1、BL-2 和 BL-3 及 200。、300°及 400°C)所沉積 膜的WER的比較。就相同K而言DTBOS膜具有較低 WER,暗示較高的密度及較高品質的氧化物膜。因此, DTBOS可於PECVD沉積之相對低的溫度製造比TEOS品 質優異的膜。 下文表9提供TEOS及DTBOS在上文表5所定義的 製程條件BL1、BL2及BL3之下於不同溫度的擊穿電壓 (Vbd)。一般,該穿穿電壓為8至12 MV/cm,而且該二前 驅物之間相當。圖5、6及7顯示就TEOS沉積膜對比於 DTBOS沉積膜於200°C及300°C沉積來看該洩漏電流對比 於電場的作圖。 圖5提供關於TEOS對比於DTBOS於200°C及300°C 沉積就BL1條件而言洩漏電流對比於電場的作圖。因為就 BL1而言DTBOS具有於200°C比TEOS更高的K及WER, 所以也見到對於膜洩漏的衝擊。然而,這是DTBOS顯示比 TEOS更差的洩漏性能的唯一條件。參照300°C數據及參照 圖6及7所見到的,DTBOS Si02洩漏大體上優於TEOS Si02 茂漏。 圖6提供關於TEOS對比於DTBOS於200°C及300°C 沉積就BL2條件而言該洩漏電流對比於電場的作圖。即使 DTBOS具有較高的D/R ; DTBOS Si02膜的洩漏比TEOS Si02膜的洩漏更低,證實優異的電氣性質並且支持該WER 數據。 32 201137157 圖7提供關於TEOS對比於DTBOS於200°C及300°C 沉積就BL3條件而言該洩漏電流對比於電場的作圖。總而 言之就BL3而言,DTBOS的茂漏比TEOS更低。 表9 BL1 TEOS DTBOS 200°C 9.6 12.7 (有漏電) 300°C 9.68 10.26 400°C 7.9 9.26 BL2 TEOS DTBOS 200°C 10.4 10.29 300°C 10.7 8.67 400°C 9.5 9.7 BL3 TEOS DTBOS 200°C 10.9 9.89 (有漏電) 300°C 9.7 (有漏電) 9.74 400°C 9 9.61 圖8提供DTBOS比起雙(第三丁基)胺基矽烷(又名為 BTBAS)的動態二次離子質譜儀數據(D-SIMS)。由BTBAS XPS數據已知,該等CVD方法典型提供約10原子%碳(氫 除外)。以此與表3相比,其中DTBOS膜中的碳量檢測不 到。該D-SIMS數據指示大約低2個數量級的碳含量,暗 示這些膜中的實際碳量,由與BTBAS XPS數據的比較推 33 201137157 斷,可能< o.i原子%。 表10中提供來自DTBOS的ALD沉積數據。由這些 膜的適當折射率證明氧化矽的沉積。 表10 晶 圓 溫 度 來源 脈衝 (秒) 臭氧 脈衝 (秒) 循 環 數 平均 厚度 (A) 平均 折射 率 埃/循環 均勻 性 (%) 400 0.5 2.0 500 41 1.3379 0.0813 20.90 600 0.5 2.0 500 75 1.5547 0.1503 15.30 600 0.5 2.0 500 80 1.5425 0.1607 18.67 650 0.5 2.0 500 239 1.4365 0.4783 34.29 300 1.0 2.0 500 27 1.4457 0.0543 25.77 400 1.0 2.0 500 48 1.2477 0.0967 10.34 500 1.0 2.0 500 50 1.4343 0.1000 9.00 600 1.0 2.0 500 114 1.5324 0.2287 19.24 650 1.0 2.0 500 335 1.4574 0.6690 32.29 600 2.0 2.0 500 282 1.3983 0.5647 32.05 650 2.0 2.0 500 559 1.4476 1.1180 32.56 本發明也包括具有如上所述的反應物之包裝,其包含 一具有一入口及一出口之電拋光不銹鋼容器,該入口及出 34 201137157 口具有高純度低無效空間閥(deadspace valves),該办。。人 有第三丁氧基矽烷、異丙基矽烷、乙氧基矽烷、正丁氧美 石夕烷、異丁氧基矽烷、甲氧基矽烷、戊氧基矽烷、二第二 丁氧基矽烷、二異丙氧基矽烷、二乙氧基矽烷、二正丁氧 基石夕烧、二異丁氧基矽烷' 二曱氧基矽烷、二戊氧基發院、 三第三丁氧基矽烷、三異丙氧基矽烷、三乙氧基石夕境、二 正丁氧基矽烷、三異丁氧基矽烷、三甲氧基矽烷或三 基矽烷。 本發明的反應物及方法可用以製造選自由下列穿置 所組成的群組之裝置:光學裝置、磁性資料儲存裝置、在 支樓材料或基材上的塗層、微電機系統(MEMS)、奈米t $ 系統、薄膜電晶體(TFT)及液晶顯示器(LCD) » 【圖式簡單說明】 圖1提供使用實施例1所述的方法所沉積的臈之χ_ 射線光電子光譜儀(XPS)的結果。 圖2提供使用依據實施例2所述的方法之第三丁基石夕 烧、二乙基矽烷及二第三丁氧基矽烷(DTBOS)所沉積的3 個示範臈之厚度均勻性。 圖3提供使用所述的前驅物DTBOS利用表1所提供 的製程條件之一沉積由示範膜所獲得的介電常數的圖形。 圖4顯示利用該等實施例中所述的BL1條件於3個不 同沉積溫度或400。(:、300oC、200。(:下沉積的臈的濕式餘 刻速率(WER)的比較。 35 201137157 圖4顯示DTBOS沉積膜於所有溫度均具有比TEOS 膜低的WER。 圖5提供TEOS對比於DTBOS就實施例4的表3中 所述的BL1條件而言於200°C及300°C沉積之洩漏電流對 比於電場的作圖。 圖6提供TEOS對比於DTBOS就實施例4的表3中 所述的BL2條件而言於200°C及300°C沉積之洩漏電流對 比於電場的作圖。 圖7提供TEOS對比於DTBOS就實施例4的表3中 所述的BL3條件而言於200°C及300°C沉積之洩漏電流對 比於電場的作圖。 圖8提供由那些前驅物所沉積的CVD膜中的DTBOS 與雙(第三丁基)胺基矽烷(BTBAS)作比較的動態二次離子 質譜儀數據(D-SIMS)。 3627 201137157 Example 2: Thickness uniformity of the film The thickness of the nitrogen-free dioxide dioxide film formed by the method and composition described herein was measured by ellipsometry. The film deposited by the method of the present invention exhibits a sharp improvement in film uniformity in the substrate (or wafer) relative to the difference in uniformity of the nitrous oxide film deposited by the currently available method. . Figure 2 provides a comparison of the uniformity of the film thickness between the film used in the present invention and the film used in the current method, wherein the frame axis represents the measurement position of the wafer substrate and the y-axis represents the point at each point. The deviation of the thickness from the average thickness of the film. It can be seen from Figure 2 that the film deposited using the methods described herein is much more uniform across the wafer substrate than the other films. Formulas commonly used for thickness uniformity of such films, that is, uniformity = (maximum thickness - minimum thickness) / (2 * average) * ι 〇〇〇 / 0 Table 4 provides the methods described in the text. The thickness uniformity of the formed film. The results in Table 4 show that the film uniformity of the method described herein is more than 1 更好 better than the film formed using the current method (precursor). Table 4. Thickness uniformity of different ruthenium dioxide films (〇/0) ----- 1 deposition like di-butoxy decane, tert-butyl oxime, diethyl decane film uniformity 1.43 35.0 18.74 Example 3: K and Dielectric Constant 28 The dielectric constant of the yttrium oxide film formed by the method described in Xiao Wenzhong is derived from the c_v plot shown in FIG. The dielectric constant of the film was found to be 4.47 for the conventional thickness of the film and the contact area of the probe used. Comparative Example 4 of a film deposited by a precursor of a second butoxyoxane precursor: the plasma-enhanced cvd utilization and ethoxy decane in different process conditions in the following examples, unless otherwise specified, the properties are Deposition = Dielectric Resistivity (8-12 (4) Single crystals were obtained from the sample film on the wafer substrate. The deposition temperatures were 200, 300, and 400. (: Table 5 is provided for comparing the precursors or the second third. A combination of oxydecane (DTBOS) and comparative precursor tetraethoxyl (TE〇_3 different processing conditions. These three different processing conditions are labeled as BL·b bl_2 and BL-3. Table 5 Process Conditions BL-1 --'^ BL-2 BL-3 month 'J drive flow (seem) 107 45 27 He (carrier) ------- 1000 1000 1000 02 1100 ------ 1100 -- ---. 700 Pressure (Torr) 8.2 "---- 8.2 3.5 Interval (Mil) 500 500 ' ^--^ 800 Power Density (W/cm2) 2.27 - A 2.27 0.87 *---- – Table 6 provides a comparison of the κ value, deposition rate and wet etch rate for TEOS versus DTBOS for this B L1 condition 29 201137157. DTB for the volume flow of the same precursor The deposition rate of OS is higher than that of TEOS. This shows that DTBOS may be more efficient than TEOS in terms of PECVD deposition. Furthermore, the WER of the DTBOS deposited film is equal to or better than the WER of the TEOS deposited film. This implies the use of the DTBOS. The equivalent or preferred density of the SiO 2 film deposited by the precursor. Table 6 K value D/R (A/min) WER A/min, 6:1 BOE T TEOS DTBOS TEOS DTBOS TEOS DTBOS 200 4.99 5.78 5310 6174 4278 4096 300 4.43 4.55 4644 5200 2958 2844 400 4.21 4.16 3072 3714 2100 1888 Table 7 provides a comparison of the K value, deposition rate and wet etch rate for TEOS versus DTBOS using the BL2 processing conditions. For the volume flow of the same precursor, DTBOS The deposition rate is higher than TEOS. This demonstrates the higher efficiency of the DTBOS precursor for PECVD deposition. However, the WER is equal or better than the WER of the TEOS film. This implies the equivalent of the SiO2 film formed by DTBOS. Or better density. 30 201137157 Table 7 K value D/R (A/min) WER A/min, 6:1 BOE T TEOS DTBOS TEOS DTBOS TEOS DTBOS D/RD/R 200 4.65 4.89 1201 1722 2958 2602 300 4.39 4.52 1003 1430 2304 1980 400 4.19 4.46 1045 1004 1840 1726 Table 8 provides a comparison of the K value, deposition rate, and wet etch rate for TEOS versus DTBOS for the BL3 processing conditions. The deposition rate of DTBOS is equal to TEOS for the volumetric flow rate of the same precursor. However, the WER is clearly better than the WER of the TEOS film. This implies a better density of the SiO 2 film formed by DTBOS. In addition, DTBOS has a lower K value, suggesting less moisture absorption. Table 8 K value D/R (A/min) WER A/min, 6:1 BOE T TEOS DTBOS TEOS DTBOS TEOS DTBOS 200 5.9 5.4 1014 1003 5382 4075 300 4.45 4.38 818 803 3504 3006 400 4.25 4.13 655 416 2340 2007 31 201137157 Figure 4 shows a comparison of the WER of the deposited films using all of the baseline conditions and deposition temperatures (e.g., BL-1, BL-2 and BL-3 and 200, 300 and 400 °C) described in Table 3. The DTBOS film has a lower WER for the same K, suggesting a higher density and higher quality oxide film. Therefore, DTBOS can produce a film superior in quality to TEOS at a relatively low temperature of PECVD deposition. Table 9 below provides the breakdown voltage (Vbd) of TEOS and DTBOS at different temperatures under process conditions BL1, BL2 and BL3 as defined in Table 5 above. Typically, the penetration voltage is 8 to 12 MV/cm and the two precursors are comparable. Figures 5, 6 and 7 show the comparison of the leakage current versus the electric field for the TEOS deposited film compared to the DTBOS deposited film deposited at 200 ° C and 300 ° C. Figure 5 provides a plot of leakage current vs. electric field for TE1 versus DTBOS at 200 °C and 300 °C for BL1 conditions. Since DTBOS has a higher K and WER at 200 °C than TEOS in terms of BL1, the impact on film leakage is also seen. However, this is the only condition that DTBOS shows worse leakage performance than TEOS. Referring to the 300 °C data and as seen in Figures 6 and 7, the DTBOS Si02 leakage is generally superior to the TEOS Si02 leakage. Figure 6 provides a plot of the leakage current vs. electric field for TEOS versus DTBOS at 200 °C and 300 °C for BL2 conditions. Even though DTBOS has a higher D/R; the leakage of the DTBOS SiO2 film is lower than that of the TEOS SiO2 film, confirming excellent electrical properties and supporting the WER data. 32 201137157 Figure 7 provides a plot of the leakage current vs. electric field for TEOS versus DTBOS at 200 °C and 300 °C for BL3 conditions. In general, in the case of BL3, the leakage of DTBOS is lower than that of TEOS. Table 9 BL1 TEOS DTBOS 200°C 9.6 12.7 (with leakage) 300°C 9.68 10.26 400°C 7.9 9.26 BL2 TEOS DTBOS 200°C 10.4 10.29 300°C 10.7 8.67 400°C 9.5 9.7 BL3 TEOS DTBOS 200°C 10.9 9.89 (Leakage) 300°C 9.7 (with leakage) 9.74 400°C 9 9.61 Figure 8 provides data on dynamic secondary ion mass spectrometry of DTBOS compared to bis(t-butyl)amino decane (also known as BTBAS). -SIMS). As is known from the BTBAS XPS data, these CVD processes typically provide about 10 atomic percent carbon (except hydrogen). In this way, compared with Table 3, the amount of carbon in the DTBOS film was not detected. The D-SIMS data indicates a carbon content of approximately two orders of magnitude lower, indicating the actual amount of carbon in these membranes, as compared to the BTBAS XPS data, 33 201137157, possibly < o.i atomic %. ALD deposition data from DTBOS is provided in Table 10. The deposition of cerium oxide is evidenced by the proper refractive index of these films. Table 10 Wafer temperature source pulse (seconds) Ozone pulse (seconds) Cycle number average thickness (A) Average refractive index angstrom / cycle uniformity (%) 400 0.5 2.0 500 41 1.3379 0.0813 20.90 600 0.5 2.0 500 75 1.5547 0.1503 15.30 600 0.5 2.0 500 80 1.5425 0.1607 18.67 650 0.5 2.0 500 239 1.4365 0.4783 34.29 300 1.0 2.0 500 27 1.4457 0.0543 25.77 400 1.0 2.0 500 48 1.2477 0.0967 10.34 500 1.0 2.0 500 50 1.4343 0.1000 9.00 600 1.0 2.0 500 114 1.5324 0.2287 19.24 650 1.0 2.0 500 335 1.4574 0.6690 32.29 600 2.0 2.0 500 282 1.3983 0.5647 32.05 650 2.0 2.0 500 559 1.4476 1.1180 32.56 The invention also includes a package having a reactant as described above, comprising an electropolished stainless steel container having an inlet and an outlet, The inlet and outlet 34 201137157 have high purity and low dead space valves. . The human has a third butoxy decane, isopropyl decane, ethoxy decane, n-butoxide, oxetane, isobutoxy decane, methoxy decane, pentoxy decane, and a second butoxy decane. , diisopropoxy decane, diethoxy decane, di-n-butoxy oxazepine, diisobutoxy decane 'dimethoxy decane, dipentoxy ketone, tri-tert-butoxy decane, Triisopropoxy decane, triethoxy zephyr, di-n-butoxy decane, triisobutoxy decane, trimethoxy decane or tridecane. The reactants and methods of the present invention can be used to fabricate devices selected from the group consisting of: optical devices, magnetic data storage devices, coatings on a building material or substrate, microelectromechanical systems (MEMS), Nano T$ system, thin film transistor (TFT) and liquid crystal display (LCD) » [Simplified illustration] Figure 1 provides the results of the 臈-ray photoelectron spectroscopy (XPS) deposited using the method described in Example 1. . Figure 2 provides the thickness uniformity of three exemplary crucibles deposited using the third butyl sinter, diethyl decane, and di-t-butoxy decane (DTBOS) according to the method described in Example 2. Figure 3 provides a graph of the deposition of the dielectric constant obtained from the exemplary film using one of the process conditions provided in Table 1 using the precursor DTBOS. Figure 4 shows the use of the BL1 conditions described in these examples for three different deposition temperatures or 400. (:, 300oC, 200. (: Comparison of the wet residual rate (WER) of the deposited germanium. 35 201137157 Figure 4 shows that the DTBOS deposited film has a lower WER than the TEOS film at all temperatures. Figure 5 provides a comparison of TEOS The leakage currents at 200 ° C and 300 ° C versus the electric field are plotted against the BL1 conditions described in Table 3 of Example 4 for DTBOS. Figure 6 provides TEOS vs. DTBOS for Table 3 of Example 4. The leakage currents plotted at 200 ° C and 300 ° C versus the electric field are plotted in the BL2 conditions described. Figure 7 provides TEOS versus DTBOS for the BL3 conditions described in Table 3 of Example 4. The leakage currents at 200 ° C and 300 ° C are plotted against the electric field. Figure 8 provides a comparison of DTBOS in a CVD film deposited from those precursors with bis(t-butyl)amino decane (BTBAS). Dynamic secondary ion mass spectrometer data (D-SIMS). 36

Claims (1)

201137157 七、申請專利範圍: 】·一種於基材的至少一表面上形成介電膜的方法該方法 包含: 將該基材的至少一表面提供於反應艙中,·及 將一矽前驅物引進該反應艙以形成包含至少一選自具 有下式I、II及III的前驅物之群組者的介電膜: ^ Η Η R0_^i_H RO-Si-OR1 RO-Si-〇R2 H H 0Ri 11 III 其中式I、II及III中的 基、酿基或其組合。 R1及R2各自獨立地為烷基、芳 一選自氧來 2.如申請專利範圍帛i項之方法…將至少 源、氮來源或其組合的來源弓!進$反應艙。 法係至少 沉積或原 .如申請專利_ i項之方法,其中該形成方 一選自循環式化學氣相沉積、電漿強化化學氣相 子層沉積者。 4,如申請專利範圍第 第三丁氧基石夕燒。 1項之方法,其中該矽 月1j驅物包含二 其中該矽前驅物包含二 5·如申請專利範圍第1項之方法 第三戊氧基矽燒。 37 201137157 6. 如申請專利範圍帛2項之方法,其中該氧來源包含氧。 7. 如申請專利範圍第2項之方法,其中該氧來源包含臭氧。 •種、’里由原子層沉積法形成包含矽及氧的介電膜的方 法’該方法包含下列步驟: a. 將基材放入ALD反應器; b. 將包含至少一選自具有下式〗、π及ιπ的前驅物之 群組者的矽前驅物引進該ALD反應器: Η Η Η RO-Si-H RO-Si-OR1 RO-Si-OR2 Η H OR1 1 π in 其中式I、II及III中的R、R1及r2各自獨立地為烷基、芳 基、酿基或其組合; C.以氣體洗淨該ALD反應器; d·將一氧來源引進該ALD反應器; e. 以氣體洗淨該ALD反應器;及 f. 重複該等步驟b至d直到獲得想要厚度的介電膜,其 中該介電膜包含由XPS測得的至多約30原子重量%氮。 9.如申請專利範圍第1項之方法,其中將其氮來源引進該 反應艙。 38 201137157 ίο.如申請專利範圍第i項之方法其利用熱cvd方法, 其令該介電膜包含由XPS測得的至多約%原子重量%氣。 11. 如申請專利範圍帛1G項之方法,其中將至少—選自氧 來源、氮來源或其組合的來源弓丨進該反應艙。 12. 種由申凊專利範圍第丨項之方法所製造的膜,其具有 該、、且成Sia〇bNccdHeBf ’其中a為1〇至5〇原子%,b為1〇 至70原子/〇 ’ c為〇至3〇原子%,廿為。至原子%,e 為0至50原子% ’及【為〇至3〇原子%。 13. -種電拋光不銹鋼容器’其具有一入口及一出口,該入 口及出口具有高純度低無效空間閥(deadspace vaives),該 谷器3有第二丁氧基矽烷、異丙基矽烷、乙氧基矽烷、正 丁氧基矽烷' 異丁氧基矽烷、曱氧基矽烷、戊氧基矽烷、 一第一丁氧基矽烷、二異丙氧基矽烷二乙氧基矽烷、二 正丁氧基石夕燒、二異丁氧基石夕院、二甲氧基石夕烧二戍氧 基石夕院、三第三丁氧基石夕烧、三異丙氧基石夕院、三乙氧基 夕烷一正丁氧基矽烷、二異丁氧基矽烷、三甲氧基矽烷 或三苯氧基;ε夕烧。 14. 一種使用中請專利範圍第!項之方法所製造的裝置,其 係選自由下列裝置所組成的群組:光學裝置、磁性資料儲 存裝置在支樓材料或基材上的塗層、微電機系統 39 201137157 (MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶顯示器 (LCD)。201137157 VII. Patent application scope: 】 A method for forming a dielectric film on at least one surface of a substrate, the method comprising: providing at least one surface of the substrate in a reaction chamber, and introducing a precursor of a ruthenium The reaction chamber forms a dielectric film comprising at least one group selected from the group consisting of precursors of the following formulas I, II and III: ^ Η Η R0_^i_H RO-Si-OR1 RO-Si-〇R2 HH 0Ri 11 III wherein the group, the merging group or a combination thereof in the formulae I, II and III. R1 and R2 are each independently alkyl, and aryl is selected from the group consisting of oxygen. 2. The method of claim 帛i... the source of at least the source, the nitrogen source or a combination thereof! Into the $ reaction cabin. The method is at least deposited or as described in the patent application, wherein the formation is selected from the group consisting of cyclic chemical vapor deposition, plasma enhanced chemical vapor deposition. 4, such as the third patent range of the application of patents. The method of claim 1, wherein the 1月1j drive comprises two of the 矽 precursors comprising two. 5. The method of claim 1 is the third pentoxy oxime. 37 201137157 6. The method of claim 2, wherein the source of oxygen comprises oxygen. 7. The method of claim 2, wherein the source of oxygen comprises ozone. • a method of forming a dielectric film comprising germanium and oxygen by atomic layer deposition. The method comprises the steps of: a. placing the substrate in an ALD reactor; b. comprising at least one selected from the group consisting of The 矽 precursor of the group of precursors of π, π and ιπ is introduced into the ALD reactor: Η Η Η RO-Si-H RO-Si-OR1 RO-Si-OR2 Η H OR1 1 π in where I R, R1 and r2 in II and III are each independently an alkyl group, an aryl group, a brewing group or a combination thereof; C. washing the ALD reactor with a gas; d· introducing an oxygen source into the ALD reactor; Washing the ALD reactor with a gas; and f. repeating steps b through d until a desired thickness of the dielectric film is obtained, wherein the dielectric film comprises up to about 30 atomic percent nitrogen as measured by XPS. 9. The method of claim 1, wherein the source of nitrogen is introduced into the reaction chamber. 38 201137157 ίο. The method of claim i, which utilizes the thermal cvd method, which causes the dielectric film to contain up to about 9% by weight of gas as measured by XPS. 11. The method of claim 1 , wherein at least a source selected from the group consisting of an oxygen source, a nitrogen source, or a combination thereof is tucked into the reaction chamber. 12. A film produced by the method of claim 3, which has the same and which forms Sia〇bNccdHeBf 'where a is 1〇 to 5〇 atom%, and b is 1〇70 atoms/〇' c is 〇 to 3 〇 atomic %, 廿 is. To atomic %, e is 0 to 50 atom%' and [is 〇 to 3〇 atom%. 13. An electropolished stainless steel container having an inlet and an outlet having high purity low dead space vaives, the trough 3 having a second butoxy decane, isopropyl decane, Ethoxy decane, n-butoxydecane 'isobutoxy decane, decyl decane, pentoxy decane, a first butoxy decane, diisopropoxy decane diethoxy decane, di-n-butyl Oxygen stone simmering, diisobutyoxy shixiyuan, dimethoxy zexi sulphide, bismuth oxide yoshiyuan, tris-butoxy sulphide, triisopropoxy zexiyuan, triethoxy oxane N-butoxy decane, diisobutoxy decane, trimethoxy decane or triphenyloxy; 14. One type of patent pending in use! The device manufactured by the method of the present invention is selected from the group consisting of: an optical device, a coating of a magnetic data storage device on a branch material or a substrate, a micro-motor system 39 201137157 (MEMS), nano Motor systems, thin film transistors (TFTs) and liquid crystal displays (LCDs).
TW100104055A 2010-02-04 2011-02-08 Methods to prepare silicon-containing films TWI431147B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30137510P 2010-02-04 2010-02-04
US13/015,720 US8703625B2 (en) 2010-02-04 2011-01-28 Methods to prepare silicon-containing films

Publications (2)

Publication Number Publication Date
TW201137157A true TW201137157A (en) 2011-11-01
TWI431147B TWI431147B (en) 2014-03-21

Family

ID=50841523

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100104055A TWI431147B (en) 2010-02-04 2011-02-08 Methods to prepare silicon-containing films

Country Status (1)

Country Link
TW (1) TWI431147B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567791B (en) * 2014-08-28 2017-01-21 Sumco Corp A semiconductor epitaxial wafer and a method for manufacturing the same, and a method for manufacturing the solid-state photographic element
TWI781384B (en) * 2019-12-16 2022-10-21 台灣積體電路製造股份有限公司 Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567791B (en) * 2014-08-28 2017-01-21 Sumco Corp A semiconductor epitaxial wafer and a method for manufacturing the same, and a method for manufacturing the solid-state photographic element
TWI781384B (en) * 2019-12-16 2022-10-21 台灣積體電路製造股份有限公司 Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same

Also Published As

Publication number Publication date
TWI431147B (en) 2014-03-21

Similar Documents

Publication Publication Date Title
JP6480527B2 (en) Method for preparing a silicon-containing film
KR101070953B1 (en) Dielectric films comprising silicon and methods for making same
TWI601843B (en) Silicon oxide films and precursors for the deposition of silicon oxide films
TWI504775B (en) Non-oxygen containing silicon-based films and methods of forming the same
US9905415B2 (en) Methods for depositing silicon nitride films
TWI516498B (en) Alkoxysilylamine compounds and applications thereof
JP6092902B2 (en) Method for producing a silicon-containing film on a thin film transistor device
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI721588B (en) High temperature atomic layer deposition of silicon-containing films
TW201137157A (en) Methods to prepare silicon-containing films