TW201136248A - Circuits and methods for DFE with reduced area and power consumption - Google Patents

Circuits and methods for DFE with reduced area and power consumption Download PDF

Info

Publication number
TW201136248A
TW201136248A TW99103134A TW99103134A TW201136248A TW 201136248 A TW201136248 A TW 201136248A TW 99103134 A TW99103134 A TW 99103134A TW 99103134 A TW99103134 A TW 99103134A TW 201136248 A TW201136248 A TW 201136248A
Authority
TW
Taiwan
Prior art keywords
stage
dfe
output
latch
input
Prior art date
Application number
TW99103134A
Other languages
Chinese (zh)
Other versions
TWI467972B (en
Inventor
John F Bulzacchelli
Byung-Sub Kim
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW201136248A publication Critical patent/TW201136248A/en
Application granted granted Critical
Publication of TWI467972B publication Critical patent/TWI467972B/en

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/356104Bistable circuits using complementary field-effect transistors
    • H03K3/356113Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit
    • H03K3/35613Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit the input circuit having a differential configuration
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B3/00Line transmission systems
    • H04B3/02Details
    • H04B3/04Control of transmission; Equalising
    • H04B3/14Control of transmission; Equalising characterised by the equalising network used
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B3/00Line transmission systems
    • H04B3/02Details
    • H04B3/32Reducing cross-talk, e.g. by compensating
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03012Arrangements for removing intersymbol interference operating in the time domain
    • H04L25/03019Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception
    • H04L25/03057Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception with a recursive structure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03012Arrangements for removing intersymbol interference operating in the time domain
    • H04L25/03114Arrangements for removing intersymbol interference operating in the time domain non-adaptive, i.e. not adjustable, manually adjustable, or adjustable only during the reception of special signals
    • H04L25/03146Arrangements for removing intersymbol interference operating in the time domain non-adaptive, i.e. not adjustable, manually adjustable, or adjustable only during the reception of special signals with a recursive structure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03178Arrangements involving sequence estimation techniques
    • H04L25/03248Arrangements for operating in conjunction with other apparatus
    • H04L25/03254Operation with other circuitry for removing intersymbol interference
    • H04L25/03267Operation with other circuitry for removing intersymbol interference with decision feedback equalisers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/356104Bistable circuits using complementary field-effect transistors
    • H03K3/356113Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit
    • H03K3/35613Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit the input circuit having a differential configuration
    • H03K3/356139Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit the input circuit having a differential configuration with synchronous operation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L2025/03433Arrangements for removing intersymbol interference characterised by equaliser structure
    • H04L2025/03439Fixed structures
    • H04L2025/03445Time domain
    • H04L2025/03471Tapped delay lines
    • H04L2025/03484Tapped delay lines time-recursive
    • H04L2025/0349Tapped delay lines time-recursive as a feedback filter

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Power Engineering (AREA)
  • Cable Transmission Systems, Equalization Of Radio And Reduction Of Echo (AREA)
  • Dc Digital Transmission (AREA)
  • Logic Circuits (AREA)
  • Digital Transmission Methods That Use Modulated Carrier Waves (AREA)

Abstract

A 1/n-rate decision feedback equalizer (DFE) and method include a plurality of branches. Each branch includes a summer circuit configured to add a feedback signal to a received input, and a latch configured to receive an output of the summer circuit in accordance with a clock signal. A feedback circuit includes a multiplexer configured to receive as input, an output of each branch, the multiplexer having a clocked select input and configured to multiplex the output of each branch to assemble a full rate bit sequence, and a filter configured to provide cancellation of intersymbol interference (ISI) from the received input to be provided to the summer circuit of each branch.

Description

201136248 六、發明說明: 【發明所屬之技術領域】 本發明一般係關於高速資料的等化技術,更具體而言 係關於決策反饋等化器電路的實施,以及具有改善功率效 率的高速資料通訊之方法。 【先前技術】 當數位運算引擎的處理能力隨著技術改善而成長,並 且發展出日盈互連的網路來運用此能力,伺服器和資料通 訊路H這類系統,需要較高的頻寬資料傳輸。而由於通 道頻寬受限,所以將序列連結資料率提升到每秒幾 gigabns有所難度。電通道(例如傳輸線)的頻寬會因為許多 實體影響而減少,包含表面效應、介電損失以及阻抗不連 續造成的反射效果。在時間方面,有限的通道頻寬導致傳 輸衝超過一個單元間隔(Unitinterval,,並且接收 的L 號 _ 遇付際干擾(Intersymb〇i jnterference,isi) 〇 一個用來補償有限通道頻寬造成的信號失真的有效 _法’係在輪入/輸出(I/O)電路内增加等化功能。在接收 器内使用决裘反饋等化器(Decisi〇n_feedback eqUaHzer, ’制適合絲等化高損失通道。 於線性等化器,DFE會將通道響應平坦化(並且降低 ^號失真)’不會放大雜訊或串音,這在通道損失超過 20-30 dB日枝-項關鍵優勢。 5月芬閱圖丨,其中例示習知多分接DFE 10。在由一連 201136248 串閂鎖器14形成的位移暫存延遲線内,決策限幅器(或閂 鎖器)12的二進位輸出。位移暫存器(14)内儲存的先前決 策位元搭配加權分接係數(HI、H2、…、HN)反饋,並且 利用加總放大器(或加總器)16新增至已接收的輸入信 號。若分接權重(HI、H2等)的幅度與極性經過適當調整 來與通道特性吻合,則將消除來自資料串流(稱為「後標 記ISI (Post-cursor,ISI)」)内先前位元的ISI ’並且利用限 巾田器12以低位元錯誤率(Bit error rate,BER)來偵測該等 位元。分接權重的調整可由適當的適應性演算法手動 動執行。 一 一般而言,供給消除ISI的分接數量越多,等化的效 果越大。實際的DFE實施通常運用多達1〇個反饋分接' 以便達成不同電通道在每秒數個gigabits資料率上之 Ϊ。不幸的是,多分接臓内使㈣大量_器和反館 電路消耗大錄功率與晶片面積。在某些躺當中 具有數千個1/0的高端處理ϋ晶)ί ’習知多分接DFE的= ^面積成本非常高’這是因為I/O電路會耗用絕大多翁 的糸統功率與面積預算。 數 技衍的面積與功率需求在高密度、細間距石夕封事 Γ二,機晶片對晶片互連。這種高密上 的種祀例為石夕載體,圖2内描述其基本概念。 ητ 〇月麥閱圖2,兩晶片20和22固定至矽載體24 # Β 2配線26連接在i。此表面配線26關距(使S 'M〇S 後段製程(Back-end-of-line,BE0L)處理)只有^ 201136248 微米,允許在晶片2G與22之間形成高密度 列。石夕穿透孔28用於垂直連接晶片2()與22 陣 層封裝之間的功率與信號。由於尺二S知第一 體連結的表面線路26魏出顯著的每單位長度卩^^夕載 【發明内容】 1/n速率決策反饋等化器(DFE)包含複數個分支一 分支包含-加總器電路,其配置絲增—反饋信號至 收的輸y以及-問鎖器,其配置成根據—時脈信u號接收 該加總器電路的一輸出。一反饋電路包含:一多工器其 配置成接收每一分支的一輸出作為輸入,該多工器具有二 時脈選擇冑A並且配置成將每—分支的該輸出=來也 成一全速率位元序列;以及一濾波器,其配置成從要提供 給每一分支的該加總器電路之該已接收輸入當中,消除符 際干擾(ISI)。 ' 種用於決策反饋等化之方法,包含:提供具有複數 個刀支的一 1/n速率決策反饋等化電路;使用一加總器電 路將來自一或多分支的一反饋信號與一已接收輸入相 加;根據一時脈信號使用一閂鎖器接收該加總器電路的一 輸出;將該閂鎖器的一輸出反饋至一多工器,其接收每一 分支的該輸出作為輸入,該多工器設置成將每一分支的該 輸出多工來組合一全速率位元序列;以及使用具有一頻域 轉換功能的一連續時間無限脈衝響應(Infinite impulse response ’ IIR)遽波器,消除來自該已接收的輸入之符際干 擾(1SI) 〇 201136248 一組合的限幅器和加總器電路包含連接至要加總的 複數個差動.電流之差動輪出線。一可重設的電流比較器負 載直接耦合至該差動輸出線,該電流比較器負載配置成直 接接收來自該差動輸出線的已加總差動電流,使得根據該 已加總差動電流的一符號,在該差動輸出線之間產生一正 或負差動電壓來鎖定一二進位零或一。 雙再生閂鎖器包含兩串接的差動再生閂鎖器級,達 成改善速度與敏感度。該級包含一第一級,其具有一第一 類型的第-輸人電晶體、交又輕合的負載電晶&以及一第 一類型的重置電晶體,以及包含一第二級,其具有該第二 ,型的第二輸人電晶體以及該第—類型的交又 使得該第—級位於—不通透狀態時,該重置電晶 級的輸出預充至—電源供應電壓,該第二級的 :的:ΐ入電晶體關閉來將輸出維持在指出先前儲存位 ^的位準。啟動該第—級之後,該第—級和 =又:合負載電晶體開始再生一輸入信 第二 =出共模下降來開啟該第二級的該第二^ 體,並第—麵的該等交合負載電晶 換,來提達到-臨界信號位準之後切 【實施方式】 本發明提供決策反饋等化器(DFE)電路以及方法,其 201136248 運用-個;*波II取代在麵道中紐ISI時所運用之 多個反饋迴路。在-個具體實施例内,1/n速率觀(例如 丰速率、四分之一速率等)包含無限脈衝響應__ ’11聰波器,其職至加總放大器的反 饋仏號。此外’提供組合的加總限幅器電路其進一+ 協助減少面積與功耗。同時提供雙再生閂鎖器。 本發明的具體實施例可採用整個硬體具體實施例、整 個軟體具體實_或包切難㈣元件的具體實施 =式。,較佳具體實施例内,本發明在軟體内實施,這 已3但不受限於韌體、保留軟體、微碼等等。 再者,本發明可採用電腦程式產品形式,其可從電腦 可使用或電腦可讀取舰存取,提供電腦或任何指令執行 系統所使用或連接的程式碼。為了說明起見電腦可 或電腦可讀取雜可為包含、儲存、通訊、傳播或傳輸程 式的任何裝置,來翻令執㈣統、設備紐置使用或相 連。該媒體可為電、磁、光學、電磁、紅外線或半導體系 統(或设備或裝置)。電腦可讀取媒體的範例包含半導體或 固態記憶體、磁帶、可移除式電腦磁片、隨機存取記憶體 (Random access memory,RAM)、唯讀記憶體(Read_〇nly ^emoiy^ROM)、硬碟以及光碟。目前光碟的範例包含唯 "賣 δ己憶光碟(Compact disc read-only memory,CD-ROM)、可 抹寫式光碟(Compact disk-read/write,CD-R/W)以及 DVD。 適合彳6#存以及/或執行程式碼的資料處理系統可包含 至少一個直接或間接透過系統匯流排耦合至記憶體元件 的處理|§。該記憶體元件可包含實P祭執行程式碼運用的本p i- -* ] 8 201136248 存體以及提供至少某些程式碼暫存的快 Γ減少執行期間必須從大量儲存賴取= 輪入/輸出或1/0裝置(包含但不受字 至日標震置等等)可直接或透财間1/0控制 輕人卡也合至系統,讓該㈣處理系統變成 5 資料處理系統’或透過中間私用或公用網路耦 機或錯存裝置。數據機、纜線數據機以及乙 太凋路卡/、疋一些目前可用的網路配接卡種類。 此處所描述的電路可為積體電路晶片設計的一 为。晶片設計可關形電難式語言建立,並⑽存在 ,儲存媒體當巾(像是W、磁帶L㈣或像是儲存 存取網路⑽虛擬硬碟)。若設計Μ製造晶片或使用光 微影遮罩來製造晶;^ ’設計師湘實體料(例如利用提 供儲存設計_存媒㈣本)或電子料(例如透過網際網 路)將結果設計直接或間接傳輸給這種實體。然後將所儲 存的設計轉換成適當格式(例如圖形資料祕n (gdsii)) ,製作光微影遮罩,這通常包含要在晶圓上形成的許多該 晶片設計副本。光微影遮罩用於界定要蝕刻或要處理的晶 圓區域(以及/或其上的層)。 ^製造商可用原料晶圓形式(也就是當成具有多重未封 I晶片的單-晶®)、裸晶粒或已封裝形式散佈產生的積 ,電路晶片。在後者案例中,晶片固定在單晶片封裝内(像 是塑膠載體,具有導線黏貼至主機板或其他更高層載體) 或固定在多晶片封裝内(像是一或兩表面都具有表面互連^ 1 } 9 201136248 或内嵌互連的陶瓷載體)。在任何案例中,晶片與其他晶 片、分散電路元件和/或其他信號處理裝置整合成為像是= 機板這類中間產品或末端產品的一部分。末端產品可為包 含積體電路晶片的任何產品,範圍從玩具與其他低階應用 到具有顯示器、鍵盤或其他輸入裝置和中央處理器的進 電腦產品。 此時請參閱圖3A和圖3B’其中相同編號代表相同或 類似元件,分別顯示頻域(即是S21參數)和時域内2〇mm 長連結的通道響應,用於圖2之載體連結26。由於串聯阻 抗’因此有顯著的(〜6 dB) DC衰減,並且在5 GHz上的損 失為17dB。在時域内,每秒1〇gigabits上對於單一 Γι」 位元的響應顯示超過許多位元週期的後標記ISI。若要補 仞這種通道,DFE需要許多分接,但是在這種高密度 環境内伴隨的功率與面積成本卻不切實際。201136248 VI. OBJECTS OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention generally relates to equalization techniques for high speed data, and more particularly to implementation of decision feedback equalizer circuits, and high speed data communication with improved power efficiency. method. [Prior Art] When the processing power of the digital computing engine grows with the improvement of technology, and the network of the daily surplus interconnect is developed to use this capability, systems such as servers and data communication channels require higher bandwidth. Data transmission. Since the bandwidth of the channel is limited, it is difficult to increase the serial link data rate to a few gigabns per second. The bandwidth of an electrical channel (such as a transmission line) is reduced by many physical effects, including surface effects, dielectric losses, and reflections caused by unsteady impedance. In terms of time, the limited channel bandwidth causes the transmission to exceed one unit interval (Unitinterval, and the received L-symbol interference (Intersymb〇i jnterference, isis) 〇 one to compensate for the finite channel bandwidth The effective method of signal distortion is to add equalization function in the wheel input/output (I/O) circuit. Use the feedback feedback equalizer in the receiver (Decisi〇n_feedback eqUaHzer, 'made for silk and other high loss Channel. For linear equalizers, DFE flattens the channel response (and reduces the distortion of the ^). It does not amplify noise or crosstalk, which is a key advantage in channel loss over 20-30 dB. Read Figure 丨, which illustrates the conventional multi-tap DFE 10. In the displacement temporary delay line formed by a series of 201136248 string latches 14, the binary output of the limiter (or latch) 12 is determined. The previous decision bits stored in the device (14) are fed back with weighted tap coefficients (HI, H2, ..., HN) and added to the received input signal using a summing amplifier (or adder) 16. Weight (HI, H2, etc.) The amplitude and polarity are properly adjusted to match the channel characteristics, which will eliminate the ISI from the data stream (called the "post-marker ISI (ISI))" and use the limited field device 12 The bit error rate (BER) is used to detect the bit. The adjustment of the tap weight can be manually performed by an appropriate adaptive algorithm. In general, the more taps are supplied to eliminate the ISI, The greater the effect of equalization, the actual DFE implementation usually uses up to 1 feedback taps to achieve the difference in the data rate of several gigabits per second for different electrical channels. Unfortunately, multiple taps make a large number of (4) The _ and anti-chapter circuits consume large recording power and wafer area. In some lie there are thousands of 1/0 high-end processing twins. ί 'Traditional multi-tap DFE = ^ area cost is very high' because The I/O circuit consumes most of the system's power and area budget. The area and power requirements of the digital technology are high-density, fine-pitched, and the chip is interconnected to the chip. The example of the species is the Shi Xi carrier, which is depicted in Figure 2. The basic concept. ητ 〇月麦看图2, two wafers 20 and 22 are fixed to the 矽 carrier 24 # Β 2 wiring 26 is connected to i. This surface wiring 26 is close (to make S 'M〇S back-end process (Back-end -of-line, BE0L) treatment only ^ 201136248 microns, allowing the formation of high density columns between wafers 2G and 22. The stone penetration holes 28 are used to vertically connect the power between the wafer 2 () and the 22 array package And the signal. Since the surface line 26 of the first body is connected with a significant unit length per unit length, the 1/n rate decision feedback equalizer (DFE) includes a plurality of branches and branches. The include-adder circuit is configured to receive a wire-feedback signal to the received input y and the -lock lock, configured to receive an output of the adder circuit in accordance with the clock signal. A feedback circuit includes: a multiplexer configured to receive an output of each branch as an input, the multiplexer having two clock selects A and configured to convert the output of each branch to a full rate bit a sequence of elements; and a filter configured to cancel inter-symbol interference (ISI) from among the received inputs of the adder circuit to be provided to each branch. A method for decision feedback equalization, comprising: providing a 1/n rate decision feedback equalization circuit with a plurality of knives; using a sigma circuit to feedback a feedback signal from one or more branches Receiving an input sum; receiving a output of the adder circuit using a latch according to a clock signal; feeding an output of the latch to a multiplexer that receives the output of each branch as an input, The multiplexer is configured to combine the output of each branch to combine a full rate bit sequence; and use a continuous time infinite impulse response (IRR) chopper having a frequency domain conversion function, Elimination of Inter-Interference (1SI) from the received input 〇 201136248 A combined limiter and adder circuit includes a differential wheel outlet connected to a plurality of differential currents to be summed. A resettable current comparator load is directly coupled to the differential output line, the current comparator load configured to directly receive the summed differential current from the differential output line such that the differential current is summed according to the A sign that produces a positive or negative differential voltage between the differential output lines to lock a binary zero or one. The dual regenerative latch includes two serially connected differential regenerative latch stages for improved speed and sensitivity. The stage includes a first stage having a first type of first-transistor transistor, a load-and-light load cell & and a first type of reset transistor, and a second stage, The second input transistor having the second type and the intersection of the first type cause the first stage to be in a non-transmissive state, and the output of the reset transistor stage is precharged to the power supply voltage. The second stage of: the break-in transistor is turned off to maintain the output at the level indicating the previous storage level ^. After the first stage is started, the first stage and the = again: the combined load transistor starts to regenerate an input signal, the second = the common mode drop, the second stage of the second stage is turned on, and the first side of the second stage The present invention provides a decision feedback equalizer (DFE) circuit and method, and its method is used in 201136248, and the wave II is replaced in the noodle circuit. Multiple feedback loops used in ISI. In a particular embodiment, the 1/n rate view (e.g., abundance rate, quarter rate, etc.) includes an infinite impulse response __'11 Congler, which acts as a feedback apostrophe for the summing amplifier. In addition, a combined summing limiter circuit is provided to further reduce the area and power consumption. A dual regenerative latch is also available. The specific embodiment of the present invention may adopt the specific implementation of the entire hardware embodiment, the entire software, or the specific implementation of the component. In a preferred embodiment, the invention is practiced in a soft body, which is not limited to firmware, retention software, microcode, and the like. Furthermore, the present invention can be in the form of a computer program product that can be accessed from a computer or computer readable ship, providing code for use or connection to a computer or any instruction execution system. For the sake of illustration, any device that can be read, stored, communicated, transmitted, or transmitted by a computer or computer can be used to connect or connect devices. The medium can be an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system (or device or device). Examples of computer readable media include semiconductor or solid state memory, magnetic tape, removable computer magnetic disk, random access memory (RAM), read only memory (Read_〇nly ^emoiy^ROM ), hard drive and CD. Currently, examples of optical discs include "Compact disc read-only memory (CD-ROM), rewritable disc (CD-R/W), and DVD. A data processing system suitable for storing and/or executing code may include at least one process coupled directly or indirectly through a system bus to a memory component|§. The memory component can include the real P-execution code used by the p i- -* ] 8 201136248 The storage and the provision of at least some of the code temporary storage reduction during execution must be taken from a large amount of storage = rounded / Output or 1/0 device (including but not word-to-day shock, etc.) can directly or through the money 1/0 control light card is also integrated into the system, let the (4) processing system become 5 data processing system 'or Coupling or staggering devices through intermediate private or public networks. Data machines, cable modems, and Ethernet cards, and some of the currently available types of network adapters. The circuit described herein can be a design of integrated circuit chips. The chip design can be set up in a hard-to-use language, and (10) exists, storing media as a towel (such as W, tape L (four) or like a storage access network (10) virtual hard disk). If you design 晶片 to manufacture a wafer or use a photolithographic mask to make crystals; ^ 'Designer materials (such as using the storage design _ storage (4)) or electronic materials (such as through the Internet) to design the results directly or Indirectly transmitted to such entities. The stored design is then converted to an appropriate format (e.g., gdsii) to create a photolithographic mask, which typically includes a number of copies of the wafer design to be formed on the wafer. A photolithographic mask is used to define the area of the circle (and/or layers thereon) to be etched or to be processed. Manufacturers can use the raw material wafer form (that is, as a single-crystal® with multiple unsealed I wafers), bare die or packaged form to produce the resulting circuit chip. In the latter case, the wafer is mounted in a single-chip package (such as a plastic carrier with wires attached to the motherboard or other higher-level carrier) or fixed in a multi-chip package (like one or both surfaces with surface interconnects^ 1 } 9 201136248 or embedded interconnected ceramic carrier). In any case, the wafer is integrated with other wafers, discrete circuit components, and/or other signal processing devices as part of an intermediate product or end product such as a machine board. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to incoming computer products with displays, keyboards or other input devices and central processing units. 3A and 3B, wherein the same reference numerals represent the same or similar elements, respectively showing the frequency domain (i.e., the S21 parameter) and the channel response of the 2 mm long link in the time domain, for the carrier link 26 of FIG. There is a significant (~6 dB) DC attenuation due to series resistance, and the loss at 5 GHz is 17 dB. In the time domain, the response to a single 」" bit on 1 〇gigabits per second shows a post-mark ISI that exceeds many bit periods. To complement this channel, DFE requires many taps, but the power and area costs associated with this high-density environment are impractical.

時域通道響應的仔細研究’建議一種等化這種高阻抗 通道之創新解決方案。利用在主標記之後超過2單位間隔 (UI)的所有時間上之衰變指數,將該通道的脈衝響應模型 化。因為第一階RC低通濾波器的脈衝響應具有衰變指數 的巧’所以DFE反饋路㈣可制濾波器來產生所需 之虎,用以消除已接收的資料輸入内之後標記ISI。例 如.具備第-階RC低通反饋遽波器的DFE將1〇画晶 片上互連的資料率提升至每秒2 。因為習知DFE 實她當中需要的大量分接已由簡單RC遽波器所取代,如 此節省大量功率與面積。 清茶閱圖4 ’在dFE電路丨〇〇的DFE反饋路徑|〇8⑶ 201136248 内提供具備頻域轉移函數G(s)的連續時間無限脈衝響應 (Infinite impulse response ’ IIR)濾波器 104。加總放大器 106將來自路徑108的反饋與資料輸入加總。通道響應無 法近似第一階RC低通濾波器時,則提供較高階濾、波器以 便更完美消除ISI° 請參閱圖5 ’針對許多通道,若習知離散分接(例如 H1、H2)和IIR滤波器204都位於DFE 200的反饋路徑208 内,甚至可達成更好的ISI消除。離散分接(例如H1和H2) 的第一耦合可調整(與nR濾波器204無關)’來補償就在 主標記之後的通道脈衝響應的快速變更區内之後標記’因 為這些早期的後標記並未落在稍後之後標記所遵循的指 數衰變曲線内。事實上,圖3B内所示20 mm矽載體通道 的脈衝響應例示此點’因為第一後標記(標示為H1)並未確 實落在標示為H2e_t/T的曲線内’其中7為衰變指數的時間 常數。因此,這種矽載體連結的確實等化可運用具備離散 分接(H1)的DFE 200,該分接可獨立於IIR濾波器204之 外調整,負責補償通道響應内剩餘的後標記。 雖然具備HR滤波器204的DFE 2⑻為用來等化許多 通道的面積與功率效益結構,包含圖2的範例矽載體連 結,全速率DFE架構並不適合將此概念擴充至較高資料 率。在接近技術限制(像是目前CM〇S技術當中的每秒1〇 gigabits)的資料率上,半速率DEE架構比全逮率結構更具 備功率效益。因為並無全速率再生信號可用來驅動丨IR濾 波器的輸入,所以具備丨iR濾波器的半速率DFE的實施難 度極高。 201136248 凊參閱圖6,根據例示具體實施例顯示半速率dfe 3⑻。半速率DFE 3GG正常將輸人資料解多工成為兩平行 貧料串流302 (尤其是偶資料位元%和奇資料位元叫。 將-個半速率資料串流饋送至IIR濾波器3〇4,並未賦予 所要的響應,因為IIR濾波器3〇4的脈衝響應所需之正確 ISI消除需要完整位元序列,不只是偶資料位元或奇資料 位元。如所述,在實際實施半速率方面,獲得適合驅動nR 濾波器304的輸入是一項挑戰。半速率架構3〇〇提供功率 與面積效率方式來獲得這種信號。 使用由半速率時脈CLK驅動的一對限幅器(或閂鎖器) 306來取樣資料輸入。限幅器3〇6於CLK的相對相位(例 如clk和όϊΖ)上驅動’使得頂端限幅器3〇6產生偶資料位 元DE ’而底端限幅器306產生奇資料位元d〇。使用限幅 器306之前的加總器312,將DFE反饋信號加入已接收的 資料輸入。第一 DFE反饋分接(H1)為習知離散型,並且可 獨立調整來與通道脈衝響應的第一後標記匹配。在半速率 架構内,先前的資料位元由相對的半個DFE決定,因此 偶資料路徑的H1分接(標示為h1e)從奇資料位元反饋,反 之亦然。由於通道脈衝響應内剩餘後標記所產生的ISI利 用Viir ’也就是IIR濾波器304的輸出,來補償。 正確消除ISI需要IIR濾波器304的脈衝響應捲積 (concolve)完整的資料輪入位元序列》為達成此目的,運 用具備由CLK驅動的選擇器之2:1多工器(MUX) 310來交 錯偶與奇資料位元(DE* D〇),形成適合驅動I1R濾波器 304輸入的全速率資料(dfr)。 201136248 在圖7的時序圖内,利用時脈與資料復原 (Clock-and-data recovery ’ CDR)電路或某些其他機構調整 CLK的相位’使得在中央取樣輸入資料位元。選擇驅動 MUX選擇的CLK信號相位,使得相對於ϋΕ和D〇位元的 第一次到達將DFRS遲一個UI,如圖7内所示。因為這種 一個UI延遲,則IIR濾波器輸出(VIIR)最早補償的後標記 為第二個(對應至習知多分接DFE内的H2分接)。 圖6的具體實施例代表將IIR濾波器3〇4新增至半速 率DFE結構之面積與功率效益方式,唯一的電路負擔(當 然IIR滤波器304本身除外)為用來形成全速率資料的2.1 MUX310。若2:1 MUX310和IIR濾波器304功能結合在 單一電路内,則這種小負擔可忽略不計。 請參閱圖8,顯示其中在單一電流模式邏輯(Currem mode logic,CML)級 400 内組合的 2:1 MUX 410 和 IIR 淚 波器404之範例電路實施示意圖。電路4〇〇是完全差動, 如此其差動輸出幅度與ID ’也就是兩尾端電流源406和 408内的差動,成比例。共模(common-mode)電流(ICM)和 電阻rcm都被設定’使得可得到來自IIR濾波器404的所 要共模輸出位準。雖然ID可用來縮放差動輸出信號的幅 度’不過利用調整阻抗RD和電容CD (例如切換電阻與切 換電容)可調整IIR濾波器404的RC時間常數。吾人應該 注意’在此合併的MUX/IIR濾波器電路400内,代表全 速率資料的唯一信號為送入RC負載的淨電流。 圖6架構内的加總放大器312和限幅器306可用習知 電路技術來實施。依照範例,圖9例示這些元件如何實施 201136248 成為CML電路。請參閱圖9,利用將多個電晶體差動對 之汲極(或若在雙極技術内實施時的集極)連接在一起(虛 線),在電流領域内達成信號加總。接收IIR濾波器 的ί料輸入(DIN)和輸出之差動對使用電阻452作電阻性 衰減(resistively degenerated),讓電壓更能夠線性轉換成電 流。在其他用來作為電流切換器454的差動對當中,並未 運用電阻性衰減。資料輸入(Din和5^)具有電阻r〖n連接 至電壓VteRM作為阻抗終端負載(impedance termination)。 由DFE反饋信號HI切換的差動對的尾端電流,被調整用 來設定所需的分接權重以補償isi的第一後標記。利用v〇s 切換的差動對提供DC電流,來補償由於裝置誤配造成的 靜態偏移。加總電流利用負載電阻ru轉換成電壓。利用 限幅器458取樣加總器456的輸出電壓(心和$),在此將 其實現為標準CML閂鎖器。 如圖9内所示串接DFE加總放大器456和限幅器458 屬於習知上的實施,但是除非消耗大量功率,否則具有將 顯著延遲加入DFE中關鍵路徑460之缺點。若要達成可 靠的操作’在做出下一個資料決定之前必須在限幅器輸入 上精確建立DFE的反饋信號。如圖9内的虛線所示,dfe 的關鍵路徑460為延遲必須小於1UI的H1反饋迴路。利 用降低反饋信號的設定時間,加總放大器456輸出上的 RC時間長度可將顯著延遲加入此關鍵路徑46〇。若要減 v RC日守間常數來付合關鍵時間需求’則必須經常將負載 阻抗RL1降低至低值。若要符合放大器增益與電壓擺動需 求’必須等比例增加操作電流來達成rl|之減少,造成較 高功耗。資料限幅器458的輸入級包含阻抗負載R|2。 I S] 14 201136248A careful study of time domain channel response has suggested an innovative solution to equalize this high impedance channel. The impulse response of the channel is modeled using a decay index over all time intervals of more than 2 unit intervals (UI) after the main marker. Since the impulse response of the first-order RC low-pass filter has a decaying exponent, the DFE feedback path (4) can be used to generate the desired filter to eliminate the ISI after the received data input. For example, a DFE with a first-order RC low-pass feedback chopper boosts the data rate of the interconnect on a 1-picture wafer to 2 per second. Because the traditional DFE actually needs a large number of taps that have been replaced by simple RC choppers, thus saving a lot of power and area. The tea has a continuous time infinite impulse response (IRIR) filter 104 having a frequency domain transfer function G(s) in the DFE feedback path | 〇 8(3) 201136248 of the dFE circuit. The summing amplifier 106 sums the feedback from the path 108 with the data input. When the channel response cannot approximate the first-order RC low-pass filter, a higher-order filter and waver are provided to better eliminate ISI°. See Figure 5 for many channels, if conventional discrete taps (such as H1, H2) and The IIR filter 204 is located within the feedback path 208 of the DFE 200, and even better ISI cancellation can be achieved. The first coupling of discrete taps (eg, H1 and H2) can be adjusted (independent of nR filter 204) to compensate for the mark immediately after the fast change region of the channel impulse response after the main marker because of these early post-marks and It does not fall within the exponential decay curve followed by the mark. In fact, the impulse response of the 20 mm 矽 carrier channel shown in Figure 3B illustrates this point 'because the first post-label (labeled H1) does not indeed fall within the curve labeled H2e_t/T' where 7 is the decay index Time constant. Therefore, the true equalization of the tantalum carrier link can utilize a DFE 200 with discrete taps (H1) that can be adjusted independently of the IIR filter 204 to compensate for the remaining post-marks in the channel response. Although DFE 2(8) with HR filter 204 is used to equalize the area and power efficiency structure of many channels, including the example 矽 carrier connection of Figure 2, the full rate DFE architecture is not suitable for extending this concept to higher data rates. The half-rate DEE architecture is more power efficient than the full-rate architecture in terms of data rates close to technical limits (such as 1 gigabits per second in current CM〇S technology). Since there is no full rate regenerative signal that can be used to drive the input of the 丨IR filter, the implementation of a half rate DFE with a 丨iR filter is extremely difficult. 201136248 Referring to Figure 6, a half rate dfe 3 (8) is shown in accordance with an illustrative embodiment. The half-rate DFE 3GG normally demultiplexes the input data into two parallel lean streams 302 (especially the even data bit % and the odd data bit call. Feeds a half rate data stream to the IIR filter 3〇) 4, does not give the desired response, because the correct ISI cancellation required for the impulse response of the IIR filter 3〇4 requires a complete sequence of bits, not just even data bits or odd data bits. As mentioned, in actual implementation In terms of half rate, it is a challenge to obtain an input suitable for driving the nR filter 304. The half rate architecture provides a power and area efficiency approach to obtain this signal. Using a pair of limiters driven by a half rate clock CLK (or latch) 306 to sample data input. Limiter 3〇6 is driven on the relative phase of CLK (eg, clk and όϊΖ) so that top limiter 3〇6 generates even data bit DE' and the bottom end The limiter 306 generates an odd data bit d. The DFE feedback signal is added to the received data input using the adder 312 before the limiter 306. The first DFE feedback tap (H1) is a conventional discrete type. And can be independently adjusted to respond to channel impulses After a tag match, in the half rate architecture, the previous data bit is determined by the relative half DFE, so the H1 tap (labeled h1e) of the even data path is fed back from the odd data bit, and vice versa. The ISI generated by the remaining post-marker in the impulse response is compensated by the output of Viir', which is the output of the IIR filter 304. Correctly eliminating ISI requires the impulse response convolution of the IIR filter 304 (concolve) complete data rounding bit sequence To achieve this, a 2:1 multiplexer (MUX) 310 with a CLK-driven selector is used to interleave the even and odd data bits (DE* D〇) to form a full rate suitable for driving the input of the I1R filter 304. Data (dfr) 201136248 In the timing diagram of Figure 7, the phase of the CLK is adjusted using the Clock-and-data recovery 'CDR circuit or some other mechanism' to sample the input data bits in the center. Selecting the phase of the CLK signal that drives the MUX selection causes the DFRS to be delayed by one UI relative to the first arrival of the ϋΕ and D〇 bits, as shown in Figure 7. Because of this one UI delay, the IIR filter output (VIIR) The earliest The latter is labeled as the second (corresponding to the H2 tap in the conventional multi-drop DFE). The specific embodiment of Figure 6 represents the area and power efficiency of adding the IIR filter 3〇4 to the half rate DFE structure, The only circuit burden (except of course the IIR filter 304 itself) is the 2.1 MUX 310 used to form the full rate data. If the 2:1 MUX 310 and IIR filter 304 functions are combined in a single circuit, this small burden is negligible. Referring to Figure 8, a schematic diagram of an exemplary circuit implementation of a 2:1 MUX 410 and IIR tear wave 404 combined in a single current mode logic (CML) stage 400 is shown. Circuit 4 is fully differential, such that its differential output amplitude is proportional to the ID', which is the difference between the two tail current sources 406 and 408. Both the common-mode current (ICM) and the resistance rcm are set so that the desired common mode output level from the IIR filter 404 is available. Although the ID can be used to scale the amplitude of the differential output signal, the RC time constant of the IIR filter 404 can be adjusted by adjusting the impedance RD and the capacitance CD (e.g., switching resistance and switching capacitance). It should be noted that in the MUX/IIR filter circuit 400 incorporated herein, the only signal representing the full rate data is the net current delivered to the RC load. The summing amplifier 312 and limiter 306 within the architecture of Figure 6 can be implemented using conventional circuit techniques. According to an example, Figure 9 illustrates how these components are implemented. 201136248 becomes a CML circuit. Referring to Figure 9, signal summation is achieved in the current domain by connecting the dipoles of a plurality of transistor differential pairs (or collectors if implemented in a bipolar technique) together (dummy lines). The differential input (DIN) of the receive IIR filter and the differential of the output are resistively degenerated using resistor 452, allowing the voltage to be more linearly converted into current. Among other differential pairs used as the current switch 454, resistive attenuation is not used. The data inputs (Din and 5^) have a resistance r η connected to the voltage VteRM as an impedance termination. The tail current of the differential pair switched by the DFE feedback signal HI is adjusted to set the desired tap weight to compensate for the first post marker of isi. The differential pair of v〇s switching is used to provide DC current to compensate for static offset due to device mismatch. The summing current is converted into a voltage by the load resistor ru. The output voltage (heart and $) of the adder 456 is sampled by a limiter 458, which is implemented herein as a standard CML latch. Serializing the DFE summing amplifier 456 and limiter 458 as shown in Figure 9 is a conventional implementation, but has the disadvantage of adding significant delays to the critical path 460 in the DFE unless a significant amount of power is consumed. To achieve a reliable operation, the DFE feedback signal must be accurately established on the limiter input before making the next data decision. As indicated by the dashed line in Figure 9, the critical path 460 of dfe is the H1 feedback loop whose delay must be less than 1 UI. By reducing the settling time of the feedback signal, the RC time length on the output of the amplifier 456 can be added to this critical path 46〇 with a significant delay. To reduce the v RC day-to-day constant to meet the critical time requirement, the load impedance RL1 must often be reduced to a low value. To meet the amplifier gain and voltage swing requirements, 'the operating current must be increased proportionally to achieve a reduction in rl|, resulting in higher power consumption. The input stage of the data slicer 458 includes an impedance load R|2. I S] 14 201136248

請參閱圖1 ο ’顯示根據一個具體實施例的限幅器和加 總器組合電路500之示意圖。符合關鍵時間需求的更高功 率效益方式為,利用將加總器輸出電流直接注入可重 電流士較益PMOS負載作為限幅器5〇2,來消除Rc延遲。 CLK南時^並且其互補為低),pM〇s重置電晶體鄕將輸 出節,拉尚至正電源供應。CLK低時(並且其互補為高), 加總益輸tb電朗始將這些㈣上的寄生電容放電至 低電壓。根據加總差動電流的符號,開始發展JL或負差動 電壓诚輸出共模足夠低時,限幅器502内的 P 電晶f開啟並且提供再生增益,藉此鎖定二進位零或 壓的極性)。消除加總與⑽功能之間的rc 低匕符合DFE關鍵路徑之時間限制,如此允 5雷ιί你;I €達成所要的資料率。將這些功能結合成單 一電路級也可節省晶片面積。 d if入某些示意細節證明dfe效能’例如:接收 用1N丄平:古;1且由CLK切換的通道閘取樣與維持508, 過_等級人至線性電感器常數,這在小輸入 頻率相依損這種輸入信號維持減少接收器的 阻性衰退來^ f 9内的CML加總放大器’使用電 υΙΝ和v丨丨R轉換成電流的線性。 率架i使6G4的D_G之半速 路500。因”他具祖貫施例的組合加總器/限幅器電 維持有效_^^總眺㈣祕不會在重設期間 上放置次門蚀= 在加總器/限幅器電路50〇的輸出 有效。雖然每二r=CLK的兩相位期間維持…。 人1鎖奋602都在不通透(或封閉)狀態, 201136248 而其對應加總器/限幅器電路5〇〇都重設,則在評估其對應 加總ji/限幅器電路500時切換至通透(或開放)狀態。因 此〜人閂鎖器602只將少傳播延遲加入〇E和D〇資料輸出。 吾人應該瞭解,圖1〇内例示的具體實施例態樣適合 多分接DFE以及具有IIR濾波器的dfe,換言之,結合 加總器和限幅器與DFE内是否使用IIR濾波器無關。例 如:若由利用DFE反饋信號H2(以及類似顯示的til)控制 的差動電流開關取代接收IIR濾波器604 (Viir)的輸出之差 動對,則可獲得適合用於習知雙分接DFE内的組合加總 器/限幅器電路(500)。若要具有超過兩個分接的DFE,則 可利用加入更多差動對至電流加總器504來修改組合加總 器/限幅器500。組合加總器/限幅器電路5〇〇的應用可用 來實現對於減少功率與面積相當有用的習知多分接 DFE,而消除加總與鎖定功能之間的rc延遲,使其更容 易符合任何DFE的關鍵時間限制。 s午多標準閃鎖器設計可用來實施圖11内所示的次閃 鎖器602,包含CML和靜態CMOS型。不過,這些標準 型閂鎖器在應用方面有缺點,例如:通常考慮CML閂鎖 器為最快可用類型,但是其高靜態功耗與功率效率DFE 的設計目標不一致,這是考量具有IIR濾波器的DFE之背 後動機之一。靜態CMOS閂鎖器更具有功率效率,但是其 速度較低會增加關鍵路徑延遲,如此降低DFE的最大操 作頻率。 §青麥閱圖丨2,顯示具有事接差動再生級702和7〇4 的閂鎖結構700之示意圖,其達成比靜態CMOS閂鎖器還 16 201136248 在圖12内顯示的範例具體實施例 體=:1 os裝置7〇6,並且第二級輸 ^將第其互補為低),如此-OS開關 702㈣+ /5的輸出預充至正電源供應。因為第一級 == 在電源供應上’所以關閉第二級7〇4的pM〇s ’如此這—級將其輸出維持在指示先前位元 載二^:二^啣並且其互補為高广由於負 父叉輕合’所以第一級7〇2開啟 ϋ開始再生輸入信號。同時,進入第一級的輸出共模, 然後開啟第二級704的輸入電晶體。第一級7〇2的輸 出再生至充分高的位準’則切換級爾的邏輯狀態。因為 此級704 (未接收時脈信號)在其負載内具有交又輕合的 匪OS電晶體712,則使用額外再生來放大其輸出。一旦 完成再生並且完成切換,傳導通過串接的電晶體中斷 (transistor ceases),如此此閂鎖器只消耗動態功率非靜 態功率。為此,該閂鎖器比C M L閂鎖器更具有功率效率。 在一個具體實施例内,從像是加總器/限幅器(圖丨丨内 的500)這類組件接收弱再生信號時,閂鎖器7〇〇就特別有 用。在特別有用的具體實施例内,閂鎖器700的第一級7〇2 與先前組件(例如加總器/限幅器5〇〇)同時再生,如此由第 一級702進一步放大該弱再生輸入信號。模擬閂鎖器7〇〇 與加總器/限幅器500結合來確認此優點。 在模擬中’至加總器/限幅器500的輸入信號非常小, 使得只有微弱再生其輸出。利用第一級7〇2的再生來放大f 201136248 至問鎖H 的微弱再生輸人信號,但是不湘時脈 成為高狀態(並且其互補為低)來完全再生至軌對執信號位 準。由於額外再±,第二級704❾輸出進一步放大並且°接 近軌對軌錢位準。第二_這些麟雜㈣號在半供 應電>1之上#共模上彼此交錯’使其適合S接驅動购仍 差動電流開關(像是實現圖9和圖10内分接的開 以及任何CML或CMOS邏輯電路。 吾人應該瞭解,圖12内例示的雙再生閂鎖器適用於 DFE以及具有IIR滤波器的DFE以外之系統。如圖!内 戶f示,習知多分接DFE包含大量閂鎖器,並且這些閂鎖 器的延遲屬於DFE内每一關鍵時間路徑的延遲。由於相 較於其他功率效率閂鎖器(像是靜態CM〇s閂鎖器)具有較 優異的速度與敏感度,雙再生閂鎖器7〇〇可併入習知dfe 架構或其他電路,來增強操作頻率而不增加功耗。再者, ,生閂鎖器700可為許多數位與混合信號系統的基本建構 ,塊二因為閂鎖器的速度與敏感度通常對於整個系統的效 月b有較大影響,許多這些系統可從雙再生閂鎖器7⑻的優 異功能特性獲致好處。 ^若要展現具有IIR濾波器的半速率DFE之功能性並且 评估其效能,則用65 nm塊狀CMOS技術來設計與製造 測試晶片。因為已經運用圖10的組合加總器/限幅器'5〇〇, 所以圖11内顯示選擇用於設計的特定DFE架構。如圖8 内所示’ 2:1 MUX和1IR ;慮波器結合在單一級4〇〇内,並 且次閂鎖器實施成為圖12的雙再生閂鎖器 30^4,> ϋ;;; 枓,來測試具有丨丨R濾波器的DFE之等化能力,這些線路 201136248 具有類似於矽載體連結内所預期之平順頻率衰減(r 〇 11 〇 ff) 特性。圖13内顯示這些通道的頻率響應(S21資料)。圖式 右半邊内的浴缸曲線(bathtub curve)顯示依照DFE以每秒 10 gigabits等化PRBS7資料時,測量bER是時脈取樣位 置的函數。針對50”線路,具有IIR濾波器的DFE在 BER=1(T9時產生45%水平開孔,並且開孔中央内無誤差操 作,如此只消耗6.8 mW的功率。相較之下,使用與具有 IIR濾波器的DFE相同之基本組件和功耗位準來實施習知 雙为接DFE。表格1以每秒lOgigabits資料率針對prbS7 和PRBS31 t料圖樣,比較具有HR滤、波器❹FE之測量 水平開孔與習知雙分接DFE的開孔。在所有測試通道上, 具有IIR濾波器的DFE在效能上超越雙分接dfe,凸顯 本發明的效果。Referring to Figure 1, a schematic diagram of a limiter and adder combination circuit 500 in accordance with one embodiment is shown. A higher power benefit approach that meets critical time requirements is to eliminate the Rc delay by directly injecting the total output current into the tunable PMOS load as a limiter 5〇2. When CLK is south and its complement is low, pM〇s resets the transistor and outputs the node to the positive supply. When CLK is low (and its complement is high), the sum of the parasitic capacitances on (4) is discharged to a low voltage. According to the sign of the summed differential current, when the JL or negative differential voltage is started to develop, the common mode is sufficiently low, the P-electrode f in the limiter 502 is turned on and provides a regenerative gain, thereby locking the binary zero or the voltage. polarity). Eliminating the rc between the summing and (10) functions is in line with the time limit of the DFE critical path, so that 5 Ray ιί you; I € to achieve the desired data rate. Combining these functions into a single circuit level also saves wafer area. d if into some schematic details to prove dfe performance 'eg: receiving with 1N level: ancient; 1 and channel gate sampling by CLK is switched and maintained 508, over _ level human to linear inductor constant, which is dependent on small input frequency Loss of this input signal maintains a reduced resistive decay of the receiver. The CML summing amplifier 'in the line 9' is converted to a linearity of current using the power υΙΝ and v丨丨R. The rate frame i makes the half speed path 500 of the D_G of 6G4. Because "he has a combination of the totalizer / limiter power to maintain effective _ ^ ^ total 眺 (four) secret will not place the secondary gate during the reset period = in the adder / limiter circuit 50〇 The output is valid. Although every two phases of r=CLK are maintained during the two phases... The 1st lock 602 is in a non-transparent (or closed) state, 201136248 and its corresponding adder/limiter circuit is heavy. Let's switch to the transparent (or open) state when evaluating its corresponding summed ji/limiter circuit 500. Therefore, the human latch 602 only adds less propagation delay to the 〇E and D〇 data outputs. It is understood that the specific embodiment illustrated in FIG. 1 is suitable for multi-drop DFE and dfe with IIR filter, in other words, the combination of the adder and the limiter is independent of whether or not the IIR filter is used in the DFE. For example: if utilized The differential current switch controlled by the DFE feedback signal H2 (and similarly displayed til) replaces the differential pair of the output of the receive IIR filter 604 (Viir) to obtain a combined summation suitable for use in a conventional dual tap DFE. / limiter circuit (500). If you want to have more than two tapped DFE, you can use More differential pair current adder 504 is added to modify the combined adder/limiter 500. The application of the combined adder/limiter circuit 5〇〇 can be used to achieve a useful use for reducing power and area. Knowing that the DFE is tapped, and the rc delay between the summing and locking functions is eliminated, making it easier to meet the critical time limit of any DFE. The multi-standard flash lock design can be used to implement the secondary flash lock shown in Figure 11. 602, including CML and static CMOS. However, these standard latches have shortcomings in application, such as: CML latches are generally considered the fastest available type, but their design of high static power and power efficiency DFE The goal is inconsistent, which is one of the motivations behind considering DFE with IIR filter. Static CMOS latch is more power efficient, but its lower speed will increase the critical path delay, thus reducing the maximum operating frequency of DFE. Referring to Figure 2, there is shown a schematic diagram of a latch structure 700 having differential regenerative stages 702 and 7〇4, which achieves an exemplary embodiment shown in Figure 12 than a static CMOS latch 16 201136248 =:1 os device 7〇6, and the second stage output will be complemented to low), so the output of the -OS switch 702(4)+/5 is precharged to the positive power supply. Because the first stage == on the power supply 'So close the pM〇s of the second level 7〇4' so this level maintains its output at the indication that the previous bit carries two ^: two ^ and its complement is high and wide because the negative parent fork is lighter' so the first Stage 7〇2 is turned on to start regenerating the input signal. At the same time, enter the output common mode of the first stage, and then turn on the input transistor of the second stage 704. The output of the first stage 7〇2 is regenerated to a sufficiently high level' Switch the logic state of the class. Because this stage 704 (unreceived clock signal) has a 又OS 712 in its load, additional regeneration is used to amplify its output. Once the regeneration is complete and the switching is completed, conduction through the series of transistor ceases, such that the latch consumes only dynamic power non-static power. For this reason, the latch is more power efficient than the CM L latch. In one embodiment, the latch 7 is particularly useful when receiving weak regeneration signals from components such as the adder/limiter (500 in the figure). In a particularly useful embodiment, the first stage 7〇2 of the latch 700 is regenerated at the same time as the previous assembly (e.g., the adder/limiter 5〇〇), such that the first stage 702 further amplifies the weak regeneration. input signal. The analog latch 7 结合 is combined with the adder/limiter 500 to confirm this advantage. The input signal to the adder/limiter 500 in the simulation is very small, so that only its output is weakly reproduced. The regeneration of the first stage 7〇2 is used to amplify the weak regenerative input signal of the f 201136248 to the lock H, but the non-synchronous clock becomes a high state (and its complement is low) to fully regenerate to the rail pair signal level. Due to the extra ±, the second stage 704 ❾ output is further amplified and is close to the rail to rail level. The second _ these ligaments (four) on the semi-supply electricity > 1 # common mode interlaced with each other 'making it suitable for S-drive drive still differential current switch (such as to achieve the opening of the sub-connection in Figure 9 and Figure 10 As well as any CML or CMOS logic circuit, we should understand that the dual regenerative latch illustrated in Figure 12 is suitable for DFE and systems other than DFE with IIR filter. As shown in Figure 4-1, the conventional multi-drop DFE contains A large number of latches, and the delay of these latches is a delay for each critical time path within the DFE, due to the superior speed and speed compared to other power efficiency latches (like static CM〇s latches) Sensitivity, dual regenerative latches 7 can be incorporated into conventional dfe architectures or other circuitry to enhance operating frequency without increasing power consumption. Furthermore, the bio-latch 700 can be used for many digital and mixed-signal systems. Basic construction, block 2 because the speed and sensitivity of the latch usually have a large impact on the overall system b, many of these systems benefit from the superior functionality of the dual regenerative latch 7 (8). Half rate DF of IIR filter The functionality of E and the evaluation of its performance, the design and manufacture of test wafers using 65 nm bulk CMOS technology. Since the combined adder/limiter '5〇〇 of Figure 10 has been used, Figure 11 shows the selection. For the specific DFE architecture designed, as shown in Figure 8 '2:1 MUX and 1IR; the filter is combined in a single stage 4〇〇, and the secondary latch is implemented as the dual regenerative latch 30^ of Figure 12. 4, >ϋ;;; 枓, to test the equalization power of DFE with 丨丨R filter, these lines 201136248 have similar smoothing frequency attenuation (r 〇11 〇 ff) characteristics expected in the 矽 carrier link. The frequency response of these channels is shown in Figure 13. The bathtub curve in the right half of the figure shows that bER is a function of the clock sampling position when the PRBS7 data is equalized by 10 gigabits per second in accordance with DFE. For the 50" line, the DFE with the IIR filter has a 45% horizontal aperture at T9 and no error operation in the center of the aperture, thus consuming only 6.8 mW of power. In contrast, using and having The same basic components and power consumption levels of the DFE of the IIR filter The implementation of the conventional double is connected to the DFE. Table 1 is for the prbS7 and PRBS31 t material patterns at a lOgigabits per second data rate, comparing the measurement horizontal opening with the HR filter, the wave device ❹FE and the conventional double tap DFE opening. On the test channel, the DFE with the IIR filter surpasses the double tap dfe in performance, highlighting the effects of the present invention.

表格1 杏平開孔(BER<〗xirr> 2分接DFETable 1 Apricot flat opening (BER<〗 xirr> 2 tap DFE

脉ί 瞭解所揭示具體實施例的豆他 接b改與變化’像疋使用四分之—速率取 竿 這種修改與變化並糾離本發明的精神u 201136248 在說明過具有縮減面積並且低功耗的DFE之電路及 方法之較佳具體實施例後(在此僅用於例示,並不作為限 制),請注意到在經過上述說明之後,熟習此項技術者就 可進行修改與變化。因此吾人可了解到,在申請專利範圍 内說明的本發明範疇與精神内,可對本發明公佈的特定具 體實施例進行修改。在依照專利法規細節與特殊要求來& 明本發明之態樣後,將在所附申請專利範圍中公佈由專利 法所聲明與保護的部分。 【圖式簡單說明】 一在參考下列圖式的較佳具體實施例說明中,將對所揭 不項目有更詳盡了解,其中: 圖1為顯示具有調整來匹配通道響應後標記之分接權 重的一習知多分接DFE之方塊圖; 視圖圖2為財賴體連結來連接兩晶片的—$載體之透 中圖^3=和圖3B顯7^ 2〇難長石夕載體通道的特性,其 對上時間4不S21響應對上頻率並且圖3B顯示脈衝響應ί 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解 了解The preferred embodiment of the circuit and method of the DFE is exemplified (and is not intended to be limiting). It is noted that modifications and variations can be made by those skilled in the art after the above description. Therefore, it is to be understood that the specific embodiments disclosed herein may be modified within the scope and spirit of the invention as set forth in the appended claims. The parts claimed and protected by the patent law will be disclosed in the scope of the appended claims after the details of the invention and the specific requirements. BRIEF DESCRIPTION OF THE DRAWINGS In the description of the preferred embodiments with reference to the following drawings, a more detailed description of the disclosed items will be provided, wherein: Figure 1 shows the tap weights with the adjustments to match the channel response marks. A conventional block diagram of multiple tapping DFE; view FIG. 2 is a cross-sectional view of the carrier-connected two-wafer-$carrier and the image of the carrier channel of Figure 3B and Figure 3B. Its upper time 4 does not S21 respond to the upper frequency and Figure 3B shows the impulse response

遽波= 徑内具有一類比連續時間IIR 服内具有習知離散分接和一 的=示使用丨丨域波11代表—_示具體實施例 迷率架構之方塊圖; 圖7為用於圖6内所示半速率DFE架構的時序圖; I S3 20 201136248 圖8為顯示其中在單一級内組合—2:ΐΜυχ和 滤波器的範例電路實施之示意圖; 器的=二據實先:二咖加總放大器〜 圖10為顯示根據一個具體實施例 DFE 器與限幅Μ合到單—級的示4圖; ^加總 圖11為顯示使用IIIU慮波器代表一個運關ω δ加總器/限幅n電路之購半速率架構之方塊圖;、 一圖12為顯示根據一個具體實施例的一雙再生閂鎖器 之示意圖;以及 圖13顯示30” ' 40”和50” PCB通道的頻率響應,以 及根據本原理利用具有IIR濾波器的半速率DFE等化之已 ;則里 BER 必缸曲線(bathtub curve)。 【主要元件符號說明】 10 決策反饋等化器 12 限幅器 14 閂鎖器 16 加總器 20 晶片 22 晶片 24 矽載體 26 表面配線 26 載體連結 28 矽穿透孔 100 DFE電路 104無限脈衝響應濾波器 201136248 106 加總放大器 108 路徑 200 決策反饋等化器 204 IIR濾波器 208 反饋路徑 300 半速率DFE 302 資料串流 304 IIR濾波器 306 限幅器 312 加總器 310 多工器 400 單一電流模式邏輯(CML)級 400 MUX/IIR濾波器電路 404 IIR濾波器 406 尾端電源 408 尾端電源 410 多工器 452 電阻 454 電流切換器 456 加總器 458 限幅器 460 關鍵路徑 500 組合的限幅器和加總器電路 502 限幅器 506 PMOS重置電晶體 504 電流加總器 507 PMOS電晶體 508 通道閘取樣與維持 22 201136248 600 604 602 700 702 704 706 708 711 710 712 決策反饋等化器 IIR濾波器 次閂鎖器 閂鎖結構 差動再生級 差動再生級 NMOS裝置 PMOS裝置 PMOS電晶體 PMOS開關 NMOS電晶體 m 23Chopping = There is an analogy of the continuous time in the IIR service with a conventional discrete tap and one = the use of the 丨丨 domain wave 11 represents - a block diagram of the specific embodiment of the odds architecture; Figure 7 is used for the figure Timing diagram of the half-rate DFE architecture shown in Figure 6; I S3 20 201136248 Figure 8 is a schematic diagram showing an example circuit implementation in which a -2: ΐΜυχ and filter are combined in a single stage; Additive Amplifier ~ Figure 10 is a diagram showing a DFE and a limiter combination to a single-stage according to one embodiment; ^Additional Figure 11 shows the use of a IIIU filter to represent a transfer ω δ adder Block diagram of a half-rate architecture for a limited-n-circuit circuit; Figure 12 is a schematic diagram showing a dual regenerative latch in accordance with one embodiment; and Figure 13 shows a 30" '40' and 50" PCB channel Frequency response, and the use of a half-rate DFE equalization with an IIR filter according to the present principle; then the BER must be a bathtub curve. [Major component symbol description] 10 Decision feedback equalizer 12 Limiter 14 Latch Locker 16 adder 20 wafer 22 wafer 24矽 carrier 26 surface wiring 26 carrier connection 28 矽 penetration hole 100 DFE circuit 104 infinite impulse response filter 201136248 106 total amplifier 108 path 200 decision feedback equalizer 204 IIR filter 208 feedback path 300 half rate DFE 302 data stream 304 IIR Filter 306 Limiter 312 Adder 310 Multiplexer 400 Single Current Mode Logic (CML) Stage 400 MUX/IIR Filter Circuit 404 IIR Filter 406 Tail Power Supply 408 Tail Power Supply 410 Multiplexer 452 Resistor 454 Current Switcher 456 Adder 458 Limiter 460 Critical Path 500 Combined Limiter and Adder Circuitry 502 Limiter 506 PMOS Reset Transistor 504 Current Adder 507 PMOS Transistor 508 Channel Gate Sampling Maintenance 22 201136248 600 604 602 700 702 704 706 708 711 710 712 Decision feedback equalizer IIR filter secondary latch latch structure differential regenerative stage differential regenerative stage NMOS device PMOS device PMOS transistor PMOS switch NMOS transistor m twenty three

Claims (1)

201136248 七、申請專利範圍: L —種^速麵策反鮮化H(DFE),其中包含: 複數個分支,每一分支包括: —加總器電路’其配置成新增—反饋信號至—已接收的輸 一閃鎖斋’其配置成根據一時脈信號來接收該加總器電路 的一輸出;以及 一反饋電路,包括: 夕 夕工器,其配置成接收每一分支的一輸出作為輸入該 =工器具有-時脈選擇輸人,並且設置成將每—分支的該輸出 夕工來組成一全速率位元序列;以及 上—濾波器,其配置成從要提供給每一分支的該加總器電路 之5亥已接收輸入當中,消除符際干擾(ISI)。 如申請專利範圍第1項之DFE ’其中該濾波器包含具有一 頻域轉換功能的一連續時間無限脈衝響應(IIR)濾波器。 如申請專利範圍第1項之DFE,另包含至少一耦合至該閂 鎖器的額外閂鎖器,每一額外閂鎖器都具有一反饋迴路, 用於提供一反饋分接至該加總器電路,來新增該反饋分接 至該已接收的輸入。 4. ^申請專利範圍第】項之DFE,其中該閂鎖器包括—限幅 為0 如申請專利範圍第4項之DFE,其中該限幅器和該加總器 201136248 電路組合在一單一級内。 6. 如申請專利範圍第5項之融,其中每—分支都包含具有 該限幅器和該加齡電路_單—級,並且另包含位ς琴 單-級的該輪出上之-次閃鎖器,來在—時脈循環階段^ 間維持資料有效。 7.如申請專利範圍第6項之DFE,其中該次閃鎖器包含 再生閂鎖器。 8. 如申請專利範圍第1項之服,其中該多工器和該渡波器 組合在一單一級内。 9. 一種用於決策反饋等化之方法,包含: 提供具有複數個分支的一 1/n速率決策反饋等化電路; 使用一加總器電路將來自一或多分支的一反饋信號與一 已接收的輸入相加; 根據一時脈信號使用一閂鎖器接收該加總器電路的一輪 出; & 將該閂鎖器的一輸出反饋至一多工器,其接收輸入每一分 支的輸出作為輪入,該多工器設置成將每一分支的該輪出多工 來組合一全速率位元序列;以及 使用具有一頻域轉換功能的一連續時間無限脈衝響應(IIR) 濾波器’消除來自該已接收輸入的符際干擾(ISI)。 丨0·如申請專利範圍第9項之方法,另包含提供一反饋分接給 25 201136248 該加總器電路’來從至少-額外閃鎖器新增該反饋分接至 該已接收的輸入。 11 ·如申請專利範圍第9項之方法,其十該問鎖器和該加總器 電路組合在-單-朗’並方法另包含使用—雙再生 閂鎖器再生該單一級的一輸出。 —種組合的限幅器和加總器電路,包含: 連接至要加總的複數個差動電流之差動輸出線;以及 一直接耦合至該等差動輸出線之可重設的電流比較器負 載’該電献娜貞載配置成直接接絲自該絲輸出線的已 加總差動電流,使得根據該已加總差動電流的一符號,在該差 動輸出線之間產生一正或負差動電壓來鎖定一二進位零或_。 13. 如申請專利範圍第12項之組合的限幅器和加總器電路, 其中該差動電流包括由一線性電感器產生的一輸入信 號,以及至少一分接信號和一濾波器信號其中之一,其提 供做為一決策反饋等化器(DFE)内的反饋。 14. 如申睛專利範圍第13項之組合的限幅器和加總器電路, 另包含一通道閘取樣與維持電路,其耦合至該線性電感 态,來接收在一評估階段期間由一時脈切換來維持該輪入 k號至該線性電感器常數之該輸入信號。 15. 一種雙再生閂鎖器,包含·· 兩串接的差動再生閂鎖器級,來達成改善的速度與敏感 Γ 26 201136248 度,該級包括: 一第一級,該級具有一第一類型的第一輸入電晶體、交叉 輕合的負載電晶體以及-第二類型的重置電晶體,以及一第二 級’其具有該第二類型的第二輸人電晶體以及該第—類型的交 又耗合負载電晶體’如此該第-級位於—不通透狀態時,該重 置電晶體將該第-_輸出預充至—電源供應電壓,該第二級 的該第二輸人電晶體闕來將輸出維持在指歧前儲存位元 的位準’啟動該第-級之後,該第—級和該第二級_交又輛 合負載電晶體開始再生-輸人信號,同時該第—級的—輸出此 模開啟該第二級的該第二輪人電晶體,該第二級包含該第一類 型的該交又輕合負載電晶體,並縣該第—級的該輸出達到一 臨界信號位準之後切換,來提供額外再生增益。 K如申請專利範圍第is項之雙再生問鎖器,其令該 閃鎖器接收來自-限幅器的—輸出,並且該第― 時該限幅器仍舊再生,使得該第一級與該限幅j 17. 如申請專利範圍第15項之雙再生閃鎖器,其中 型包括NMOS並且該第二類型包括pM〇s。 類 18. 利範圍第15項之雙再生閃鎖器,其中 型包括PMOS並且該第二類型包括NM〇s。 ’其中在一決策 如申請專職圍第丨6項之雙再生問鎖器 反饋等化器(DFE)内運用該閂鎖器。… [S3 27 19. 201136248 20.如申請專利範圍第19項之雙再生閂鎖器,其中先前儲存 的位元為一決策位元。 28201136248 VII, the scope of application for patents: L - kind of speed surface anti-fresh H (DFE), which includes: a plurality of branches, each branch includes: - adder circuit 'is configured to add - feedback signal to - has The received input flash lock is configured to receive an output of the adder circuit in accordance with a clock signal; and a feedback circuit comprising: an evening device configured to receive an output of each branch as an input = the worker has a - clock select input, and is arranged to compose the output of each branch to form a full rate bit sequence; and an up-filter configured to be provided from each branch The 5H of the adder circuit has received input to eliminate inter-symbol interference (ISI). The DFE of claim 1 is wherein the filter comprises a continuous time infinite impulse response (IIR) filter having a frequency domain conversion function. The DFE of claim 1 further includes at least one additional latch coupled to the latch, each additional latch having a feedback loop for providing a feedback tap to the adder Circuitry to add this feedback tap to the received input. 4. ^Applicable to the DFE of the scope of the patent, wherein the latch comprises - a limiter of 0, such as the DFE of claim 4, wherein the limiter and the adder 201136248 are combined in a single stage Inside. 6. In the scope of claim 5, wherein each branch includes the limiter and the ageing circuit_single-stage, and the other includes the one-stage of the one-stage The flash locker keeps the data valid during the clock cycle. 7. The DFE of claim 6, wherein the flash lock comprises a regenerative latch. 8. The service of claim 1, wherein the multiplexer and the waver are combined in a single stage. 9. A method for decision feedback equalization, comprising: providing a 1/n rate decision feedback equalization circuit having a plurality of branches; using a adder circuit to pass a feedback signal from one or more branches to a Receiving the input sum; receiving a round of the adder circuit using a latch according to a clock signal; & feeding back an output of the latch to a multiplexer that receives the output of each branch input As a round-in, the multiplexer is arranged to combine the round-robin multiplex of each branch to a full-rate bit sequence; and use a continuous-time infinite impulse response (IIR) filter with a frequency domain conversion function. Inter-distance interference (ISI) from the received input is eliminated.丨0. The method of claim 9, further comprising providing a feedback tap to 25 201136248 the adder circuit ′ to add the feedback tap from the at least-extra flash lock to the received input. 11. The method of claim 9, wherein the locker and the adder circuit are combined in a single-language and the method further comprises using a dual-regeneration latch to regenerate an output of the single stage. a combined limiter and adder circuit comprising: a differential output line connected to a plurality of differential currents to be summed; and a resettable current comparison directly coupled to the differential output lines The load is configured to directly connect the summed differential current from the wire output line such that a sign is generated between the differential output lines based on the sign of the summed differential current Positive or negative differential voltage to lock a binary zero or _. 13. A limiter and adder circuit as claimed in claim 12, wherein the differential current comprises an input signal generated by a linear inductor, and at least one tap signal and a filter signal. One, which provides feedback as a decision feedback equalizer (DFE). 14. A limiter and adder circuit as claimed in claim 13 of the scope of the patent, further comprising a channel gate sample and hold circuit coupled to the linear inductance state for receiving a clock during an evaluation phase Switching to maintain the input signal of the k-number to the linear inductor constant. 15. A dual regenerative latch comprising two serially connected differential regenerative latch stages for improved speed and sensitivity 2011 26 201136248 degrees, the level comprising: a first stage having a a type of first input transistor, a cross-lighted load transistor, and a second type of reset transistor, and a second stage 'which has the second type of second input transistor and the first The type of intersection consumes the load transistor 'so that the first stage is in the non-permeabilized state, the reset transistor precharges the first-output to the power supply voltage, the second of the second stage The transistor is input to maintain the output at the level of the pre-discrimination storage bit. After the first stage is started, the first stage and the second stage are combined with the load transistor to start regeneration-input signal At the same time, the first-stage output microphone turns on the second-level human-electrode of the second-stage, and the second-stage includes the first-type cross-light load-carrying transistor, and the county-level The output is switched after reaching a critical signal level to provide additional regeneration . K is the dual regenerative locker of claim issuance, wherein the flash locker receives the output from the limiter, and the first limiter is still regenerated, so that the first stage and the first Limiting j 17. A dual regenerative flash locker according to claim 15 of the patent application, wherein the type comprises an NMOS and the second type comprises pM〇s. Class 18. The dual regenerative flash lock of item 15, wherein the type comprises a PMOS and the second type comprises NM〇s. The latch is used in a decision to apply for a dual-regeneration locker feedback equalizer (DFE). [S3 27 19. 201136248 20. The dual regenerative latch of claim 19, wherein the previously stored bit is a decision bit. 28
TW99103134A 2009-02-06 2010-02-03 Circuits and methods for dfe with reduced area and power consumption TWI467972B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/366,843 US8477833B2 (en) 2009-02-06 2009-02-06 Circuits and methods for DFE with reduced area and power consumption

Publications (2)

Publication Number Publication Date
TW201136248A true TW201136248A (en) 2011-10-16
TWI467972B TWI467972B (en) 2015-01-01

Family

ID=42540407

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99103134A TWI467972B (en) 2009-02-06 2010-02-03 Circuits and methods for dfe with reduced area and power consumption

Country Status (7)

Country Link
US (5) US8477833B2 (en)
EP (1) EP2389748B1 (en)
JP (1) JP5506825B2 (en)
KR (1) KR101539816B1 (en)
CN (1) CN102301665B (en)
TW (1) TWI467972B (en)
WO (1) WO2010089170A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI472196B (en) * 2012-09-04 2015-02-01 Nanya Technology Corp Decision feedback equalizer
US9780979B2 (en) 2016-02-03 2017-10-03 Mstar Semiconductor, Inc. Analog equalizer
TWI617159B (en) * 2016-06-15 2018-03-01 晨星半導體股份有限公司 Simulated equalizer
TWI620427B (en) * 2012-08-15 2018-04-01 馬維爾國際貿易有限公司 Switched continuous time linear equalizer with integrated sampler

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7869498B2 (en) * 2006-09-14 2011-01-11 Lsi Corporation Low power decision feedback equalization (DFE) through applying DFE data to input data in a data latch
US8401065B2 (en) * 2011-02-14 2013-03-19 Fujitsu Limited Clock recovery circuit for receiver using decision feedback equalizer
US8731041B2 (en) * 2011-04-21 2014-05-20 Stmicroelectronics (Canada) Inc. Parallel closed-loop DFE filter architecture
US8798484B2 (en) * 2012-02-16 2014-08-05 International Business Machines Corporation Optical receiver using infinite impulse response decision feedback equalization
US8737549B2 (en) * 2012-04-30 2014-05-27 Lsi Corporation Receiver having limiter-enhanced data eye openings
US8537886B1 (en) 2012-07-05 2013-09-17 Altera Corporation Reconfigurable equalization architecture for high-speed receivers
CN102780663B (en) * 2012-07-09 2015-02-25 清华大学深圳研究生院 Continuous time balance circuit applied to high-speed serial interface
US8643422B1 (en) 2012-07-12 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Slicer and method of operating the same
US9106461B2 (en) * 2012-07-20 2015-08-11 Fujitsu Limited Quarter-rate speculative decision feedback equalizer
US8842722B2 (en) * 2012-08-22 2014-09-23 International Business Machines Corporation Decision feedback equalizers with high-order continuous time feedback
US9094239B1 (en) * 2012-10-01 2015-07-28 Altera Corporation Apparatus and method for polarity tap control
US8929428B2 (en) * 2012-10-30 2015-01-06 International Business Machines Corporation Feed-forward equalization in a receiver
US9319248B2 (en) * 2012-12-21 2016-04-19 Nvidia Corporation Decision feedback equalizer using current mode processing with CMOS compatible output level
WO2014101143A1 (en) * 2012-12-28 2014-07-03 华为技术有限公司 Decision feedback equalizer and receiver
US8976855B2 (en) 2013-03-14 2015-03-10 Intel Corporation Power and area efficient receiver equalization architecture with relaxed DFE timing constraint
US9237045B2 (en) * 2013-03-15 2016-01-12 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method for internal AC coupling with active DC restore and adjustable high-pass filter for a PAM 2/4 receiver
US9660624B1 (en) 2014-03-21 2017-05-23 Altera Corporation Methods and apparatus for implementing feedback loops
GB2525428A (en) 2014-04-24 2015-10-28 Ibm Decision-Feedback Analyzer and methods for operating the same
US9197458B1 (en) * 2014-05-01 2015-11-24 Samsung Display Co., Ltd. Edge equalization via adjustment of unroll threshold for crossing slicer
US9231793B1 (en) * 2014-05-19 2016-01-05 Albert Vareljian Full bridge decision feedback equalizer
US9531570B2 (en) * 2014-05-27 2016-12-27 Samsung Display Co., Ltd CML quarter-rate predictive feedback equalizer architecture
US9680668B2 (en) * 2014-12-16 2017-06-13 Intel Corporation Delay resilient decision feedback equalizer
US9374250B1 (en) * 2014-12-17 2016-06-21 Intel Corporation Wireline receiver circuitry having collaborative timing recovery
US9397824B1 (en) * 2015-01-28 2016-07-19 Texas Instruments Incorporated Gear shifting from binary phase detector to PAM phase detector in CDR architecture
US10341145B2 (en) * 2015-03-03 2019-07-02 Intel Corporation Low power high speed receiver with reduced decision feedback equalizer samplers
US9882795B1 (en) * 2015-04-17 2018-01-30 Xilinx, Inc. Signal loss detector
WO2016175828A1 (en) * 2015-04-30 2016-11-03 Hewlett Packard Enterprise Development Lp Monolithically integrated photodetector and receiver
US9628302B2 (en) 2015-05-21 2017-04-18 International Business Machines Corporation Decision feedback equalizer
US9660843B2 (en) * 2015-06-05 2017-05-23 Texas Instruments Incorporated Apparatus for processing a serial data stream
US9584306B2 (en) * 2015-06-18 2017-02-28 Altera Corporation Phase detection in an analog clock data recovery circuit with decision feedback equalization
US9699007B2 (en) * 2015-08-31 2017-07-04 Huawei Technologies Co., Ltd. Pipeline multiplexer loop architecture for decision feedback equalizer circuits
US9722828B2 (en) * 2015-09-23 2017-08-01 Qualcomm Incorporated Switch capacitor decision feedback equalizer with internal charge summation
US9595975B1 (en) 2015-09-30 2017-03-14 Samsung Display Co., Ltd. Low-latency high-gain current-mode logic slicer
CN107220193B (en) * 2016-03-21 2019-06-11 综合器件技术公司 Device and method for single-ended signal equilibrium
JP6700566B2 (en) * 2016-06-30 2020-05-27 株式会社ソシオネクスト Equalization circuit, reception circuit, and semiconductor integrated circuit
US9876656B1 (en) * 2016-07-11 2018-01-23 Xilinx, Inc. Differential feedback equalizer and method of implementing a differential feedback equalizer
US9860087B1 (en) 2016-08-31 2018-01-02 International Business Machines Corporation Low power speculative decision feedback equalizer
US10075308B2 (en) * 2016-09-30 2018-09-11 Intel Corporation Supply voltage adaptation via decision feedback equalizer
US10187234B1 (en) * 2017-11-13 2019-01-22 Huawei Technologies Co., Ltd. Decision feedback equalizers and methods of decision feedback equalization
KR102636148B1 (en) * 2017-11-21 2024-02-14 삼성전자주식회사 Operation method of signal receiver, pulse width controller, and electric device including the same
US10615778B2 (en) * 2018-02-19 2020-04-07 Analog Devices, Inc. Crest factor reduction
US10476707B2 (en) 2018-03-05 2019-11-12 Samsung Display Co., Ltd. Hybrid half/quarter-rate DFE
CN108964627B (en) * 2018-06-06 2022-03-15 杭州电子科技大学 RC passive equalizer structure for shielding differential silicon through hole and design method thereof
JP2020048021A (en) 2018-09-18 2020-03-26 キオクシア株式会社 Equalization circuit and receiver
US10904044B2 (en) * 2019-01-31 2021-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Serdes receiver with optimized CDR pulse shaping
TWI681651B (en) 2019-03-13 2020-01-01 瑞昱半導體股份有限公司 Decision feedback equalizer
KR102203394B1 (en) * 2019-04-05 2021-01-15 고려대학교 산학협력단 Decision feedback equalizer circuit for pulse amplitude modulation 4 and operation method thereof
US10848353B1 (en) 2019-06-28 2020-11-24 Intel Corporation Multi-tap decision feedback equalizer (DFE) architecture with split-path summer circuits
TWI722689B (en) 2019-11-29 2021-03-21 財團法人工業技術研究院 Detector and interference cancellation method for spatial multiplexing filter bank multicarrier with offset quadrature amplitude modulation
US11212143B1 (en) * 2020-06-29 2021-12-28 Huawei Technologies Co., Ltd. Sliding block decision equalizer
DE112021006023T5 (en) * 2020-11-19 2023-09-07 Microchip Technology Incorporated DECISION FEEDBACK TAP SYSTEMS AND RELATED DEVICES AND METHODS
CN112714085B (en) * 2020-12-11 2022-06-28 硅谷数模(苏州)半导体有限公司 Decision feedback equalization circuit
US11870615B2 (en) 2021-06-11 2024-01-09 Samsung Electronics Co., Ltd. Summing circuit and equalizer including the same
US11973623B2 (en) 2021-06-11 2024-04-30 Samsung Electronics Co., Ltd. Latch circuit and equalizer including the same
US11729029B2 (en) * 2021-08-31 2023-08-15 Analog Bits Inc. Method and apparatus for low latency charge coupled decision feedback equalization
US11973621B2 (en) 2021-12-17 2024-04-30 Samsung Display Co., Ltd. Power efficient slicer for decision feedback equalizer
JP2023139897A (en) * 2022-03-22 2023-10-04 キオクシア株式会社 Receiving apparatus and receiving method
US11770274B1 (en) 2022-05-24 2023-09-26 Apple Inc. Receiver with half-rate sampler circuits
KR20240036276A (en) 2022-09-13 2024-03-20 고려대학교 산학협력단 Receiver that effectively removes reflected signals in the time domain

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0330528A (en) * 1989-06-28 1991-02-08 Hitachi Ltd Equalizer and performance evaluating method for the same
US5031194A (en) * 1989-08-11 1991-07-09 Bell Communications Research, Inc. Wideband digital equalizers for subscriber loops
US5134319A (en) 1990-01-10 1992-07-28 Fujitsu Limited Bicmos differential amplifier having improved switching speed
US5293402A (en) 1991-05-02 1994-03-08 Bell Communications Research, Inc. Wideband digital equalizers for subscriber loops
US5491653A (en) * 1994-10-06 1996-02-13 International Business Machines Corporation Differential carry-save adder and multiplier
US6115418A (en) 1998-02-09 2000-09-05 National Semiconductor Corporation Simplified equalizer for twisted pair channel
US6724844B1 (en) * 1998-06-30 2004-04-20 Koninklijke Philips Electronics N.V. Method and device for improving DFE performance in a trellis-coded system
CN1060300C (en) 1998-09-11 2001-01-03 国家科学技术委员会高技术研究发展中心 Decision feedback equalizer with intelligently selecting tap coefficient
US7006565B1 (en) 1999-04-15 2006-02-28 Ati Technologies Inc. Hybrid soft and hard decision feedback equalizer
US6137319A (en) * 1999-04-30 2000-10-24 Intel Corporation Reference-free single ended clocked sense amplifier circuit
GB2349996A (en) 1999-05-12 2000-11-15 Sharp Kk Voltage level converter for an active matrix LCD
JP2003518876A (en) * 1999-12-24 2003-06-10 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Erasure-based instantaneous loop control in data receivers
US6757909B1 (en) 1999-12-29 2004-06-29 Sony Corporation Internet set-top box having an in-band tuner and cable modem
US6751255B1 (en) * 2000-03-09 2004-06-15 Orckit Communications, Ltd. Decision feedback analyzer with filter compensation
US7027500B1 (en) * 2000-12-12 2006-04-11 Ati Research, Inc. Linear prediction based initialization of a single-axis blind equalizer for VSB signals
US6856649B2 (en) * 2001-03-30 2005-02-15 Koninklijke Philips Electronics N.V. Initialization scheme for a hybrid frequency-time domain equalizer
US6580294B1 (en) * 2001-12-18 2003-06-17 Intel Corporation Zipper domino carry generate cell for fast adders
BRPI0309258B1 (en) * 2002-04-16 2016-05-31 Thomson Licensing Sa equalizer and decision feedback method
US20040027185A1 (en) 2002-08-09 2004-02-12 Alan Fiedler High-speed differential sampling flip-flop
JP3764135B2 (en) 2002-10-31 2006-04-05 Necエレクトロニクス株式会社 Level shifter
US7346105B2 (en) 2003-04-25 2008-03-18 Dotcast, Inc. Decision feedback equalization with fractionally-spaced feedback data
US7889786B2 (en) * 2003-08-29 2011-02-15 Diablo Technologies Inc. Operating frequency reduction for transversal FIR filter
US20050232347A1 (en) 2004-04-15 2005-10-20 Mediatek Incorporation Apparatus and method for noise enhancement reduction in an adaptive equalizer
US7177352B1 (en) * 2004-05-28 2007-02-13 Pmc-Sierra, Inc. Pre-cursor inter-symbol interference cancellation
KR100698630B1 (en) 2004-06-28 2007-03-21 삼성전자주식회사 Method and apparatus for auto-reporting a result of self-test
US7106099B1 (en) 2004-10-22 2006-09-12 Xilinx, Inc. Decision-feedback equalization clocking apparatus and method
KR100640591B1 (en) 2004-10-23 2006-11-01 삼성전자주식회사 Sparse tap adaptation equalizer with reduced size
EP1856869B1 (en) * 2005-01-20 2017-09-13 Rambus Inc. High-speed signaling systems with adaptable pre-emphasis and equalization
US7542508B2 (en) * 2005-04-21 2009-06-02 Lsi Logic Corporation Continuous-time decision feedback equalizer
JP2006332731A (en) * 2005-05-23 2006-12-07 Nagasaki Institute Of Applied Science Cmos latch type comparator
US7800411B1 (en) * 2006-01-30 2010-09-21 National Semiconductor Corporation System and method for providing a strobed comparator with reduced offset and reduced charge kickback
US7358790B2 (en) 2006-02-17 2008-04-15 Himax Technologies Limited High performance level shift circuit with low input voltage
US7362153B2 (en) * 2006-05-01 2008-04-22 Intel Corporation Receiver latch circuit and method
US7782935B1 (en) * 2006-08-31 2010-08-24 Altera Corporation Half-rate DFE with duplicate path for high data-rate operation
US7715474B2 (en) * 2007-02-07 2010-05-11 International Business Machines Corporation Decision feedback equalizer (DFE) architecture
US7822114B2 (en) * 2007-06-12 2010-10-26 International Business Machines Corporation Decision feedback equalizer using soft decisions
US20080310485A1 (en) 2007-06-15 2008-12-18 Qualcomm Incorporated System and methods for controlling modem hardware
US7936812B2 (en) * 2007-07-02 2011-05-03 Micron Technology, Inc. Fractional-rate decision feedback equalization useful in a data transmission system
JP4956840B2 (en) * 2008-03-14 2012-06-20 日本電気株式会社 Judgment feedback equalization apparatus and method
JP5400567B2 (en) 2009-10-23 2014-01-29 株式会社東芝 Semiconductor switch

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI620427B (en) * 2012-08-15 2018-04-01 馬維爾國際貿易有限公司 Switched continuous time linear equalizer with integrated sampler
TWI472196B (en) * 2012-09-04 2015-02-01 Nanya Technology Corp Decision feedback equalizer
US9780979B2 (en) 2016-02-03 2017-10-03 Mstar Semiconductor, Inc. Analog equalizer
TWI617159B (en) * 2016-06-15 2018-03-01 晨星半導體股份有限公司 Simulated equalizer

Also Published As

Publication number Publication date
US20150256160A1 (en) 2015-09-10
US9806699B2 (en) 2017-10-31
US9008169B2 (en) 2015-04-14
TWI467972B (en) 2015-01-01
WO2010089170A2 (en) 2010-08-12
JP5506825B2 (en) 2014-05-28
EP2389748B1 (en) 2017-08-09
US9444437B2 (en) 2016-09-13
US20150200792A1 (en) 2015-07-16
EP2389748A2 (en) 2011-11-30
US20100202506A1 (en) 2010-08-12
US8477833B2 (en) 2013-07-02
CN102301665A (en) 2011-12-28
US20120314757A1 (en) 2012-12-13
CN102301665B (en) 2015-02-25
KR101539816B1 (en) 2015-07-27
WO2010089170A3 (en) 2011-04-14
JP2012517170A (en) 2012-07-26
US20130287089A1 (en) 2013-10-31
KR20110129389A (en) 2011-12-01

Similar Documents

Publication Publication Date Title
TW201136248A (en) Circuits and methods for DFE with reduced area and power consumption
JP6170663B2 (en) Flexible receiver architecture
US8085841B2 (en) Sampled current-integrating decision feedback equalizer and method
US9276781B2 (en) Power and area efficient receiver equalization architecture with relaxed DFE timing constraint
US8842722B2 (en) Decision feedback equalizers with high-order continuous time feedback
JP5561282B2 (en) Decision feedback type waveform equalizer
CN107615724B (en) Device for processing a serial data stream
Chen et al. A 0.31-pJ/bit 20-Gb/s DFE with 1 discrete tap and 2 IIR filters feedback in 40-nm-LP CMOS
Kim et al. A four-channel 3.125-Gb/s/ch CMOS serial-link transceiver with a mixed-mode adaptive equalizer
Lu et al. A 66Gb/s 46mW 3-tap decision-feedback equalizer in 65nm CMOS
US7697603B1 (en) Methods and apparatus for equalization in high-speed backplane data communication
Sunaga et al. An 18Gb/s duobinary receiver with a CDR-assisted DFE
Chien et al. A low power duobinary voltage mode transmitter
Zhang An area efficient 4Gb/s 3-tap decision feedback equalizer with current-integrating summer
Payandehnia et al. A 4mW 3-tap 10 Gb/s decision feedback equalizer
Song et al. A 32-Gb/s Dual-Mode Transceiver With One-Tap FIR and Two-Tap IIR RX Only Equalization in 65-nm CMOS Technology
Kang et al. A 0.88-pJ/bit 28Gb/s quad-rate 1-FIR 2-IIR decision feedback equalizer with 21dB loss compensation in 65nm CMOS process
Zhang et al. A 10 Gb/s Equalizer in 0.18μm CMOS Technology for High-speed SerDes
Drost et al. Design and Characterization of a 10Gb/s, Tx/Rx Serial Link in 90nm CMOS
Fredriksson et al. 2.6 Gb/s over a four-drop bus using an adaptive 12-tap DFE
Joseph High-Speed Transceiver Design in CMOS using Multi-level (4-PAM) Signaling
Han et al. A high-speed differential receiver with low-power interleaved direct decision feedback equalizer
Kovacevic A 4x, 3-level blind ADC-based CDR in 65nm CMOS
Mathew et al. A novel interpolation approach for reducing clock-rate in multilevel decision feedback equalization detectors

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees