TW201011862A - Intralevel conductive light shield - Google Patents

Intralevel conductive light shield Download PDF

Info

Publication number
TW201011862A
TW201011862A TW98118172A TW98118172A TW201011862A TW 201011862 A TW201011862 A TW 201011862A TW 98118172 A TW98118172 A TW 98118172A TW 98118172 A TW98118172 A TW 98118172A TW 201011862 A TW201011862 A TW 201011862A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
top surface
semiconductor
screen
Prior art date
Application number
TW98118172A
Other languages
Chinese (zh)
Inventor
Jeffrey P Gambino
Zhong-Xiang He
Kevin N Ogg
Richard J Rassel
Robert M Rassel
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/133,379 external-priority patent/US8709855B2/en
Priority claimed from US12/133,380 external-priority patent/US8158988B2/en
Application filed by Ibm filed Critical Ibm
Publication of TW201011862A publication Critical patent/TW201011862A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14623Optical shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures

Abstract

A conductive light shield is formed over a first dielectric layer of a via level in a metal interconnect structure. The conductive light shield is covers a floating drain of an image sensor pixel cell. A second dielectric layer is formed over the conductive light shield and at least one via extending from a top surface of the second dielectric layer to a bottom surface of the first dielectric layer is formed in the metal interconnect structure. The conductive light shield may be formed within a contact level between a top surface of a semiconductor substrate and a first metal line level, or may be formed in any metal interconnect via level between two metal line levels. The inventive image sensor pixel cell is less prone to noise due to the blockage of light over the floating drain by the conductive light shield.

Description

201011862 六、發明說明: 【發明所屬之技術領域】 本發明係有關於半導體結構,並尤其有關於内含有一傳導 性光屏(conductive light shield)之半導體結構、用以製造此妨構 之方法,以及此結構之設計結構。 • 【先前技術】 參 一像素感測器包括一像素感測細胞陣列,其係偵測二維信 號。像素感測器包括影像感測器,其可將一視覺影像轉化成數 位數據而可以用一圖像所表示,亦即一影像(imageframe)。這 些像素感測細胞係為用以將上述二維信號(可包括一視覺影像) 轉化為數位數據的單位元件。常用的像素感測器類型包括在數 位相機以及光顯影裝置中所使用的影像感測器。這些影像感測 器包括電荷辆合裝置(CCDs)、或互補金氧半導體(CM〇s)影像感 測器。 • 雖然與CCD相較之下CMOS影像感測器是較晚發展出來 的,但CMOS影像感測器提供了比CCD而言較低耗電量、較 小尺寸、以及較快速的數據處理能力,同時提供了 ccD所沒有 的直接數位輸出。同時’與CCD相較之下,CMC)S影像感測器 具有較低的製造成本’因為許多標準的半導體製程可以用來製 CMOS景&gt;像感測器。根據上述理由,近年來商業上使用cM〇s 影像感測器疋呈穩定成長的趨勢。 δ月雀、見圖丨’其係顯示一例示的習知半導體電路,其包括一 影像感測器像素。此例示習知半導體電路包括—光敏二極體 201011862 PD、全域快門電晶體(gi〇bal shutter transistor)GS、一移轉閘電 晶體(transfer gate transist〇r)TG、一 重置閘電晶體(reset gate tTansist〇T)R_G、一源極隨辆器電晶體(s〇urce f〇n〇wer transistor)SF、以及一行選擇電晶體(r〇w seiecttransist〇r)Rs。此 籲本文中稱為移轉閘電晶舰極)構成了-浮動鍾201011862 VI. Description of the Invention: [Technical Field] The present invention relates to a semiconductor structure, and more particularly to a semiconductor structure including a conductive light shield, and a method for fabricating the structure. And the design structure of this structure. • [Prior Art] A para-pixel sensor includes a pixel sensing cell array that detects a two-dimensional signal. The pixel sensor includes an image sensor that converts a visual image into digital data and can be represented by an image, that is, an image frame. These pixel sensing cell lines are unitary elements for converting the above two-dimensional signals (which may include a visual image) into digital data. Commonly used pixel sensor types include image sensors used in digital cameras and light developing devices. These image sensors include charge-gathering devices (CCDs) or complementary metal-oxygen semiconductor (CM〇s) image sensors. • Although CMOS image sensors have been developed late compared to CCDs, CMOS image sensors offer lower power consumption, smaller size, and faster data processing than CCDs. It also provides a direct digital output that is not available in ccD. At the same time, 'CMC' S image sensors have lower manufacturing costs compared to CCDs' because many standard semiconductor processes can be used to make CMOS scenes like image sensors. For the above reasons, in recent years, cM〇s image sensors have been used commercially for a steady growth trend. </ RTI> </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> shows an exemplary conventional semiconductor circuit including an image sensor pixel. The exemplary semiconductor circuit includes a photodiode 201011862 PD, a gi〇bal shutter transistor GS, a transfer gate transist TG, and a reset gate transistor ( Reset gate tTansist〇T) R_G, a source 随 ce ce ce 电 、 、 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 This call is called the transfer gate electro-crystal ship pole) constitutes a floating clock

光敏一極體PD的一端係經接地,而此光敏二極體pD的另一端 .係直接連接至全域快門電晶體GS的源極(在本說明書中稱為全 •域快門電晶體源極)以及移轉閘電晶體TG的源極(在本說明 書中稱為移轉閘電晶體源極)。此移轉閘電晶體丁〇的沒極(在 源極隨耦器電晶體SJF 的源極蚀吉控_;蛊姑;5;,丨{二,ee 1 | θ .温One end of the photosensitive diode PD is grounded, and the other end of the photosensitive diode pD is directly connected to the source of the global shutter transistor GS (referred to as a full-domain shutter transistor source in this specification) And the source of the transfer gate transistor TG (referred to as the transfer gate transistor source in this specification). The pole of this transfer gate transistor is the source of the source-coupling transistor SJF _; 蛊; 5;, 丨 {2, ee 1 | θ.

此例示習知半導體電路可備用來形成 捉一影像。此一陣列影像感測器可以 形成一陣列影像感測器, 可以用於任何光學、紅外 ή選擇電 係為一 盗像素的輸出節點。重置 IGS的汲極、以及源極隨 以捕捉一影像。此 201011862 艫盔或兔外線影像裝置,包括數位相機。每·τ德忒 J為-像素。此陣列影像感測器的操::-:感測器單元 讀取程序。倾卿包括—曝絲序以及- 讀取程序係逐行^ 5喊用全域快門方法而進行。此 改變。_ I仃卩進行讀取程序的時間係隨著每行而 二:不:曝光程序以及讀一 ❷ 馨 汲極節擊ϋ敏二極體pd的數量係由在浮動 光子撞擊ίί相=:全?快門電路時物-時間受到 示為hv者所表示的時間°在圖中光子係以波浪狀箭頭,標 其重控制信號gSC會開啟全域快門電晶體GS, ^ltr(PmningV〇ltaSC) ° ^ 苴他+祕也网命制唬gSC係施加到一影像感測器的所有 i用㈣晶體。此全域快門控制信號gse接著被改變 作t 1域快門電晶體GS。在此階段,同—全域快門控制 二儿gsc被施加到一影像感測器的所有其他全域快門電曰 =影=測器的所有光敏二極體,包括圖1中的光:: 露在人射光之下’並產生電荷。由光敏二極i r點何則持續在光敏二極體1^的一個節點累積,此 係連接到全域快門電晶體gs以及移轉閘電晶體TG。 重置閘RG係由—重置閘控制信號rgc所開啟,因而心 動汲極節點FD的電壓位準。同一重置問控制信號丨.gc係被施:: 201011862 到此影像感測器内的所有其他重置 ^ 動汲極節點FD的電壓會變 9體。正吊情況下,净 相同。重置閉RG接著^供應電壓vdd實質上 _。同-重置間=3=^ 體’以關閉在影像感測器;的所有重其他的重置閘電晶 極節點_㈣的,在重置閘 === =節點FD的電壓維持在相同位準。移轉 Ο 二極㈣的電荷累積二== == 轉閘電晶體TG而被移轉到浮動汲:二集 Γ ^ 係被施加到所有其他的移轉閉電晶 體而收集到的電壓’經由-移轉閉電晶 在!應洋動汲極節點。此移轉閘電晶體丁G以及 其他移轉閉電㈣係由移㈣㈣㈣ 鲁 冑取程序係以逐行方式進行,從第一行開始依序選擇要讀 的-行直到最後-行為止。每—行的行選擇電晶體係由一共 二仃選擇信號rsc所控制。因此,可以有最多跟行數相同的共同 =選擇信號。一旦一行被選定,在被選定行的所有的行選擇電 體RS都會被開啟。在一洋動汲極節點FD的電壓從系統電源 供應電壓Vdd所產生的電壓改變,會隨著光敏二極體pD所產 生的光子數量等比例變動,而上述的光子數量則是隨著撞擊到 光敏二極體PD的入射光數量而等比例改變。在每一像素的數據 細出卽點的電壓,係在每一列被讀取。電壓位準提供了與光敏 二極體PD所產生的電荷量相關的第一數量。 201011862 對被選定的行而言,重置閘電晶體隨後被開啟。此動作允 許了一第二數量的讀取,其係為在該行中每一像素的背景位準 信號。從第一數量減去第二數量,則可以補償任何與產生第一 數量的電流測量時,所產生的電路相關誤差,易言之,可以消 除任何從影像感測器所產生的電路特定影像數據誤差。 ❿ 鲁 、然而,經由移轉閘電晶體TG以及重置閘電晶體RG,從浮 動汲極節點FD到基板之間有小量的電荷洩漏,因為幾乎每一裝 置都有漏電流路徑。實際來說,最嚴重的茂漏典型地係經由重 置閘電晶體所產生的漏電流。此一茂漏改變了浮動沒極節點fd 在停止時間(介於曝光程序與讀取程序之間)的電壓。漏電流 在-影像感測器像素中的信號中的雜訊 節謂中的糊停止―加略。因此會 致的雜訊在全域快門方法巾是悔重的 像。全域快門方法使用了全域二物體的影 辛的倾—杜* μ狀門齡丨中整格影像係在像 素的先轉換7G件中 '針對所有的行與朗時 光轉換單7G巾的錢縣娜轉至對應的浮 散的電壓接著從影像陣列以逐行續的 Ί。在/于動擴 門方法允許細㈣㈣彡像^讀^全域快 工,但是對於全域快門的效率 為工::::為加 能被任何從浮動擴散的漏電荷所破壞,而 201011862 捕捉到郷料列進行魏二者之間的時間 舉例信r信號 分留在浮動沒極的時間不定 單行所需要的時間,^可^有最少的#待_,對應至讀取 間,對應至整格影像的讀號可能有最長的等待時 因為漏電荷或電荷產b八間在浮動擴散的電荷可能 擴散處的電荷產生化會==間峨在浮動 號品質產生重大影響。會對於韻像感測器所讀取的信 快門像/「中保存最初電荷效率的標準,稱為「全域 r的信號」與「當信號被 所讀取的信號應該會和捕捉信號;二、二^ CMOS影像感測器中,全域快門效率應為1()。然而這並不^實 牙、It形因為漏電荷及/或電荷產生所造成的影響,而造成影像 品質相對的下降。 由於一移轉閘電晶體的浮動擴散典型地包括一 p-n接面, 任何入射光子可以在此節點藉由光電荷產生(ph〇t〇generati〇n)而 產生額外的電荷,而改變了在此節點所留住的電荷信號。這些 入射光子因此是在浮動擴散所留住的信號的雜訊。為了防止入 射光到達浮動擴散,目前影像感測器像素的設計係使用了内連 接層金屬線(interconnect level metal wiring) ’其係形成於一介層 窗層之上,作為光屏而阻擋入射光子。此金屬内連接層的佈線 因此變得受限,以配合光屏的設置。此外,光屏需要越靠近浮 in 201011862 動擴散越好,以將可能從散射角度達到浮動擴散的入射光子角 f降到最小。在某些情況下,增加一光屏同時改變内連接佈線 結構’可能需要增加佈線通道,而這可能對用來收集光線的影 像感測器像素的有效填充因子(effective fill factor)造成負面影 響,或者可能對浮動擴散增加不必要的電容。 根據以上所述’能夠提供浮動擴散有效的屏蔽(shielding) 2金屬内連接層中的金屬佈線的cm〇s影像感測器像 素…構有其市場需求,其設計結構亦然。 ^卜’能夠對浮紐極提供較A角度保護而不會負面影響 的金屬佈線的CM0S影像制器像素結構有 其市%%求,其設計結構亦然。 光屏Smo不S線通道然而_對浮紐極提供有效 亦然。 〃 ? 像素結構有其市場需求,其設計結構 【發明内容】 層中,用㈣^制—介層窗 的一屏係形成於—金屬内連接結構中 π動汲椏。—第二介電層係形成於此傳 像感測器像素細層之上。此傳導性光屏係覆蓋一影 201011862 窗,其係從第二介電層的一頂面1^構2成至少一介層 -金屬線層之間低階點層中,或者頂面以及-第 之間的任-金屬内連接介層窗層中丨於-金屬線層 細胞較不易受到雜訊影_為由影像感測器像素 極之上的光線。 雜光屏阻擋了在浮動沒 在本發明中,CM0S影像咸 其係位於一第一介電層以及—第二;、j-光屏’ 結構中形成至少-介層窗,其係電在,内連接 形成於介於二金㈣之間:===, 感輯素可鳴二 魯 像戍發明亦提t、種设計結構’其係用於-復⑽影 像素的設計、製造、或測試其設計,此結構包括 =光屏位於—影像感測轉素之_浮動汲 t括傳導性光屏的數據,此傳導性光屏係被設計位於一又第十: 二-'層與-第二介電層之間。在金屬内連接結構中形成至少— 乂層窗’其係'從從第二介電層之1面延伸到第—介電層之— =面。此傳導縣屏可,成於—接點層巾、其係界—半導體 i二:面!一金屬線層之間,或者係形成於任何金屬内連 ”層固層之中、介於二金屬線之間。本發明的⑶〇s影 12 201011862 信號雜訊 測器像素可崎低齡在轉汲極中的 半導體結構 的』=之一面向,係提供一種用以形成- 在一半導體基板之-頂面上形成至少一半 在至少一此半導體元件上形成一傳導性光屏盆, 性光= 初、一半導體元件之至少-節點脫離;’以及 參 之-頂面,其中該接點介層窗係為——體成开。2導體牛 形成之介面,其中該接點介層‘構 基板。▲板佩該料性光屏之之贿部分更遠離該半導體 光屏施例中,此方法更包括形成至少—金屬線於傳導性 層窗之-頂^。,其中此金屬線之—底面係直接形成於接點介 在另一實施例中,此方法更包括: 該半導體基板之—頂面上形成—介電基板覆蓋層, 八中5玄傳導性光屏係形成在該電基板覆蓋層之上;以及 形成-中段製程(middle-of-line’MOL)介電層,其係位於該 =基板覆歸之上’其巾該至少-金騎係直接形成於該中 段製程介電層之上。 根據本發明之另一面向,係提供一種用以形成半導體結構 之方法,包括: 201011862 在-半導體基板之-頂面之上形成至少一半導體元件; 層 在該半導體基板之-頂面之上形成至少—第—層金屬線; .在該至少-第-層金屬線之—頂面之上形成—第一介電 在該至少-半導體元件之上形成一傳導性光屏, 光屏並係直接位於該第一介電層之一頂面之上;以及 ❹ 在該傳導性光屏之上形成-第二介電層,其中該第一 層以及該第一介電層係完整包覆該傳導性光屏。 在一實施例中,此方法更包括: 直接在該至少-第二層金屬線之上形成一接點介 (contact via) ’其中此接點介層錢為—體成形的結構且無任何 物理形成的介面於其中;以及 形成至少一第二金屬線於該第二介電層中,其中該 金屬線係直接形成於該接點介層窗之一頂面之上^ 一增 在另一實施例中,此方法更包括: 在該第-介電層之該頂面上形成—金屬盤,該金屬盤 與該傳導性光屏相同之材料成分與_厚度 ς 與該傳導性光屏分離;以及 ^金屬盤係 直接在該金屬盤之’面之上形成另—接點介層窗。 金屬::;=射’此方法更包括形成另-接點介層窗於該 在又一實施例中,此方法更包括: 14 201011862 直接在該介電層之該頂面上形成一第一金屬盤,該第一金 屬盤具有與s玄傳導性光屏相同之材料成分與相同厚度; 直接在該傳導性光屏之一頂面上形成一第一介電部分,其 中該第一介電部分具有複數個侧壁其係實質上垂直地與該傳導 性光屏之侧壁符合; 直接在該第一金屬盤之一頂面之上形成一第二介電部分, 其具有與該第一介電部分相同之材料成分以及相同之厚度;以 及 , 魯 直接在該第二介電部分之一頂面之上形成一第二金屬盤。 根據本發明之又一面向,係提供另一形成一半導體結構之 方法,其包括: 在一半導體基板之一頂面之上形成至少一半導體元件; 在該至少一半導體元件之上形成一傳導性光屏,其中該至 少一半導體元件係與該至少一半導體元件之至少一節點(nod 分離; • 在該傳導性光屏之一頂面上形成至少一金屬線;以及 直接在该至少一金屬線之一底面以及該至少一半導體元件 之一頂面上形成一接點介層窗。 在一實施例中,此接點介層窗係為一體成形之結構而無任 何物理形成之介面於其中。 在另一實施例中,傳導性光屏包括至少一向下突七 窗部分其緊鄰於該至少一半導體元件之一,其中== 之整體,包括該至少一向下突出之介層窗部分,係由相同成分 15 201011862 =成’且係為—體成形而無任何物理形成之介面於1中,且 蓋層向下突出之介層窗部分係橫向地由該介電基板覆 在又一實施例中,此方法更包括: 直接在該半導體基板之—頂面上形成—介電基板覆蓋層, 其係位於該傳導性光屏之一部分底下;以及 在該”電基板覆蓋層之上形成一中段製程介電層,其係位 於該至少一金屬線之底下。 、7、 根據本發明之-面向,係提供—半導體結構,包括: 至少-半導體元件’位於-半導體基板之一頂面上; -傳導性光屏,位於魅少—铸心件之上並與該至少 一半導體元件之至少一節點分離; 至少一金屬線,位於該傳導性光屏之一頂面上;以及 -接點介層詩鄰於該至少—域線之—底面以及該至少 Φ 一半導體元件之一頂面。 在-實施例中’此接點介層窗係為一體成形的結構而無任 何物理形成之介面於其中。 在又一實施例中,此半導體結構更包括: -介電基板覆減㈣至料導縣板之—頂面,並位於 該傳導性光屏之一部分底下;以及 -中段製程介電層M4於該介電基板覆蓋層之上,並位於 該至少一金屬線底下。 16 201011862 另-面向’係提供另—半導體結構,包括: J — ί導體70件位於—半導體基板之—頂面上; 面 一二1—層金屬線’其係與該半導體基板之一頂面分離; ;1電層’其係緊鄰至該至少—第—層金屬線之一頂 -傳導性光屏位於該至少—半導體元件之上,並緊鄰至該 第一介電層之一頂面;以及This exemplary semiconductor circuit can be used as an alternative to form an image. The array of image sensors can form an array of image sensors that can be used for any optical, infrared, and selective power output node. Reset the IGS's drain and source to capture an image. This 201011862 helmet or rabbit external imaging device, including digital cameras. Every τ De 忒 J is - pixel. The operation of this array image sensor::-: sensor unit read program. The grading includes - the exposure of the silk sequence and - the reading program is line by line ^ 5 shouting using the global shutter method. This change. _ I仃卩 The time for reading the program is two lines per line: No: Exposure program and reading one ❷ The number of 汲 汲 节 ϋ ϋ ϋ 二 二 二 在 在 在 = = = = = = = The shutter-time object-time is shown as the time indicated by hv. In the figure, the photon system has a wavy arrow, and its weight control signal gSC turns on the global shutter transistor GS, ^ltr(PmningV〇ltaSC) ° ^苴 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + This global shutter control signal gse is then changed to the t1 domain shutter transistor GS. At this stage, the same-global shutter control two gsc is applied to all the other shutters of an image sensor, including all the photodiodes of the detector, including the light in Figure 1:: Under the light, and generate a charge. The photodiode i r point continues to accumulate at one node of the photodiode 1^, which is connected to the global shutter transistor gs and the transfer gate transistor TG. The reset gate RG is turned on by the reset gate control signal rgc, and thus the voltage level of the active drain node FD. The same reset request control signal 丨.gc is applied:: 201011862 All the other resets in the image sensor will turn the voltage of the FD node into 9 bodies. In the case of a crane, the net is the same. The reset RG is then supplied with the voltage vdd substantially _. Same-reset between =3=^ body' to turn off all the other reset gates in the image sensor; (4), at the reset gate === = the voltage of the node FD remains the same Level. Transfer Ο Two-pole (four) charge accumulation two == == Turn-on transistor TG is transferred to floating 汲: two sets Γ ^ is applied to all other transfer-closed transistors and the voltage collected 'via - Shifting the closed crystal in the ! The transfer gate transistor G and other transitions (4) are moved in a row-by-row manner by shifting (4) (4) (4), and the line to be read is selected sequentially from the first line until the last-behavior. The row-selective cell system for each row is controlled by a total of two select signals rsc. Therefore, there can be a common = selection signal that is the same as the number of rows. Once a row is selected, the selection of the electrical RS in all rows of the selected row is turned on. The voltage generated by the voltage of the FD of an oceanic bungee node from the system power supply voltage Vdd changes proportionally with the number of photons generated by the photodiode pD, and the number of photons described above is affected by the impact. The amount of incident light of the photodiode PD changes in an equal proportion. The data at each pixel is fined out and the voltage is read in each column. The voltage level provides a first amount associated with the amount of charge generated by the photodiode PD. 201011862 For the selected row, the reset gate transistor is then turned on. This action allows a second number of reads, which are the background level signals for each pixel in the row. Subtracting the second quantity from the first quantity compensates for any circuit-related errors that occur when generating the first number of current measurements. In other words, any circuit-specific image data generated from the image sensor can be eliminated. error. However, there is a small amount of charge leakage from the floating dipole node FD to the substrate via the transfer gate transistor TG and the reset gate transistor RG because almost every device has a leakage current path. In practice, the most severe leakage is typically the leakage current generated by resetting the gate transistor. This leak changes the voltage of the floating gate node fd at the stop time (between the exposure program and the reader). Leakage current The noise in the noise in the signal in the image sensor pixel stops - approximation. Therefore, the noise caused by the global shutter method is a repentant image. The global shutter method uses the shadow of the whole two objects. The shadow of the shadow is the same as that of the whole image. In the first 7G of the pixel, the money is converted to a single 7G towel for all the lines. Go to the corresponding floating voltage and then continue from the image array. The / in the expansion method allows fine (four) (four) ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ The time between the two columns in the queue is the time required for the r signal to be left in the floating time of the indefinite single line. ^ can have the least #待_, corresponding to the reading, corresponding to the integer image The reading number may have the longest waiting time because of the leakage charge or charge generation. The charge generation at the diffusion of the floating diffusion charge between the eight and the charge will have a significant effect on the quality of the floating number. For the letter shutter image read by the rhyme sensor, the standard for storing the initial charge efficiency is called "the signal of the global r" and "when the signal is read, the signal should be captured and captured; In the CMOS image sensor, the global shutter efficiency should be 1 (). However, this does not affect the effect of the leakage charge and/or charge on the actual teeth, and the image quality is relatively reduced. The floating diffusion of a shift gate transistor typically includes a pn junction at which any incident photon can generate additional charge by photocharge generation (ph〇t〇generati〇n), which changes at this node. The charge signal retained. These incident photons are therefore the noise of the signal retained by the floating diffusion. In order to prevent the incident light from reaching the floating diffusion, the current image sensor pixel design uses the inner connecting layer metal wire (interconnect Level metal wiring) 'It is formed on a via layer to block incident photons as a light screen. The wiring of the metal interconnect layer is thus limited to match the arrangement of the light screen. The closer to the floating in 201011862, the better the dynamic diffusion is to minimize the incident photon angle f that may reach the floating diffusion from the scattering angle. In some cases, adding a light screen while changing the internal connection wiring structure may require additional wiring. Channel, which may have a negative impact on the effective fill factor of the image sensor pixels used to collect the light, or may add unnecessary capacitance to the floating spread. According to the above, it is effective to provide floating diffusion. Shielding 2 The metal wiring of the metal wiring in the cm〇s image sensor pixel... has its market demand, and its design structure is also the same. ^ Bu' can provide a more angle protection for the floating pole The pixel structure of the CM0S image controller that does not adversely affect the metal wiring has its market %%, and its design structure is also the same. The light screen Smo does not have the S-line channel, however, it is effective for the floating pole. 〃 ? Its market demand, its design structure [invention content] In the layer, a screen is formed in the metal connection structure by a screen system of (4)^-layer window第二. The second dielectric layer is formed on the fine layer of the image sensor. The conductive screen covers a window of 201011862, which is formed from a top surface of the second dielectric layer. 2 into at least one via layer - in the lower order layer between the metal line layers, or in the top-side and - between any of the inter-metal interconnecting via layers, the germanium-metal layer cells are less susceptible to noise. For the light above the pixel pole of the image sensor. The stray light screen blocks the floating in the present invention, the CMOS image is located in a first dielectric layer and the second; j-light screen structure Forming at least a via window, the system is electrically connected, and the inner connection is formed between two gold (four): ===, and the sensory can be singularly erected, and the invention is also proposed. The design, manufacture, or testing of the design for the (10) image element, including: the light screen is located in the image sensing transducer, the data of the conductive screen, the conductive screen is The design lies between one and tenth: two-layer and - second dielectric layer. At least a germanium layer is formed in the metal interconnect structure from the one surface extending from the first dielectric layer to the first dielectric layer. This transmission county screen can be formed into a contact layer towel, its system boundary - semiconductor i two: face! Between a metal wire layer, or formed in any metal interconnected layer, between two metal wires. (3) 〇s shadow 12 201011862 signal noise detector pixel can be subsequent One of the semiconductor structures in the drain is provided to form - at least half of the top surface of a semiconductor substrate is formed on at least one of the semiconductor elements to form a conductive light panel, At least a node of a semiconductor component is detached; and a top surface, wherein the junction via is a body-opening. 2 a conductor-forming interface, wherein the junction layer is a substrate ▲ The board of the material of the material screen is more away from the semiconductor screen application, the method further includes forming at least a metal line in the conductive layer window - top ^, wherein the metal line - the bottom surface In another embodiment, the method further comprises: forming a dielectric substrate cover layer on the top surface of the semiconductor substrate, and forming a dielectric layer on the electrical substrate Above; and forming-middle process a middle-of-line 'MOL) dielectric layer overlying the substrate substrate, wherein the at least the gold riding system is formed directly on the intermediate process dielectric layer. According to another aspect of the present invention Providing a method for forming a semiconductor structure, comprising: 201011862 forming at least one semiconductor component over a top surface of a semiconductor substrate; forming a layer on the top surface of the semiconductor substrate to form at least a first layer metal line Forming a top surface of the at least-first-layer metal line - a first dielectric forms a conductive optical screen over the at least - semiconductor element, and the optical screen is directly located on the first dielectric layer a top surface; and a second dielectric layer formed over the conductive screen, wherein the first layer and the first dielectric layer completely encapsulate the conductive screen. In an example, the method further includes: forming a contact via directly on the at least-second layer metal line, wherein the contact layer is formed into a body-formed structure without any physically formed interface. And forming at least a second metal line therein In the second dielectric layer, wherein the metal line is directly formed on a top surface of the contact via window, and in another embodiment, the method further includes: in the first dielectric layer Forming a metal disk on the top surface, the metal disk is separated from the conductive light screen by the same material composition and thickness ; of the conductive light screen; and the metal disk is directly formed on the surface of the metal disk - Contact via window. Metal::; = shot 'This method further includes forming another contact via vial. In yet another embodiment, the method further includes: 14 201011862 directly in the dielectric layer Forming a first metal disk on the top surface, the first metal disk having the same material composition and the same thickness as the s-thin conductive screen; forming a first dielectric portion directly on one of the top surfaces of the conductive screen The first dielectric portion has a plurality of sidewalls that substantially perpendicularly conform to the sidewalls of the conductive screen; a second dielectric portion is formed directly over a top surface of the first metal disk , having the same material composition and the same thickness as the first dielectric portion ; And Lu directly forming a second metal plate over the second portion of the top surface of one of the dielectric. According to still another aspect of the present invention, there is provided another method of forming a semiconductor structure, comprising: forming at least one semiconductor component over a top surface of a semiconductor substrate; forming a conductivity over the at least one semiconductor component a light screen, wherein the at least one semiconductor component is separated from at least one node of the at least one semiconductor component (nod; • forming at least one metal line on a top surface of the conductive optical screen; and directly on the at least one metal line A contact pad is formed on one of the bottom surface and a top surface of the at least one semiconductor component. In one embodiment, the contact via is an integrally formed structure without any physically formed interface therein. In another embodiment, the conductive screen includes at least one downwardly projecting window portion adjacent one of the at least one semiconductor component, wherein the entirety of == includes the at least one downwardly projecting via window portion The same component 15 201011862 = is 'and is formed into a body without any physical interface formed in 1 , and the portion of the via that protrudes downward from the cap layer is laterally The electric substrate is covered in still another embodiment, the method further comprising: forming a dielectric substrate cover layer directly on the top surface of the semiconductor substrate, which is located under a portion of the conductive optical screen; and Forming a middle-process dielectric layer over the substrate cover layer under the at least one metal line. 7. In accordance with the present invention, a semiconductor structure is provided, including: at least a semiconductor component a top surface of the substrate; a conductive optical screen located on the charm-casting core and separated from at least one node of the at least one semiconductor component; at least one metal line on a top surface of the conductive optical screen And the contact layer is adjacent to the bottom surface of the at least the domain line and the top surface of the at least one semiconductor element. In the embodiment, the contact via window is an integrally formed structure. In another embodiment, the semiconductor structure further comprises: - a dielectric substrate covering (4) to a top surface of the material guide plate, and located under one of the conductive light screens And a middle-process dielectric layer M4 is over the dielectric substrate cover layer and under the at least one metal line. 16 201011862 The other-facing device provides another semiconductor structure, including: J - ί conductor 70 pieces are located - a top surface of the semiconductor substrate; a surface layer 1 - a metal line 'separated from a top surface of the semiconductor substrate; 1 electrical layer 'which is adjacent to the top of the at least one of the first layer of metal lines - a conductive screen disposed over the at least one semiconductor component and proximate to a top surface of the first dielectric layer;

第一介電層位於該傳導性光屏之上,其中該第一介電層 該第二介電層係將轉導性光屏之整體包覆於内。 在一實施例中’此半導體結構更包括: 一第二層金屬線埋入於該第二介電層之中;以及 接點介層窗緊鄰於該至少一第二層金屬線之一底面以及 該至少一第一層金屬線之一頂面。 在另一實施例中,此半導體結構更包括: 一金屬盤其具有與該傳導性光屏相同之材料成分與相同厚 度,該金屬盤係緊鄰至該第一介電層之該頂面,且係與該傳導 性光屏分離;以及 另一接點介層窗,其係緊鄰至另一第二層金屬線之一底面 以及遠金屬盤之一頂面。 根據本發明之又一面向,係提供一種設計結構,其係實施 於一種用以設計、製造、或測試一設計之機器可讀取媒介,該 設計結構包括: Λ 17 201011862 -,-數據’代表-半導體元件位於—半導體基板上; 一第—數據’代表—傳導性光屏位於—局部内連層(l〇cal interconnect level)並位於該半導體元件之上; 第一數據’代表—金屬線位於—金屬内連層之中,該金 屬内連層係位於該局部内連層之上;以及 -第四數據’代表—接點介層窗緊鄰至該金屬線與該半導 體元件。 參 在另一實施例中’此設計結構更包括: -第五數據’代表—介電基板覆蓋層緊鄰至該半導體基板 之-頂面丄並位於該傳導性光屏之一部分底下;以及 -第六數據,代表—中段製程介電層位於該介電基板覆蓋 層之上’並位於該至少一金屬線底下。 在又-實施例中’此設計結構更包括另一數據,其代表一 中段製程(MOL)介電襯底層緊鄰於該介電基板覆蓋層以及該傳 m 導性光屏之一非平面底面。 在又一實施例中,此第六數據包括: 一第七數據,代表一較低中段製程(middle_〇f line,M〇L)介 電層直接結合該傳導性光屏之_底面,其巾練低巾段製程介 電層之一頂面係與該傳導性光屏之一頂面共平面(c〇planar);以 及 一第八數據,代表一較高中段製程介電層緊鄰至該至少一 金屬線之一底面、並緊鄰至該較低中段製程介電層之一頂面。 18 201011862 在又-實施例中,此設計結構 接點介層窗緊鄰於傳導性光平戰之括另一數據,代表另一 另一底面。 貝面以及至少一金屬線之 在又-實施例中,此第二數據包括 向下突出之介層窗部分緊鄰至該至少=據’代表至少一 該傳導性光屏之整體,包括該至少 2讀之一 ’其中 參 係由相同成分所構成且為—體成形而窗部分’ 基板覆蓋層所包圍。 之)丨層_部分係橫向被該介電 在另-實施财,此設計結構包括_排線频加胸)。 在另-實施例中’此設計結構係儲存於—儲存媒介中,作 為用以交換積體電路之佈局數據的數據袼式。 鬌 *另一實施例中,此半導體裝置包括-影像感測器像素之 移轉電晶體之一浮動閘極。 根據本發明之又一面向,係提供一種設計結構,其係實施 於一種用以設計、製造、或測試一設計之機器可讀取媒介,該 設計結構包括: 一第一數據,代表一半導體元件位於一半導體基板上; 一第二數據’代表第一層金屬線與該半導體基板之一頂面 分離: 一第三數據,代表一第一介電層緊鄰於該至少一第一層金 201011862 屬線之一頂面; 半導體元件 一第四數據’代表-傳導性光屏位於該至少— 之上’並緊鄰至該第—介電層之—頂面;以及 覆於其内 其中;表:第二介電層位於該傳導性光屏之上, itf—介電層與該第二介電層係將該傳導性光屏之整體包 在一實施例中,此設計結構更包括: 第八數據,代表一第二層金屬線埋入於該第二介電層 中;以及 -第七數據,代表一接點介層窗緊鄰至該至少_第二層金 屬,-=面以及該至少—第—層金屬線之一了頁面。 7仏又一實施例中’接點介層窗係為一體成形之結構且無任 何物理形成之介面於其中。 f又-實施财,此設計結構更包括: • unit八數據,代表一金屬盤其具有與該傳導性光屏相同之 ;刀與相同厚度,此金屬盤係緊鄰於該第-介電層之頂 面?與該傳導性光屏分離;以及 第九數據,代表另一接點介層窗緊鄰於另一第二層金屬 線之-底面以及該金屬盤之一頂面。 魅二實施例中’此設計結構更包括另一數據,代表另一 鄰於另ϋ金屬線之-底面以及該金屬盤之 20 201011862 在又一實施例中,此設計結構更包括: 一第十數據,代表一第一金屬盤具有與該傳 之材料成分與相同厚度,其中該第—金屬盤係 ^^相同 之頂面並與該傳導性光屏分離; 、&quot;電層 :第十-數據’代表一第-介電部分緊鄰於 面’該第-介電部分具有複數個 =2屏 與該傳導性辅之侧符合; d實,上垂直地 _ -第十二麟’代表_第二介電部分 係與該第一介電部分分 離;以及 之厚度,其中該第二 之 一第十三數據,代表一第 τ貝面。 金屬盤緊鄰於該第二介電部分 排線列表。 在又一實施例中,此設計結構包括 蠡 又一實施例中,此半導體結構包括一影像感測器像素之 • 移轉電晶體之-浮動沒極。 向τΐ又一實施例中’此第四數據包括另一數據,代表至少一 下^出之介層窗部分位於該傳導性光屏中,其中該至少一向 3之介層窗部分垂直地緊鄰至一導體 該導體 為場效應電晶體之一源極區域、一祿區域、或一閘極。 接實施例令,此設計結構包括另一數據,代表至少- 面。Ui屏的介層窗’其係緊鄰於該傳導性光屏之—頂 2! 201011862 【實施方式】 光屏如ίίΐ方ί發明t有關於半導體結構其包括有-傳導性 /、 ^以及其設計結構,而在以下將配合圖式而 =說明之。在本說日月書中,當介紹本發明的元件 ❹ 例時’冠詞「_」、「此」、「該」係用以表示—個或多個元= 在各圖式中,相同的標號或字母係用以指稱相似或相等的元 件。針對已知魏或結構的詳細·,為了說明清晰起見 避免非必要賴糊本發明m其猶之 代表其比例。 請參照圖2,其係為本發明之半導體電路之一例示佈局,包 括一單元影像感測器像素200 ’其包括一光敏二極體21〇、一浮 動汲極220、以及一主動區域部分23〇。主動區域部分23〇包括 用作為全域4置電晶體(圖中未明顯標示)的源極與汲極區塊、 -重置閘極電晶體(圖中未_標示)、―源極隨㈣電晶體(圖 參 中未明顯標示)、以及一行選擇器電晶體(圖中未明顯標示)。 一移轉電晶體閘極線215係位於光敏二極體2丨〇與浮動汲極2 2 〇 之間。一重置閘電晶體閘極線225係位於浮動汲極22()與主動 區域部分230之間。一全域快門閘極線2〇5係位於主動區域部 分230以及光敏二極體210之間。一源極隨耗器閘極線235以 及一行選擇器閘極線245係位於主動區域部分23〇之内。由一 虛線方形所表示的一光屏280係覆蓋了浮動汲極22〇的面積。 較佳但非必要地’光屏280也覆蓋了主動區域部分wo。此光屏 280可以阻擋光線’使彳-τ先電荷產生(ph〇t〇generati〇n)在浮動汲 極220與主動區域部分230之内被抑制。在浮動沒極no内抑 201011862 生的電荷載子’可降低在浮動沒極220中以儲存電 何开&gt; 式所表示的信號的雜斗 μ 儲子 制来雷科外’在主動區域部分230内抑 t ti 7載子,在利用主動區域部分230中的電晶 體來感測所儲存電荷時,·甲的電曰曰 訊而增強信號正“。TU#由降低電晶體操作時的背景雜 體4參Π3,其f根據本發明之第—實施·第—例示半導 ❹ 構^二第2導績體廢基板21板接點介層窗層内連接結 (—of-line BE〇U 3結構6 —第-後段製程 屬線層内連接結構9,被7來曰:構8、以及-第二金 像素200。 〃 帛來作為圖2之一單元影響感測器 半導體基板2包括一半導辦展n (doping) . 2〇 動、方搞an 傅 弟一傳導型電荷收集井30、浮 =:可===源極魏極區域-其中場效 於第二傳導型電荷收集井3G底下t體層12包括—部份直接位 域32。第-禮道別^/1 @ &amp;下,才曰稱為第一傳導型半導體區 莲则叮第—傳導型係為第―傳導型的相反。舉例而古,第一傳 接或全部可具有第二傳導型摻雜,並且直 份或全部可’源極無極區域42的一部 (圖中未示Γ中,而包料第^且形成於—第二傳導型井 如該領域中所習知。’’ 型的源極與汲極區域42, 23 201011862 包括可7來構辭導縣板2的半導歸料物_生範例 =1、销合金部分1、錯、補合金部分= 口P为、矽鍺碳合金部分、砷化鐘 碳口金 硫化絡、其他m 魏銦、_化銦鎵、魏銦、 ,,物。舉例而言’石夕可以用為半導體心、第 區=的井半體手材動^㈣、及/或場效應電晶體的源極與汲極 亦t在整也’半導體層12係為單晶結構, 列。更佳地HM 2 體難似料鱗位蠢晶排 都曰i曰錄牛導基板整體除了淺溝槽隔離結構20以外 二二ΐ構’亦即半導體材料以原子為厚度蟲晶於整個半導 電晶體的源極井中'。、浮動汲極4。、及或場效應 之上舉例而言,係藉由一在半導趙基板2 Φ 墊曰(圖中未示),塗佈一光阻劑層(未示)並 = 接著進行非等向⑽刻將光阻劑的圖案轉 内陳跡-介電材彡’接著在淺溝槽 的深度可介於約lit電f料平面化。淺溝槽隔離結構加 从LU ^ 士 υ不水(nm)至約600奈米之間’並典型地介 =:;;。5〇°奈米之間,然而較小或較大的厚度‘ 典型係為半導體層12的-部份1 卢。第一傳導刑、的其他部分有相同的摻雜*&lt;d〇Pant)濃 又 土夺A豆區域32.的厚度取決於半導體層|2的在間 201011862 極&quot;電層50之下厚度、以及第二傳導型電荷收集井30的厚度, 並可&quot;於約500奈米至约5〇〇〇奈米之間,且典型地係介於】卿 奈米至3000奈米之間。 ❹ 净動沒極40具有第二傳導型的摻雜,並且當移轉電晶體被 關閉以允許電荷儲存時,浮動汲極是電性浮動的,其中移轉電 晶體包括第二傳導型電荷收集井3〇、浮動汲極4〇、以及介於二 ^之間的-間極及—通道。較佳地,係使用獨立的植人㈣】如) 遮罩以便獨立控制第二傳導型電荷收集井3〇的深度以及浮動汲 極的深度。較佳地,浮動汲極*的深度係小於第二傳導型 電荷收集井30的深度。浮動汲極4〇的摻雜物濃度可以介於約 ^101^13至約1,Gx 1G21/em3之間,且典型地係介於⑽ 1018/cm ^ ,〇 x 1〇2〇/cm3 , 月之考量。浮動汲極的深度(測量方式是介於 +導體基板2的頂面與浮動祕的底_平坦部分之 ^於約30,米至約3⑻奈米之間’且典型地係介於約料米 之=。300不未之間’然而更大或更小的深度也在本發明的考量 第-傳導型抖體區域32叹第二料型電荷 -起構成-光二極體(32,3G),其會產生電子·電洞:第井= 導型的電荷載子係在第二傳導型電荷收集井3Q中被卓j 量正比於縣到光二㈣(32,3_先子數量。在第t型氧數 型而第二料型為η型的時候’電子係在第二傳=為P 井30中被收集。當第—料型為,型而第二料型為 候,電洞係在第二傳導型電荷收集㈣中被收集。—先^ = 201011862 此ί子與光二極體(32,3〇)中的半導體材料產 隨箸半導體基板2中的半導體材料二 光子波長範圍二二’產生電子·電洞配對的光電荷產生作用的 ==:奈一錮錄一= ❹ ❹ mm * ^荷奸(制與電子)彳幽離,因Ϊ ^第ί = Ϊ㈣荷载子位於第二傳導型電荷收集井。 缺乏輯,則錢:極體(32,3G)之缺乏區域 接面的時候會轉而成為一主載子,亦即一第一 ^子位於該第-傳導型半導體區域32中、 2 閉電路,則會產生一井中,並且若此電路為封 為第二傳㈣目了共 電荷。尤其’若此载子係 ,〇之中。在第二傳導型電荷收集井 等比於入射光子的數量(假設這== Μ在進人缺乏區域之前’次載子在光二極體 失日梢主載子結合’則次載子會經由結合而「遺 」亚且不胃產生電流或累積電荷。 201011862 重产^間電晶體係與光二極體(3G,32)-體形成得勺扭女 30 傳導型半導趙材料的第二傳導型;=: 極體 型 電 時是移_晶體之-收1 則為電子H導型的電雜子(騎若帛-料型/ Τ* 3〇累積。當移轉閘被開啟 得导^ 參 ❹ 井3〇的電荷載子會被移制浮動閘極4〇在^傳導型電荷收集 且把從光二極體_2)移轉來的電為一電荷收集井並 極。料型電魏集井3以作料移轉閱電晶想== 括了 ===移,晶趙《及其他電晶趙’包 導體合金巴域^ 〃電開極間隔子別、閘極金屬半 極介源極與汲極金屬半導體合金區域仍$ 电貿可包括-半導體氧化物基底 间 或氮氧化❸,或者可包括丫彳如-氧化矽 料。間ί 5 2叮^ f熟知為高介電係數閘極介電材 或經摻雜的半導體材料,例如經摻雜多晶石夕 屬材料^ 或可包括一使用於-金屬間極中的金 體人金屬半導體合金區域59以及源極触極金屬半導 '及i 4η成49的形成’係將一金屬與源極與沒極區域42、浮動 4〇、及/或閘極52的半導體材料進行反應而得。 極門2絲㈣蘭6G係直接形成於半導縣板2、介電閘 ^子58、間極金屬半導體合金區域5()、以及源極與汲極金 201011862 屬半導體合金區域49的頂面之上。介電基板覆蓋層6〇包括了 電材料例如介電乳化物、或一介電氣化物。舉例而言, 介電基板覆蓋層6〇可包含氮化矽(silic〇nnitride)。介電基板覆蓋 層60 了以^加一張力(tensiie)應力或磨力應力至其 下的半導體基板2以及閘極結構。 介電基板覆蓋層60可以藉由低壓氣相沈積(LPCVD)、高速 熱化學氣相沈積(RTCVD)、電漿增強化學氣相沈積(PECVD)、 ® 高密度電漿化學氣相沈積(HDPCVD)等方法形成。介電基板覆蓋 層60的厚度可介於約1〇奈米至約15〇奈米之間,且典型地係 介於約25奈米至約75奈米之間,雖然較大或較小的厚度亦在 本發明的考量之中。 一中段製程(MOL, middle-of-line)介電襯底62係形成於介 電基板覆蓋層60之上。此中段製程介電襯底62可包括,例如, 一 CVD氧化物。此CVD氧化物可以為一未經摻雜的矽酸鹽玻 _ 璃(USG,undoped silicate glass)、硼矽酸鹽玻璃(BSG,borosilicate glass)、磷矽酸鹽玻璃(psg,phosphosilicate)、氟矽酸鹽玻璃(FSG, flurosilicate glass)、硼磷矽酸鹽玻璃(BPSG,borophosphosilicate glass)、或其混合物。中段製程介電襯底62的厚度可介於約ι〇 奈米至約200奈米之間。或者,中段製程介電襯底62可包括一 有機碎酸鹽玻璃(〇SG, organosilicate glass)或另一低介電係數介 電材料,其具有2.8以下的介電常數。此中段製程介電襯底62 可以藉由一順形沈積或一非順形沈積作用而形成。此中段製程 介電襯底層62係緊鄰於介電基板覆蓋層60之一頂面。 201011862 一光阻劑(未示)係塗佈於中段製程介電襯底62之上,並 經光微景&gt;圖案化,而在其下的半導體元件的至少一節點上形成 有孔洞’半導體元件包括移轉電晶體以及其他電晶體。光阻劑 中的圖案係轉移到中段製程介電襯底62以及介電基板覆蓋層 62,以形成至少一介層窗(via)孔,其係延伸到半導體基板2之 '上的一半導體元件。在半導體基板2之上的半導體元件的節點, 係被選擇性地外露,亦即至少一節點被外露、而至少另一節點 不被外露,使得只有將被電氣連接的節點會被外露於至少一介 層=孔的底端。舉例而言,可以直接在浮動汲極之上形成一介 層窗孔、以外露一汲極金屬半導體合金區域49,並直接在一單 =影像感測器像素之源極隨耦器電晶體的閘極5 2之上形成另一 介層窗孔、以外露一閘極金屬半導體合金區域。 不透明導體層(未示)係利用該領域習知的方法沈積, 包括化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積 (ALD)等。此不透明導體層可包括一金屬材料,並可包括鶴、欽、 • 氮化鈦、氮化纽、氮化鶴、或上述的合金。或者,此不透 2導體層可包括-經摻雜半導體材料,例如經摻雜多晶石夕、經 $雜石夕鍺合金等。此不透明導體層填滿了在中段製程介電襯底 中以及在介電基板覆蓋層6〇之中的所有至少一介層窗孔。不 與明導體層係由光微影方式圖案化而形成—傳導性光屏78,其 牛例而言覆蓋了浮動沒極40以及其他電晶體,除了光敏二極體 0’32)的部分以外。S到傳導性光屏78戶斤覆蓋的區域,係對應 至圖2中光屏280的部分。 傳導性光屏78包括至少—向下突出的介層窗部分,其係緊 20 201011862 7ΤΓ輕t導體糾錢供賴至料導體元件。⑽導性光屏 78的整體’包括該至少—向下突出的介層窗部分,可 的材料成分。此傳導性光屏78的整體,包括該至少一^: =介層窗部分’可以為-體成型的結構而沒有任何物理形= 中。該至少一向下突出的介層窗部分係橫向地被中段 製私,I電襯底62以及介電基板覆蓋層6〇所包圍。 5性=78的底面係緊鄰於中段製程介電概底 頂面係為非平面。料性光屏78的底面的輪廓係血作 製h電襯底62的輪靡相似,並且也是非平面。地了 ^ 性光屏78可包括至少二底面部分,此_邱 半導趙基板2分隔。此傳導性光屏; 輪靡相似於傳導性光屏%的底面龄傳導性光|78 以傳導性光屏78的平坦部分朗量基準 太又 雖然較大或較小的厚度亦在本發明的考量之中。4之間’ 傳導性光屏78,由於其鄰近於半導體 對浮動汲極4〇及/或感測電路中 土的頂面’因此 弧角度的入射光,藉而抑制在浮動:r〇eL及第1:=阻f大圓 結構之各電晶體中之中的光電 歹,不半導體 單元影像感測器像素的電晶體。此傳導性而可能是— 其允許僅在由傳導性光屏二的 =苡=佈 78係局雜雜祕,例如舰於 像素的面射,並且並未允許 全^感測器 在單元影像感卿像素的面積之外。—场王域内相,例如 30 201011862 一中段製程介電層80係形成於傳導性光屏78以及中段製 程介電襯底62的外露部分之上。中段製程介電層8〇可包括一 CVD氧化物、一有機矽酸鹽玻璃(〇SG)、或另一低介電係數材 料其具有如上所述的低於2.8的介電常數。此中段製程介電層 .80可以利用一順形沈積或非順形沈積方式形成。較佳地,此中 段製程介電層80係利用化學機械研磨(CMp, chemical mechanical planarization)而被平面化。或者,此中段製程介電層 ❹ 80可包括一自平面化材料,例如一旋塗玻璃(SOG,spin-〇n-glass) 或一旋塗低介電係數介電材料其具有低於2 8的介電常數。中段 製程介電層80的厚度,無論是平面化之後或是自平面化材料^ 積之後,係足以覆蓋傳導性光屏78的整個頂面。較佳地,中段 製程介電層80的頂面係與傳導性光屏78支頂面的最高部分^ 持一距離,以避免經由中段製程介電層8〇所發生的介電崩二 (dielectric breakdown)。較佳地,此距離係大於2〇奈米,且較= 地大於100奈米。此中段製程介電層8〇係為一體成型的結^而 參 無任何物理形成的介面於其中。此中段製程介電層80在傳導性 光屏78的侧壁底端直接連接到傳導性光屏78之一底面。 一光阻劑(未示)係塗佈於此中段製程介電層8〇之上並 係經光微影圖案化而形成至少一孔洞。在光阻劑中的圖案係轉 移到穿過中段製程介電層8〇、中段製程介電襯底62、以及介電 基板覆蓋層60,而外露出在半導體基板2之上的一半導體元件 的一節點。此光阻劑係以針對中段製程介電層8〇選擇性地移 除。一金屬係沈積於此中段製程介電層8〇的外露表面上 LJ、 在該至少一孔洞的所有表面上,包括半導體元件的外露表面: 201011862 所沈積金屬在中段製程介電層8G的頂面上的部分,係藉由平面 =回_、或二種方法的組合而移除,沈積金屬剩餘在該 孔洞中的部分,則構成了至少—接點介層窗88,其係從 :段製程介電層80的-頂面延伸穿财段製程介㈣8〇、中段 裂程介電襯底62、以及介電基城歸6G,而到達在半導體基 二2之上的-半導體元件的一頂面上。舉例而言,此至少一接 之 % m 點介層窗88可接觸至源極與汲極金屬半導體合金區域奶 的—頂面。 每-該至少-接點介層請可以藉由—單—沈積步驟而形 ’而不暴露至空氣中。因此,每―該至少—接點介層窗路係 為-體成型的結構而沒有任何物理形成的介面於其中。每一該 二接點”層窗88具有-頂面’其係與該中段製程介電層8〇 柘霜!::平面一 ?電介層窗88並具有一底面’其係與介電基 Liu之—底面共平面。此至少—接點介層窗88包括了 金屬材料,例如鎢、扭、鈦、氮化鶴、氮化雜、以及氮化欽。 成二層介電層90係藉由該領域中習知的方法而形 ^ 層8G之上,習知方法包括化學氣相沈積以 2塗沈積。此第-金屬線層介電層9〇係典型地稱為mi層介 整個丰㈣Μ 為—4㈣接層,祕允許了橫跨The first dielectric layer is disposed on the conductive optical screen, wherein the first dielectric layer and the second dielectric layer encapsulate the entirety of the transducing optical screen. In an embodiment, the semiconductor structure further includes: a second metal line buried in the second dielectric layer; and a contact via window adjacent to a bottom surface of the at least one second metal line and a top surface of one of the at least one first layer of metal lines. In another embodiment, the semiconductor structure further comprises: a metal disk having the same material composition and the same thickness as the conductive optical screen, the metal disk being in close proximity to the top surface of the first dielectric layer, and Separating from the conductive screen; and another contact via, which is adjacent to one of the bottom surfaces of the other second metal line and one of the top surfaces of the far metal. According to still another aspect of the present invention, there is provided a design structure implemented in a machine readable medium for designing, manufacturing, or testing a design, the design structure comprising: Λ 17 201011862 -, - Data 'representative The semiconductor component is located on the semiconductor substrate; a first data-representative-conductive optical screen is located at the local interconnect layer and located above the semiconductor component; the first data 'represents the metal line - among the metal interconnect layers, the metal interconnect layer is over the local interconnect layer; and - the fourth data 'represents" the contact via window is adjacent to the metal line and the semiconductor component. In another embodiment, the design structure further includes: - a fifth data representation - a dielectric substrate cover layer adjacent to the top surface of the semiconductor substrate and located under one portion of the conductive light screen; and - The six data represents that the middle layer process dielectric layer is located above the dielectric substrate cover layer and is located under the at least one metal line. In yet another embodiment, the design structure further includes another data representative of a mid-range process (MOL) dielectric substrate layer adjacent to the dielectric substrate cover layer and a non-planar bottom surface of the passivation screen. In still another embodiment, the sixth data includes: a seventh data representing a lower middle 制f line (M〇L) dielectric layer directly bonded to the bottom surface of the conductive optical screen, One of the top surfaces of the process layer of the low towel stage process is coplanar with a top surface of the conductive screen; and an eighth data representing a higher middle layer process dielectric layer adjacent to the A bottom surface of one of the at least one metal lines and adjacent to a top surface of the lower middle dielectric layer. 18 201011862 In yet another embodiment, the design structure of the contact via is adjacent to another data of the conductive light warfare, representing the other of the other bottom surfaces. In a further embodiment, the second data comprises a downwardly projecting via window portion immediately adjacent to the at least = according to at least one of the conductive light screens, including the at least 2 One of the readings is that the reference system is composed of the same component and is formed by the body and the window portion is surrounded by the substrate cover layer. The 丨 layer _ part is laterally divided by the dielectric in another implementation, this design structure includes _ line frequency plus chest). In another embodiment, the design structure is stored in a storage medium as a data format for exchanging layout data of the integrated circuit.鬌 * In another embodiment, the semiconductor device includes one of the floating gates of the shifting transistor of the image sensor pixel. According to still another aspect of the present invention, there is provided a design structure implemented in a machine readable medium for designing, manufacturing, or testing a design, the design structure comprising: a first data representing a semiconductor component Located on a semiconductor substrate; a second data 'represents a first layer of metal lines separated from a top surface of the semiconductor substrate: a third data representing a first dielectric layer adjacent to the at least one first layer of gold 201011862 a top surface of the line; a semiconductor element-fourth data 'representative-conductive optical screen located at least above and adjacent to the top surface of the first dielectric layer; and overlying therein; Table: The second dielectric layer is located on the conductive optical screen, and the itf-dielectric layer and the second dielectric layer comprise the conductive optical screen as a whole. The design structure further includes: Representing a second metal line buried in the second dielectric layer; and - a seventh data representing a contact via window adjacent to the at least second layer metal, -= face, and the at least - - One of the layers of metal lines is the page. In yet another embodiment, the "contact pad" window is an integrally formed structure without any physically formed interface therein. F--implementation, the design structure further includes: • unit eight data, representing a metal disk having the same as the conductive optical screen; the knife and the same thickness, the metal disk is adjacent to the first dielectric layer The top surface is separated from the conductive screen; and the ninth data represents another contact interlayer window adjacent to the bottom surface of the other second layer metal line and a top surface of the metal disk. In the second embodiment, the design structure further includes another data, representing another bottom surface of the other metal line and the metal disk 20 201011862. In still another embodiment, the design structure further includes: The data represents a first metal disk having the same thickness as the material component of the transfer, wherein the first metal disk is the same top surface and separated from the conductive light screen; and &quot;Electrical layer: tenth- The data 'represents a first-dielectric portion immediately adjacent to the face'. The first-dielectric portion has a plurality of =2 screens in conformity with the side of the conductive auxiliary; d real, vertically vertical _ - twelfth lin' represents _ The second dielectric portion is separated from the first dielectric portion; and the thickness, wherein the second one of the thirteenth data represents a τth surface. The metal disk is adjacent to the second dielectric portion of the cable list. In yet another embodiment, the design structure includes: In yet another embodiment, the semiconductor structure includes a floating sensor of the image sensor pixel-floating pole. In another embodiment, the fourth data includes another data, and the at least one of the via window portions is located in the conductive optical screen, wherein the at least one via 3 portion is vertically adjacent to the first Conductor The conductor is a source region, a region, or a gate of a field effect transistor. Following the example, this design structure includes another data, representing at least a face. The mesa window of the Ui screen is adjacent to the conductive screen - Top 2! 201011862 [Embodiment] The optical screen is invented by the semiconductor structure, which includes -conductivity /, ^ and its design Structure, and will be described below in conjunction with the schema. In the description of the components of the present invention, the articles "an article "_", "this", "this" are used to mean one or more elements = in the drawings, the same reference numerals are used in the description of the present invention. Or letters are used to refer to similar or equivalent elements. For the details of known Wei or structure, for the sake of clarity, it is not necessary to rely on the present invention to represent its proportion. Please refer to FIG. 2 , which is an exemplary layout of a semiconductor circuit of the present invention, including a unit image sensor pixel 200 ′ including a photodiode 21 〇 , a floating drain 220 , and an active area portion 23 . Hey. The active region portion 23 includes a source and a drain block for use as a global 4-channel transistor (not explicitly shown), a reset gate transistor (not shown in the figure), and a source with (four) power. Crystals (not clearly indicated in the figure), and a row of selector transistors (not clearly labeled). A shifting transistor gate line 215 is located between the photodiode 2丨〇 and the floating drain 2 2 。. A reset gate transistor gate line 225 is located between the floating drain 22 () and the active region portion 230. A global shutter gate line 2〇5 is located between the active area portion 230 and the photodiode 210. A source follower gate line 235 and a row of selector gate lines 245 are located within the active region portion 23A. A light screen 280, represented by a dashed square, covers the area of the floating drain 22A. Preferably, but not necessarily, the &apos;screen 280 also covers the active area portion wo. The light screen 280 can block light&apos; to cause 彳-τ first charge generation (ph〇t〇generati〇n) to be suppressed within the floating dipole 220 and the active area portion 230. In the floating immersion no, the electric charge carrier generated in 201011862 can be reduced in the floating dipole 220 to store the electric charge, and the signal represented by the formula is stored in the hopper μ storage system. 230 in the t ti 7 carrier, when using the transistor in the active region portion 230 to sense the stored charge, the electrical signal of A is enhanced by the positive signal. TU# is reduced by the background of the operation of the transistor杂 4 Π , , , , , 根据 根据 根据 根据 根据 根据 根据 — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — U 3 structure 6 - the first-last stage process is an in-line layer connection structure 9, which is composed of 7 and 8 - the second gold pixel 200. As a unit of FIG. 2, the sensor semiconductor substrate 2 includes Half of the exhibition show n (doping). 2 〇,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, The t-layer 12 under the 3G includes a part of the direct bit field 32. The first-conductor type semiconductor region is the first conductive semiconductor region. - Conductive type is the opposite of the first - conductive type. For example, the first pass or all may have a second conductivity type doping, and one part of the direct source or all of the 'source electrodeless region 42 (not shown) In the demonstration, the material is formed and formed in the second conductivity type well as is well known in the art. The '' source and the drain region 42, 23 201011862 include the 7 to construct the word county board 2 Semi-conducting material _sheng example=1, pin alloy part 1, wrong, supplementary alloy part = mouth P is, 矽锗 carbon alloy part, arsenic clock carbon ring gold sulfide, other m Wei indium, _ indium gallium Indium, indium, and, for example, 'Shi Xi can be used as the semiconductor core, the first half of the well half of the hand movement ^ (four), and / or the field effect transistor's source and bungee are also Also, the 'semiconductor layer 12 is a single crystal structure, column. More preferably, the HM 2 body is difficult to be squashed, and the squarish row of the slabs are all in addition to the shallow trench isolation structure 20. That is, the semiconductor material is crystallized in atomic thickness in the source well of the entire semiconducting crystal, ', floating drain 4, and or field effect, for example. By applying a photoresist layer (not shown) on a semi-conductive substrate 2 Φ pad (not shown) and then performing an anisotropic (10) engraving of the pattern of the photoresist - The dielectric material 彡' then the depth of the shallow trench can be planarized by about lit. The shallow trench isolation structure is added from LU ^ υ υ water (nm) to about 600 nm ' and typically Between =5°°N., however, the smaller or larger thickness 'typically is the one part of the semiconductor layer 12'. The first conduction penalty has the same doping* The thickness of the &lt;d〇Pant) thick and soiled A bean region 32. depends on the thickness of the semiconductor layer|2 between the 201011862 pole & the electric layer 50, and the thickness of the second conductivity type charge collecting well 30, and It can be between about 500 nanometers and about 5 nanometers, and is typically between 999 nm and 3000 nm.净 The net moving dipole 40 has a second conductivity type doping, and when the transfer transistor is turned off to allow charge storage, the floating dipole is electrically floating, wherein the transfer transistor includes a second conduction type charge collection Well 3 汲, floating bungee 4 〇, and - between the two ^ - and - channel. Preferably, a separate implant (4), such as a mask, is used to independently control the depth of the second conductivity type charge collection well 3''''''''''' Preferably, the depth of the floating drain* is less than the depth of the second conductivity type charge collecting well 30. The dopant concentration of the floating drain 4 可以 may be between about ^101^13 to about 1, Gx 1G21/em3, and typically between (10) 1018/cm ^ , 〇 x 1 〇 2 〇 / cm 3 , The consideration of the month. The depth of the floating bungee (measured by the top surface of the +conductor substrate 2 and the bottom of the floating secret _ flat portion between about 30, m to about 3 (8) nm' and typically between about the meter ==300 is not between 'however, a larger or smaller depth is also considered in the present invention to sigh the second type charge - to form a photodiode (32, 3G), It will generate electrons and holes: the first subordinate = guided charge sub-system is proportional to the county to light II (four) in the second conduction type charge collection well 3Q (32, 3_ the number of precursors. In the t When the oxygen type is used and the second type is η type, the 'electron system is collected in the second pass = for the P well 30. When the first type is the type and the second type is the waiting type, the hole is in the The second conduction type charge collection (4) is collected. - First ^ = 201011862 The semiconductor material in the photonic diode and the photodiode (32, 3 〇) is produced by the semiconductor material in the semiconductor substrate 2 with two photon wavelength ranges of two The generation of photocharges generated by electron and hole pairing ==: Naiyi 一1 = ❹ ❹ mm * ^ 奸 ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ The second conductivity type charge collection well. In the absence of the series, the money: the polar body (32, 3G) lacks the area junction and turns into a master carrier, that is, a first ^ is located in the first conduction type. In the semiconductor region 32, a closed circuit is generated in a well, and if the circuit is sealed as a second pass (four), the common charge is charged. Especially if the carrier is in the middle, the second conductive charge is collected. The well is equal to the number of incident photons (assuming that == Μ before the entry into the lack of the region] the second carrier is combined with the main carrier of the photodiode in the photodiode, then the subcarrier will be “remained” by the combination. The stomach produces electric current or accumulates electric charge. 201011862 The second conductivity type of the 30-conducting semi-conductive Zhao material is formed by the regenerative electro-crystal system and the photodiode (3G, 32)-body formation; =: polar body type electric time Is the shift _ crystal - receive 1 is the electron H-type electric hybrid (ride 帛 帛 - material type / Τ * 3 〇 accumulation. When the transfer gate is turned on to guide the 电 ❹ well 3 〇 charge carriers The electricity that will be transferred to the floating gate 4 传导 in the conduction type charge collection and transferred from the photodiode 2) is a charge collection well. Type Wei Weiji 3 is used to transfer the material to read the crystal. I========================================================================================= The dielectric source and the gate metal semiconductor alloy region may still include - a semiconductor oxide substrate or a ruthenium oxynitride, or may include, for example, a cerium oxide. The λ 5 叮 ^ f is well known as a high dielectric a coefficient gate dielectric or a doped semiconductor material, such as a doped polycrystalline stone material or may include a gold metal semiconductor alloy region 59 and a source electrode used in the intermetallic electrode The metal semiconducting 'and the formation of i 4η to 49' are obtained by reacting a metal with a semiconductor material having a source and a gate region 42, a floating gate, and/or a gate 52. The pole gate 2 wire (four) blue 6G system is directly formed on the top surface of the semi-conductor plate 2, the dielectric gate 58 , the inter-metal semiconductor alloy region 5 (), and the source and the bungee gold 201011862 semiconductor alloy region 49. Above. The dielectric substrate cover layer 6 includes an electrical material such as a dielectric emulsion or a dielectric compound. For example, the dielectric substrate cover layer 6 may include a silicon nitride layer. The dielectric substrate cover layer 60 has a semiconductor substrate 2 and a gate structure to which a tensile stress or a tensile stress is applied. The dielectric substrate overlay 60 can be formed by low pressure vapor deposition (LPCVD), high speed thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), ® high density plasma chemical vapor deposition (HDPCVD). And other methods are formed. The thickness of the dielectric substrate cover layer 60 can be between about 1 nanometer and about 15 nanometers, and typically between about 25 nanometers and about 75 nanometers, although larger or smaller. Thickness is also considered in the context of the present invention. A middle-of-line (MOL) dielectric substrate 62 is formed over the dielectric substrate overlay 60. The mid-stage process dielectric substrate 62 can include, for example, a CVD oxide. The CVD oxide may be an undoped silicate glass (USG), borosilicate glass (BSG), phosphosilicate glass (psg, phosphosilicate), fluorine. Fluorite glass (FSG), borophosphosilicate glass (BPSG), or a mixture thereof. The thickness of the mid-process dielectric substrate 62 can range from about ι to about 200 nm. Alternatively, the mid-stage dielectric substrate 62 may comprise an organosilicate glass (〇SG) or another low-k dielectric material having a dielectric constant of 2.8 or less. The mid-stage process dielectric substrate 62 can be formed by a conformal deposition or a non-smooth deposition. The mid-stage process dielectric substrate layer 62 is in close proximity to one of the top surfaces of the dielectric substrate cover layer 60. 201011862 A photoresist (not shown) is coated on the middle-process dielectric substrate 62 and patterned by light micro-views, and a hole 'semiconductor is formed on at least one node of the underlying semiconductor device. Components include transfer transistors and other transistors. The pattern in the photoresist is transferred to the mid-process dielectric substrate 62 and the dielectric substrate cap layer 62 to form at least one via via which extends to a semiconductor component on the semiconductor substrate 2. The nodes of the semiconductor elements above the semiconductor substrate 2 are selectively exposed, that is, at least one node is exposed, and at least another node is not exposed, so that only nodes to be electrically connected are exposed to at least one interface. Layer = the bottom end of the hole. For example, a via hole may be formed directly on the floating drain, and a drain metal semiconductor alloy region 49 is exposed, and directly connected to the gate of the source follower transistor of the pixel of the image sensor Another via window is formed over the pole 5 2 to expose a gate metal semiconductor alloy region. An opaque conductor layer (not shown) is deposited by methods known in the art, including chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), and the like. The opaque conductor layer may comprise a metal material and may comprise crane, chin, titanium nitride, nitride, nitride, or alloys as described above. Alternatively, the impermeable 2 conductor layer may comprise a -doped semiconductor material, such as doped polycrystalline stone, via a heterogeneous alloy, or the like. The opaque conductor layer fills all of the at least one via in the mid-process dielectric substrate and in the dielectric substrate cap layer. The conductive screen 78 is formed without patterning the bright conductor layer by photolithography, and the bovine case covers the floating dipole 40 and other transistors except for the portion of the photodiode 0'32). . The area covered by S to the conductive screen of 78 jin corresponds to the portion of the screen 280 of Fig. 2. The conductive screen 78 includes at least a downwardly projecting via portion that is tied 20 201011862 7 ΤΓ light t-conductor for the supply of conductor elements. (10) The entirety of the conductive screen 78 includes the at least - downwardly projecting via portion, the material composition. The entirety of the conductive screen 78, including the at least one of the vias, may be a body-formed structure without any physical form. The at least one downwardly projecting via portion is laterally encased by an intermediate dielectric substrate 62 and a dielectric substrate cover layer 6〇. The bottom surface of the 5 = 78 is adjacent to the middle section of the dielectric system. The top surface is non-planar. The contour of the bottom surface of the materialized screen 78 is similar to the rim of the plasma substrate 62 and is also non-planar. The illuminating screen 78 can include at least two bottom portions, which are separated by a substrate. The conductive screen; the rim is similar to the conductive screen %% of the bottom age conductive light | 78 with the flat portion of the conductive screen 78 is too large, although larger or smaller thickness is also in the present invention Consider it. 4 between the 'conductive light screen 78, because it is adjacent to the semiconductor to the floating pole 4 〇 and / or the top surface of the soil in the sensing circuit' and thus the incident light at the arc angle, thereby suppressing the floating: r〇eL and No. 1: The photodiode among the transistors in the large circular structure, and the transistor of the semiconductor sensor image sensor. This conductivity may be - it allows only in the conductive screen 2 = 苡 = cloth 78 system miscellaneous, such as the ship's surface shot, and does not allow the full ^ sensor in the unit image sense Outside the area of the pixel. - Field king phase internal phase, for example 30 201011862 A mid-stage process dielectric layer 80 is formed over the exposed portion of the conductive optical screen 78 and the mid-process dielectric substrate 62. The mid-process dielectric layer 8 can comprise a CVD oxide, an organic tellurite glass (〇SG), or another low-k material having a dielectric constant of less than 2.8 as described above. The mid-process dielectric layer .80 can be formed by a conformal or non-smooth deposition. Preferably, the mid-stage process dielectric layer 80 is planarized by chemical mechanical planarization (CMp). Alternatively, the mid-process dielectric layer 80 may comprise a self-planarizing material such as a spin-on-glass (SOG) or a spin-on low-k dielectric material having less than 2 8 Dielectric constant. The thickness of the intermediate dielectric layer 80, whether after planarization or after planarization of the material, is sufficient to cover the entire top surface of the conductive screen 78. Preferably, the top surface of the middle-process dielectric layer 80 is at a distance from the highest portion of the top surface of the conductive screen 78 to avoid dielectric breakdown caused by the dielectric layer 8 of the middle-stage process (dielectric) Breakdown). Preferably, the distance is greater than 2 nanometers and more than 100 nanometers. The middle layer process dielectric layer 8 is an integrally formed junction without any physically formed interface therein. The mid-process dielectric layer 80 is directly connected to the bottom surface of the conductive screen 78 at the bottom end of the sidewall of the conductive screen 78. A photoresist (not shown) is applied over the dielectric layer 8 of the mid-stage process and patterned by photolithography to form at least one hole. The pattern in the photoresist is transferred to the semiconductor device through the middle-process dielectric layer 8, the middle-process dielectric substrate 62, and the dielectric substrate cover 60, and exposed to the semiconductor device 2 One node. This photoresist is selectively removed for the dielectric process layer 8 of the mid-stage process. A metal is deposited on the exposed surface of the middle dielectric layer 8 L on the exposed surface of the at least one hole, including the exposed surface of the semiconductor component: 201011862 The deposited metal is on the top surface of the middle layer dielectric layer 8G The upper part is removed by a combination of plane=back_, or two methods, and the part of the deposited metal remaining in the hole constitutes at least a contact via 88, which is from the section process The top surface of the dielectric layer 80 extends through the dielectric process (4) 8 〇, the middle split dielectric substrate 62, and the dielectric base 6G, and reaches a top of the semiconductor component above the semiconductor base 2 On the surface. For example, the at least one of the % m dot vias 88 can contact the top surface of the source and the drain metal semiconductor alloy region. Each of the at least-contact layers may be shaped by a single-deposition step without exposure to air. Thus, each of the at least-contact vias is a body-formed structure without any physically formed interface therein. Each of the two-contact "layer windows 88 has a top surface" which is defrosted with the middle-stage process dielectric layer 8::: a dielectric layer window 88 and has a bottom surface 'the system and the dielectric layer The bottom surface of Liu is coplanar. At least the contact via window 88 comprises a metal material such as tungsten, twisted, titanium, nitrided, nitrided, and nitrided. The second dielectric layer 90 is borrowed. Above the layer 8G by a method known in the art, conventional methods include chemical vapor deposition deposited by 2. The first-metal layer dielectric layer 9 is typically referred to as a mi layer. (4) Μ is -4 (four) layer, secret allows cross

1個丰¥體Βθ片的佈線。第—金I 化在第-金屬線層介電係以先錄方式圖案 金制⑽。f μ層中’亚且以金屬填充而形成第一 以及i-A/^料Α線可包括如銅或鱗材料。第—金屬線98 構至接 要d /丨層面δ的一頂面係垂直地緊鄰至〆第 201011862 内ίΐϊ 98 #一底面。此傳導性光屏78係位於第一金屬線層 、-&gt;構6之下。中段製程介電層8〇係緊鄰於第一金屬線98 八 後铁製程(back-end-of-line)介電覆蓋層no以及一第二層 -二=層120,係以該領域習知的方法形成於第一金屬線層内連接 •=二上,習知方法包括化學氣相沈積以及旋塗沈積。第二 曰介s窗孔係形成於後段製程介電覆蓋層110以及第二層介電 ❹I 12G之—較低部分之内。位於第二層介層窗孔之上的第二層 線槽係I成於第二層介電層12()的―較高部位之内。利用如物 理氣相沈積以及電艘的組合方法,金屬填滿了第二層介層窗孔 以及第一層線槽。所沈積的金屬係由化學機械研磨(CMp)、回 =刻、或二種方法的組合,而進行平面化以形成第二層介層 窗108以及第二金屬線118。第二層介層窗1〇8以及第二金屬線 118可包括如銅或鋁等材料。 參 後段製程介電覆蓋層110、第二層介電層120的較低部分、 以及第二層介層窗108共同構成了第一後段製程(BE〇L, b^ack-end-of-line)介層窗層内連接結構8。第二層介電層12〇的較 高部分以及第二金屬線m共同構㈣二金屬線層内連接結構 9。額外的介層窗層内連接結構(未示)以及額外的金屬線層内 連接結構可視需要而形成。 請參照圖4’其係為本發明第一例示半導體結構之一變體, 其中一傳導性光屏78係直接形成於-介電基板覆蓋層60之 上。此外,中段製裎介電層8〇係直接形成於傳導性光屏78之 201011862 頂面以及介電基板覆蓋層6G之頂面上。換言之,圖3 例不+導體結構的-中段製程介電_ 62 體結構的變體中倾省略。 ㈣例不牛導 中段,程介電層80係位於介電基板覆蓋層⑽之上 金屬線98底下。傳導性光屏78包括 出 ,其係緊鄰至一半導體元件。傳導性光= 窗:分’係橫向被介電基板覆蓋層60所包 清參照圖5’其係根據本發明之 ::導雜第结=括一半導體基板2、第-丄:上: 示接結構9 ’其係與第-實施例相同。第二例 -介電霜罢^ &lt;基板接點介層窗層内連接結構4,其包括 構及一 +段製程介電概底62,其成分與結 後基=㈡二2令段f介電概底62之 係直接形成於中段製程介電曰襯^段製程介電層 積上:A S藉由-_ 一 _又製程介電層80Α可包括如 ^ 4勿寻。此CVD氧化物可為一未經摻雜的石夕酸鹽玻 201011862 璃(USG,undoped silicate glass)、硼石夕酸鹽玻璃(BSG,borosilicate glass)、磷矽酸鹽玻璃(PSG, phosphosilicate)、氟矽酸鹽玻璃(FSG, flurosilicate glass)、棚麟石夕酸鹽玻璃(BPSG,borophosphosilicate glass)、或其混合物。或者,較低中段製程介電層80A可包括一 有機碎酸鹽玻璃(OSG, organosilicate glass)或另一低介電係數介 . 電材料’其具有2.8以下的介電常數。此中段製程介電層80A 係接著藉由如化學機械研磨(CMP)等方法而被平面化。 ❹ 在另一情形中’較低中段製程介電層80A係由自平面化製 程,例如旋塗塗佈,而形成。較低中段製程介電層8〇A可包括 旋塗玻璃(SOG)或一多孔性或非多孔性低介電係數材料,其具有 小於2.8的介電常數。 光微影製程接著被用以移除該較低中段製程介電層8〇a在 =導體元件之上的部分,此部分後續要被—傳導性光屏所覆 盖。舉例而言’可塗佈—光阻劑於較低中段製程介㈣如八+1 wiring of the ¥ Β θ θ piece. The first-gold metallization is in the first-metal layer dielectric system in a pre-recorded pattern of gold (10). The f μ layer is sub- and filled with metal to form a first and the i-A/^ ray may include, for example, copper or a scale material. The first metal line 98 is connected to a top surface of the d/丨 plane δ vertically adjacent to the 〆201011862 ΐϊ # 98 #一底底. The conductive screen 78 is located below the first metal line layer, -&gt; The middle layer dielectric layer 8 is adjacent to the first metal line 98, the back-end-of-line dielectric cap layer no, and the second layer-two=layer 120, as is known in the art. The method is formed on the first metal line layer connection == two, conventional methods include chemical vapor deposition and spin-on deposition. The second interface s window is formed in the lower portion of the back-end dielectric coating 110 and the second dielectric ❹I 12G. The second layer of trenches I located above the second via is formed within the "higher" portion of the second dielectric layer 12(). Using a combination of physical vapor deposition and electric boat, the metal fills the second via and the first trench. The deposited metal is planarized by chemical mechanical polishing (CMp), back-engraving, or a combination of the two methods to form a second via window 108 and a second metal line 118. The second via window 1 8 and the second metal line 118 may comprise a material such as copper or aluminum. The back-end process dielectric cap layer 110, the lower portion of the second dielectric layer 120, and the second via window 108 together form a first back-end process (BE〇L, b^ack-end-of-line The connection structure 8 in the interlayer window layer. The higher portion of the second dielectric layer 12A and the second metal line m together form a (four) two metal line layer connection structure 9. Additional via layer interconnect structures (not shown) and additional metal line layer interconnect structures may be formed as desired. Referring to FIG. 4, which is a variation of the first exemplary semiconductor structure of the present invention, a conductive optical screen 78 is directly formed on the dielectric substrate cover layer 60. In addition, the middle dielectric layer 8 is formed directly on the top surface of the conductive screen 78 on the top surface of the 201011862 and on the top surface of the dielectric substrate cover layer 6G. In other words, the variant of Figure 3 is not a + conductor structure - the middle section process dielectric _ 62 body structure variant is omitted. (4) In the middle section, the dielectric layer 80 is located under the metal line 98 above the dielectric substrate covering layer (10). Conductive light screen 78 includes, which is in close proximity to a semiconductor component. Conductive light = window: the portion is laterally covered by the dielectric substrate cover layer 60. Referring to FIG. 5', it is according to the present invention:: impurity-conducting junction = a semiconductor substrate 2, the first - 丄: upper: The connection structure 9' is the same as the first embodiment. The second example - dielectric frosting ^ &lt; substrate contact interlayer window layer connection structure 4, comprising a + segment process dielectric bottom 62, its composition and junction base = (two) two 2 orders f The dielectric substrate 62 is directly formed on the dielectric layer of the middle-stage dielectric lining process: the AS can be included by the -_ a process dielectric layer 80 Α. The CVD oxide may be an undoped silicate glass (USG), a borosilicate glass (BSG), or a phosphosilicate glass (PSG). , Fluorite glass (FSG), borophosphosilicate glass (BPSG), or a mixture thereof. Alternatively, the lower mid-stage process dielectric layer 80A may comprise an organosilicate glass (OSG) or another low dielectric constant dielectric material having a dielectric constant of 2.8 or less. The mid-process dielectric layer 80A is then planarized by methods such as chemical mechanical polishing (CMP). ❹ In another case, the lower middle process dielectric layer 80A is formed by a self-planarization process, such as spin coating. The lower mid-stage dielectric layer 8A may comprise spin-on-glass (SOG) or a porous or non-porous low-k material having a dielectric constant of less than 2.8. The photolithography process is then used to remove the portion of the lower mid-process dielectric layer 8A above the conductor element, which portion is subsequently covered by a conductive screen. For example, 'coatable-resistive agent in the lower middle stage process (four) such as eight +

製程介電襯底62或介電基板覆蓋層⑼有選擇性。The process dielectric substrate 62 or the dielectric substrate cover layer (9) is selective.

結構上,並經過光 •下之半導體元件的 轉電晶體以及其他 低中段製裎介電層 201011862 80A被移除的區域之内,亦即,孔_形成於後續將 性光屏的區域巾。在光阻财的圖案接著被轉移到巾 電襯底62以及介電基板覆蓋層6〇之中,以形成至少 孔’,其係延伸至半導體基板2之上的-半導體元件的—節^由 在半導體基板2之上的半導體元件的各節點係選擇性地被外 露,換&amp;之,至少一節點係被外露、而至少一節點係不外露 使得只有被電氣連接的節點餅露於至少一介層窗孔的底部i 舉例而s ’可形成-介層窗孔以外露—没極金屬半導體合金區 域49 (其係直接位於浮動汲極之上),並且可形成另一介;I 以外露-’金屬半導體合金區域59 (其係直接位於元影 像感測器像素之一源極隨耦器電晶體之一閘極52之上)。〜 -不透明導體層(未示)係利用該領域中熟知的方法而進 行沈積,這些方法包括化學氣相沈積(CVD)、物理氣相沈積 PVD)、原子層沈積(ALD)等。此不透明導體層可包括一金屬 材料’並可包括鶴、鈦、组、氮化鈦、氮化组、氣化鶴、或上 魯 述的合金。或者,此不透明導體層可包括一經摻雜半導體材料, 例如經摻雜多晶矽、經摻雜矽鍺合金等。此不透明導體層填滿 了在較低中段製程介電;f 80A巾所移除的體積,此體積係位於 較低中段製程介電層80A的剩餘部分的頂面底下。不透明導體 層也填滿了在巾段製程介電襯底62之巾以及介電基板覆蓋層之 中的所有至少一介層窗孔。不透明導體層係藉由如化學機械研 磨(CMP)等方法而平坦化,以形成傳導性光屏78,,其舉例而言 係覆盍了浮動汲極40以及其他電晶體,除了光敏二極體(3〇 32) 的部分以外。被傳導性光屏78,所覆蓋的面積係對應至圖2中的 光屏280的面積。 36 201011862 傳導性光屏78’包括至少一向下突出的介層窗部分,其係緊 鄰於一半導體元件並提供接觸至該半導體元件。此傳導性光屏 78’的整體’包括該至少一向下突出的介層窗部分,可具有相同 的材料成分。此傳導性光屏78,的整體,包括該至少一向下突出 的介層窗部分,可以為一體成型的結構而沒有任何物理形成的 介面於其中。該至少一向下突出的介層窗部分係橫向地被中段 製程介電襯底62以及介電基板覆蓋層60所包圍。 ❹ 傳導性光屏78’的底面係緊鄰於中段製程介電襯底62的頂 面’此頂面係為非平面。傳導性光屏78,的底面的輪廓係與中段 製程介電滅62的輪廓她,並且也是非平面。制地,傳導 ^屏78,可包括至少二底面部分,此二部分係以不同距離而與 +導體基板2分隔。此傳導性光屏78,可具有—頂面其係斑較 二中段=靖80A的一頂面共平面。傳導性光屏;8,的厚 Ϊ至^ 屏π的最薄部分做測量基準,可介於约1〇奈 對浮或感; 結構之各電晶趙中之+的f電極4G以及第-例示半導趙 單元影像感測器像素的電晶】。了生而這些電晶體可能是一 線層,其允許僅在由鱗 V性光屏78,係為-局部佈 傳導性光屏78’係局部,㈣*所覆A的區域上進行佈線。 。艮住,例如侷限於單元影像感測器 201011862 像素的面積中,並且並未允許橫跨此區域的全域内連接,例如 在單元影像感測器像素的面積之外。 一較高中段製程介電層80B係形成於傳導性光屏78,以及 較低中段製程介電層80A的頂面之上。此較高中段製程介電層 80B可包括一 CVD氧化物、一有機矽酸鹽玻璃(〇SG)、一旋塗 玻璃(s〇G)、一多孔性或非多孔性旋塗材料、或另一低介電係 數材料其具有如上所述的低於2 8的介電常數。此較高中段製程 參 介電層80B可以包括任何可以用於較低中段製程介電層8〇A之 中的材料。 此較低中段製程介電層80A以及較高中段製程介電層8〇B 共同構成一中段製程介電層(80A,80B) ^較佳地,此較高中段製 程介電層80A的底面係與傳導性光屏78,的頂面保持一距離,以 防止任何經由較高中段製程介電層80B的介電崩潰。較佳地, 此距離係大於20奈米,且更佳地係大於1〇〇奈米。在較低中段 _ 製程介電層80A以及較高中段製程介電層8〇B之間可有一物理 形成之介面。較低中段製程介電層8〇A直接在傳導性光屏78, 的側壁底端連結到傳導性光屏78,的底面。 在一實施例中,較低中段製程介電層8〇A以及較高中段製 程介電層80B包括了相同的介電材料。在另一實施例中,此較 低中段製程介電層80A以及較高中段製程介電層80B係包括不 同的介電材料。 一光阻劑(未示)係塗佈於較高中段製程介電層8〇B之上, 201011862 並且係經光微影圖案化以形成至少一孔洞。在此光阻劑中的圖 案係轉移到穿過較高中段製程介電層80B、較低中段製程介電 層80A、中段製程介電襯底62、以及介電基板覆蓋層6〇,以外 露在半導體基板2之上之一半導體元件的一節點。此光阻劑係 以針對中段製程介電層80具選擇性地移除。一金屬係沈積於此 較尚中段製程介電層80B的外露表面上、以及在該至少一孔洞 ,所有表面上,包括半導體元件的外露表面。所沈積金屬在較 ❹ 高中段製程介電層_的頂面上的部分,係藉由平面化、回餘 刻、或二種方法的組合而移除。所沈積金屬剩餘在該至少一孔 洞中的部分,則構成了至少一接點介層g 88,錢從較高中段 製程介電層8GB的-頂面延伸穿過中段製程介電層(嫩 _)、中段製程介電襯底62、以及介電基板覆蓋層6〇,而到達 在半導體基板2之上的-半導體元件的—頂面上。舉例而言, 此至-接點介層窗⑽可接觸至源極與汲極金屬半導體合金 域49之一的一頂面。 該至少-接點介層㈣可靖由—單—沈積步驟而形 成’而不暴露至空氣中。因此,每一該至少一接點 為一體成型的結構而沒有任何物理形成的介面料中。每一兮 2-接點介層窗88具有—頂面,其係與該中段製程介電層/ (A,_之-頂面共平面,接電介層窗⑽並具有—底面盆 係與介電基板覆蓋層^ 及氮化鈦。 $ L鈦、献鎮、氮她、以 第-金屬線層内連接結構6、_第—後段製娜e〇l)介 201011862 層窗層内連接結構8、以及一第二金屬線層内連接結構% 用第-實施例的方法而形成。額外的介層窗層内連接結 不)以及額外的金屬線層内連接結構可以視需求而形成。 請參照至圖6,其係為本發明第二例示半導體結構之 -體,其中傳_光屏78,係直接形成在一介電基板覆蓋層6〇之 上。較低中段製程介電層係直接形成於介電基板覆蓋層的 之一頂面上》換言之,圖5所示之第二例示半導體結構中的中 籲段製程介電襯底62 ’在本第二例示半導體結構之變If中係被省 略。較咼中段製程介電層80Β係直接形成於傳導性光屏78,的 面之上。 中段製程介電層(80Α,80Β)係位於介電基板覆蓋層6〇之 上、並位於第一金屬線98底下。傳導性光屏78,包括至少—向 下突出的介層窗部分,其係緊鄰至一半導體元件。傳導性光屏 78’中的至少一向下突出的介層窗部分,係橫向被介電基板覆蓋 鲁 層6〇所包圍。傳導性光屏78’的底面係為非平面,並且緊鄰至 介電基板覆蓋層60的頂面。 請參照至圖7,其係繪示本發明第三實施例之一第三例示半 導體結構’其包括一半導體基板2低第一金屬線層内連接結構 6、一第一後段製程(BEOL)介層窗層内連接結構8、以及一 第二金屬線層内連接結構9’這些係與第一實施例相同。第三例 示半導體結構更包括一基板接點介層窗層内連接結構4,其包括 一介電基板覆蓋層60以及一中段製程介電襯底62其具有與第 一實施例相同之成分與結構。 10 201011862 在形成該介電基板覆蓋層60以及一中段製 =直接她齡62輸墙—^底^ 層。在形成此不透明導體層之前,至少一孔洞 導體 ^於^介電基板覆蓋層60與中段製程介電襯底^構= 。不透明導體層係接著被圖案化以形成-傳導=屏隹 光屏^實施例相同。此第三實施例的傳導性 φ 緊鄰於-半^㈣蝴部分,其係 ㈣於+導體7〇件’並提供對該半導體元件的接觸。 介電的方法而形成-中段製程() ==製程介電層、中段製程介電襯== 上之-半導體元件的 的範圍之外。至少-第二型介層窗孔係從中段製程== ,延=過該中段製程介電層8〇而到達傳=屏電 ^。母°亥第一型介層窗孔係形成於該傳導性光屏78的範圍 少-;===1段:程介電層8〇的外露表面以及該至 製程介電層80的頂面之H二型孔洞的外露表面中。在中段 ㈣方法、化 的剩餘沈積金屬則構成了至少一接點介層窗;= 1 41 201011862 上之-本心 電基板覆盘層6G’而到達在半導體基板2 狀τ拉細導體凡件的—頂面。舉例而言,該至少—接點介層窗 m汲極金屬半導趙合金區域-之-的-頂面: ΐ垃繊A JS 31孔'同中的剩餘沈積金屬則構成了至少—金屬光 89’其係從中段製程介電層8〇的頂面延伸穿S 中从耘介電層80而到達傳導性光屏78的頂面。 ❹ 馨 思該至少一接點介層窗88以及該至少一金屬光屏接觸介 利用單-沈積步驟形成,而不接觸到空氣=: 接fi介層窗88以及該至少—金屬光屏接觸介層窗 ;’、、成賴結構而沒有任何物理軸的介面 :該至少-接點介層窗88以及該至少一金屬光屏接觸介層: 面ϋ 與令段製程介電層(嫩,_)的頂面共平 蓋層二層?88具有—底面其係與介電基板覆 一麻而甘# / ,、千面。母一該金屬光屏接觸介層窗89具有 2 料如鶴、鈦、組、氮化鎮、氮化组、及氮 =導性光屏78 ’由於其鄰近於半導體基板2 _面,因此 極感測電路中的各個電晶體而言,能阻擋大圓 j Ϊ Ρ制在浮動汲極4G以及第—例示半導體 單元影像感㈣像素的電晶丨能是— 九屏78興後續將形成的第一金屬線 42 201011862 所覆蓋的區域上進行佈線。傳導性光屏78係局部性被偈限住, 例如侷限於單元影像感測器像素的面積中,並且並未允許&lt;橫跨 此區域的全域内連接’例如在單元影像感測器像素的面積之外 一第一金屬線層内連接結構6、一第一後段製程(be〇l) • ”層®層内連接結構8、以及一第二金屬線層内連接结構9,可 以利用如第一實施例中所使用的方法而形成。額外的介層窗^ 内連接結構(未示)以及額外的金屬線層内連接結構可 0 而形成。 ^ 請參照圖8 ’其係為第三例示半導體結構之一變體,其中一 傳導性光屏78係直接形成於一介電基板覆蓋層60之上1、該中 f程介電層80係直接形成於介電基板覆蓋層6〇之頂面=及 傳導性光屏78之頂面上。換言之,圖7中的第三例示半導體社 製程介電襯底62 ’在本第三例示半導體結構的變體 ❹ 於第:介電層⑽係位於介電基板覆蓋層6G之上、並位 二ί::底下。傳導性光屏78包括至少-向下突出的 少一向下二二上緊$至一半導體元件。傳導性光屏78中的至 犬的&quot;層窗部分(未示),係橫向被介雷美杯霜筌屉 屏Μ的絲絲料面,姐㈣至介電 示半^二=圖J ’其係根據本發明一第四實施例繪示-第四例 月豆口冓、、包括-半導體基板2、第一金屬線層内連接結 201011862 ^ HI—第二金屬線層内連接結構9,其係與第—實施例相 同在此弟四例不半導體結構中,並未形成傳導性光屏於 接結構4中。替代的是,一傳導性光屏Μ 内連第四實施例的—後段製程(BE0L)介層窗層 罄 用以=成第四例示半導體結構的製程方法,係與用以形成 J例不半導體結構的製程方法相同,除了省略掉用以在 ,介=窗層内連接結構4中形成—傳導性光屏的製程步ς以 :中段製程介電減a並非必要,換言之,其亦可在本 例示半導體結構巾被省略情形巾,此 62係形成於整個介電基板覆蓋層6〇之上。練”電襯底 知金屬線層内連接結構6之後,係利用該領域習 的方法(I括化學氣減積與旋塗沈積)而找第—金 内連接結構6上形成-後段製程介電覆蓋層UG以及一較低 二層介電層12GA。此較低第二層介電層i觀可包括任在 實施例中可用以形成第二層介電層12G的材料…金 = 二層介電層12从之上,並經光微影圖案化而 形成一傳導性光屏124以及一金屬電阻122。 傳導性光屏m覆蓋了在第四半導體結構中 的範圍。此傳導性光屏m可覆蓋如浮動汲極4〇二= 體’除了在-單元影像感測轉素中的—光敏二極體⑼: 外。被傳導性光屏丨24所覆蓋的區域,係對應至圖2中的 280的區域。對泮動沒極4〇及/或感測電路令的各個電晶體而 44 201011862 言,傳導性光屏124能阻擔大圓弧角度的入射光,藉而抑制在 =動汲極40以及第四例示半導體結構之各電晶體中之中的光電 何產生’而這些電晶體可能是—單元影像感測器像素的電晶體。 间性光屏124以及金屬電阻122具有相同的成分以及相 &quot; 又。傳導性光屏124以及金屬電阻122可包括一導體金 值體金屬氮化物’其具有一合適的電阻率。舉例而言, 化Γ ί屏124以及金屬電阻122可包括氮化组、氮化鈦、氮 金屬雷Ρ日、欽、嫣、其合金、或其組合。傳導性光屏124以及 佳地将Τ 122的厚度可介於約1奈米至約100奈米之間,且較 奈米至約30奈米之間,雖然本發明亦考量了較 Ο 頂面以及半奸其杯舉例而e在第一金屬線98的 ⑴,係小於會^麻 之間的距離,在此稱為第一距離 面之門的拓L低第一層介電層120A之頂面與半導體基板2的頂 以及雷在此稱為第二距離d2。傳導性光屏124的底面 面屬電阻122的底面係緊鄰於較低第二層介電層腿的頂 屬電二第 用於較低第二層介電層】遍的^包括声任何可Structurally, and through the sub-transistor of the semiconductor component under the light and other low-medium dielectric dielectric layer 201011862 80A is removed, that is, the hole is formed in the area of the subsequent optical screen. The pattern of photoresist is then transferred to the substrate 62 and the dielectric substrate cover 6 to form at least a hole 'which extends to the semiconductor element above the semiconductor substrate 2. Each node of the semiconductor element above the semiconductor substrate 2 is selectively exposed, and at least one node is exposed, and at least one node is not exposed such that only the electrically connected node is exposed to at least one The bottom of the layer aperture i is exemplified and the s ' can be formed - the via hole is exposed - the fused metal semiconductor alloy region 49 (which is directly above the floating drain) and can form another dielectric; I is exposed - A metal semiconductor alloy region 59 (which is directly above one of the gates 52 of one of the source image sensor pixels). ~ - An opaque conductor layer (not shown) is deposited by methods well known in the art, including chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), and the like. The opaque conductor layer may comprise a metallic material&apos; and may comprise a crane, titanium, group, titanium nitride, nitrided group, gasified crane, or an alloy as described above. Alternatively, the opaque conductor layer may comprise a doped semiconductor material such as a doped polysilicon, a doped bismuth alloy or the like. The opaque conductor layer fills the dielectric removed in the lower mid-stage process; the volume removed by the f 80A towel is below the top surface of the remainder of the lower mid-section dielectric layer 80A. The opaque conductor layer is also filled with all of the at least one via in the towel dielectric substrate 62 and the dielectric substrate overlay. The opaque conductor layer is planarized by methods such as chemical mechanical polishing (CMP) to form a conductive optical screen 78, for example, which is covered with a floating drain 40 and other transistors, except for the photosensitive diode. Outside the part of (3〇32). The area covered by the conductive screen 78 corresponds to the area of the screen 280 in FIG. 36 201011862 Conductive light screen 78' includes at least one downwardly projecting via portion that is in close proximity to a semiconductor component and provides contact to the semiconductor component. The entirety of the conductive screen 78' includes the at least one downwardly projecting via portion, which may have the same material composition. The entirety of the conductive screen 78, including the at least one downwardly projecting via portion, may be an integrally formed structure without any physically formed interface therein. The at least one downwardly projecting via portion is laterally surrounded by the mid-process dielectric substrate 62 and the dielectric substrate cap layer 60. The bottom surface of the conductive screen 78' is in close proximity to the top surface of the intermediate process dielectric substrate 62. This top surface is non-planar. The contour of the bottom surface of the conductive screen 78 is contoured to the middle of the dielectric process 62 and is also non-planar. The ground screen, conductive screen 78, can include at least two bottom surface portions that are separated from the + conductor substrate 2 by different distances. The conductive screen 78 may have a top surface which is coplanar with a top surface of the second middle section = Jing 80A. Conductive light screen; 8, thick Ϊ to the thinnest part of the screen π to make the measurement reference, can be about 1 〇 对 对 对 对; 之 各 ; 结构 ; ; ; ; ; 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构 结构The electro-crystal of the pixel sensor image sensor. These transistors may be a line layer, which allows wiring to be performed only on the area of the splayed V-screen 78, which is a portion of the local conductive screen 78', and (4)*. . For example, it is limited to the area of the unit image sensor 201011862 pixels and does not allow for global connections across this area, such as outside the area of the unit image sensor pixels. A higher mid-stage process dielectric layer 80B is formed over the conductive optical screen 78 and over the top surface of the lower mid-process dielectric layer 80A. The higher mid-stage process dielectric layer 80B may comprise a CVD oxide, an organic tellurite glass (〇SG), a spin-on glass (s〇G), a porous or non-porous spin-on material, or Another low dielectric constant material has a dielectric constant of less than 28 as described above. This higher mid-stage process dielectric layer 80B can include any material that can be used in the lower mid-stage process dielectric layer 8A. The lower middle process dielectric layer 80A and the upper middle process dielectric layer 8B together form a middle process dielectric layer (80A, 80B). Preferably, the upper middle layer dielectric layer 80A has a bottom surface. A distance is maintained from the top surface of the conductive screen 78 to prevent any dielectric breakdown through the higher mid-section process dielectric layer 80B. Preferably, the distance is greater than 20 nanometers, and more preferably greater than 1 nanometer. There may be a physically formed interface between the lower mid-process dielectric layer 80A and the upper mid-process dielectric layer 8B. The lower middle process dielectric layer 8A is directly connected to the bottom surface of the conductive optical screen 78 at the bottom end of the conductive screen 78. In one embodiment, the lower mid-section process dielectric layer 8A and the upper mid-stage process dielectric layer 80B comprise the same dielectric material. In another embodiment, the lower mid-stage process dielectric layer 80A and the higher mid-stage process dielectric layer 80B comprise different dielectric materials. A photoresist (not shown) is applied over the upper mid-stage dielectric layer 8B, 201011862 and patterned by photolithography to form at least one hole. The pattern in the photoresist is transferred to the higher middle-stage process dielectric layer 80B, the lower-stage process dielectric layer 80A, the middle-stage process dielectric substrate 62, and the dielectric substrate cover layer 6〇, and exposed. A node of one of the semiconductor elements above the semiconductor substrate 2. This photoresist is selectively removed for the mid-process dielectric layer 80. A metal layer is deposited on the exposed surface of the intermediate portion process dielectric layer 80B, and on at least one of the holes, all surfaces including the exposed surface of the semiconductor component. The portion of the deposited metal on the top surface of the dielectric layer _ of the higher middle section is removed by planarization, reverberation, or a combination of the two methods. The portion of the deposited metal remaining in the at least one hole constitutes at least one contact layer g 88, and the money extends from the top surface of the upper middle layer dielectric layer 8GB through the middle portion process dielectric layer (nen_ The middle-process dielectric substrate 62 and the dielectric substrate cover layer 6〇 reach the top surface of the semiconductor element above the semiconductor substrate 2. For example, the to-contact via (10) can contact a top surface of one of the source and the gate metal semiconductor alloy domains 49. The at least-contact layer (4) can be formed by a deposition process without exposure to the air. Thus, each of the at least one joint is an integrally formed structure without any physically formed dielectric material. Each of the 2-contact vias 88 has a top surface that is coplanar with the mid-process dielectric layer / (A, _ - top surface, connected to the dielectric window (10) and has a bottom basin Dielectric substrate covering layer ^ and titanium nitride. $ L Titanium, Xianzhen, Ni Ni, with the first-metal layer internal connection structure 6, _ first-back stage system Na e〇l) 201011862 layer window layer connection structure 8. The connection structure % in a second metal wire layer is formed by the method of the first embodiment. Additional via layer connections are not included) and additional metal line layer connections can be formed as needed. Referring to FIG. 6, which is a second embodiment of the semiconductor structure of the present invention, the optical screen 78 is directly formed on a dielectric substrate cover layer 6〇. The lower middle-stage dielectric layer is formed directly on one of the top surfaces of the dielectric substrate overlay. In other words, the second-stage semiconductor dielectric substrate 62' in the second exemplary semiconductor structure shown in FIG. The two examples of the semiconductor structure change If are omitted. The dielectric layer 80 is formed directly on the surface of the conductive screen 78. The middle layer dielectric layer (80 Å, 80 Å) is located on the dielectric substrate cover layer 6 位于 and under the first metal line 98. Conductive screen 78 includes at least a downwardly projecting via portion that is in close proximity to a semiconductor component. At least one of the downwardly projecting via portions of the conductive screen 78' is laterally surrounded by the dielectric substrate covering the layer 6. The bottom surface of the conductive light screen 78' is non-planar and is in close proximity to the top surface of the dielectric substrate cover layer 60. Referring to FIG. 7 , a third exemplary semiconductor structure of a third embodiment of the present invention includes a semiconductor substrate 2 low first metal line layer connection structure 6 and a first back end process (BEOL). The layered inner layer connection structure 8 and the second metal line layer inner connecting structure 9' are the same as the first embodiment. The third exemplary semiconductor structure further includes a substrate contact via inner connection structure 4 including a dielectric substrate cover layer 60 and a middle process dielectric substrate 62 having the same composition and structure as the first embodiment. . 10 201011862 In the formation of the dielectric substrate cover layer 60 and a middle section = direct her age 62 transmission wall - ^ bottom layer. Before forming the opaque conductor layer, at least one of the hole conductors is formed on the dielectric substrate cover layer 60 and the middle layer process dielectric substrate. The opaque conductor layer is then patterned to form a -conducting = screen ^ screen identical to the embodiment. The conductivity φ of this third embodiment is in close proximity to the -half (four) butterfly portion, which is (d) to the +conductor 7 member and provides contact to the semiconductor element. The dielectric method is formed by the middle-stage process () == process dielectric layer, the middle-stage process dielectric lining == above - the range of the semiconductor component. At least the second type of via hole system is from the middle stage process ==, and the delay is over the middle layer process dielectric layer 8〇 to reach the transmission screen. The first type of via hole is formed in the conductive screen 78 to have a small range of -====1: the exposed surface of the dielectric layer 8〇 and the top surface of the process dielectric layer 80 The exposed surface of the H-type hole. In the middle section (4), the remaining deposited metal forms at least one contact via; = 1 41 201011862 - the present electrocardiographic substrate is covered by the disk layer 6G' and reaches the semiconductor substrate 2 shaped τ thin conductor - the top. For example, the at least—contact meso-m 汲 汲 金属 合金 合金 合金 合金 合金 合金 J J J J J J J J J J J J J J J J J J J J J J J J J J J J J J J J 89' extends from the top surface of the middle layer dielectric layer 8A through the top surface of the conductive light shield 78 from the tantalum dielectric layer 80. The at least one contact via 88 and the at least one metal screen contact are formed using a single-deposition step without contact with the air =: the fi via 88 and the at least - the metal screen contact Layered window; ', the interface of the structure without any physical axis: the at least-contact via window 88 and the at least one metal light screen contact via: the surface layer and the segment process dielectric layer (nen, _ The top surface of the common flat cover layer is 88. The bottom surface has a bottom surface and the dielectric substrate is covered with a hemp and a white surface. The mother-metal optical screen contact via 89 has two materials such as crane, titanium, group, nitrided, nitrided, and nitrogen=conductive light screen 78' due to its proximity to the semiconductor substrate 2 For each transistor in the sensing circuit, it can block the large circle j Ρ 在 在 在 在 在 在 在 在 以及 以及 以及 以及 以及 以及 以及 以及 以及 以及 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 九 九 九 九 九 九 九 九 九Wiring is performed on the area covered by the metal wire 42 201011862. The conductive light screen 78 is locally limited, for example, limited to the area of the pixel of the unit image sensor, and does not allow &lt;cross-domain connection across the area', such as in a pixel of a unit image sensor. Outside the area, a first metal wire layer inner connecting structure 6, a first back end process (be〇l), a "layer® layer inner connecting structure 8, and a second metal wire layer inner connecting structure 9, can be utilized as It is formed by the method used in an embodiment. An additional via structure (not shown) and an additional metal line interconnect structure can be formed by 0. ^ Please refer to FIG. 8 'which is a third example A variation of the semiconductor structure, wherein a conductive optical screen 78 is directly formed on a dielectric substrate cover layer 60. The intermediate f-process dielectric layer 80 is directly formed on the top of the dielectric substrate cover layer 6 The surface = and the top surface of the conductive screen 78. In other words, the third example of the semiconductor process dielectric substrate 62' in Fig. 7 is a variation of the semiconductor structure in the third example: the dielectric layer (10) Located on the dielectric substrate cover layer 6G, and placed under the ground 2:: The conductive screen 78 includes at least one downwardly projecting downwardly from two to two semiconductor elements. The layered window portion (not shown) of the dog in the conductive screen 78 is laterally mediated. The surface of the silk cup of the beauty cup 筌 Μ , , , 姐 四 四 四 四 四 四 四 四 = = = = = = = = = ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' The semiconductor substrate 2 and the first metal wire layer are connected to the junction 201011862 ^ HI - the second metal wire layer inner connecting structure 9 , which is the same as the first embodiment. In the four semiconductor structures, the conductive light is not formed. The screen is connected to the structure 4. Instead, a conductive optical screen Μ is connected to the fourth-stage (BE0L) via layer of the fourth embodiment to be used as a fourth exemplary semiconductor structure processing method. The manufacturing method for forming the J-type non-semiconductor structure is the same, except that the process steps for forming the conductive screen in the connection structure 4 in the dielectric layer are omitted: it is not necessary to reduce the dielectric in the middle-stage process. In other words, it can also be formed in the case where the semiconductor structure towel is omitted. On the entire dielectric substrate cover layer 6〇. After the “electric substrate” is known as the metal wire layer internal connection structure 6, the method is used in the field (I include chemical gas debulking and spin coating deposition) to find the first The gold inner connecting structure 6 forms a late-stage process dielectric cap layer UG and a lower two-layer dielectric layer 12GA. The lower second dielectric layer i can comprise any material that can be used in embodiments to form the second dielectric layer 12G... gold = two dielectric layers 12 from above and patterned by photolithography A conductive optical screen 124 and a metal resistor 122 are formed. The conductive screen m covers the extent in the fourth semiconductor structure. The conductive screen m can cover, for example, a floating drain 4 〇 2 = body ' except for the photosensitive diode (9) in the - unit image sensing transducer. The area covered by the conductive screen 24 corresponds to the area of 280 in Fig. 2. For each transistor that slams the poles and/or senses the circuit, 44 201011862, the conductive screen 124 can block the incident light at a large arc angle, thereby suppressing the movement of the poles 40 and Four examples show that the optoelectronics in each of the transistors of the semiconductor structure are generated and these transistors may be the transistors of the pixel of the unit image sensor. The inter-optical screen 124 and the metal resistor 122 have the same composition and phase &quot; Conductive screen 124 and metal resistor 122 may comprise a conductor of gold metal nitride &apos; which has a suitable electrical resistivity. For example, the phosphor screen 124 and the metal resistor 122 may comprise a nitride group, a titanium nitride, a nitrogen metal Thunder day, a bismuth, a tantalum, an alloy thereof, or a combination thereof. The conductive screen 124 and preferably the thickness of the crucible 122 may be between about 1 nm and about 100 nm, and between about nanometer and about 30 nm, although the present invention also considers the top surface. And the example of the half of the cup and the (1) of the first metal wire 98 is less than the distance between the wires, which is referred to herein as the top of the first distance surface, and the top of the lower first dielectric layer 120A. The top and the top of the semiconductor substrate 2 and the ray are referred to herein as the second distance d2. The bottom surface of the conductive screen 124 is the bottom surface of the resistor 122, which is adjacent to the lower second dielectric layer leg. The second layer is used for the lower second dielectric layer.

以及較南第二層介電層 -20A 種第-構成一乐一層介電層120。二 弟—層&quot;層射L係形成於第二層介電層|2〇之令。至少—第 201011862 -型第二層介層窗孔_ 第二層介電層12GA 、. 紅層介電層120B、較低 金屬㈣的-頂面。每製程介電覆蓋層⑽而到達第-成於傳導性光屏124的範一第一型第二層介層窗孔係形 孔延伸至該金屬電阻122。备°至少一第二型第二層介層窗 係形成於金屬電阻122的範圍:#。少m層介層窗孔 線槽(line trough)係利用該領 高第二層介電層120B的較&amp;八― 的方法’而形成於較 氣相沈積與賊之組合方法%’㈣物理 二層介層窗孔以及第-層绩;型與第一型第 械研磨_上層或=合所:方==: 型第一層介層窗孔中形成第一型第一 第 型第二層介層㈣稍在第二 中形成第-冬麗始够 由〇9以及在線槽 屬 第—型第二層介層窗卿、第二型第- ❸ 層介層窗1G9、以及第二金屬線U8可包括如銅或料材料第— 丨電層係包覆了第四實施例的傳導性光屏124。特別地, ^導性光屏124的整個表面係緊鄰於較低第二層介電層職以 及較高第二層介電層膽’並且此傳導性光屏m係被第 介電層(120A,120B)所包覆。 層 後段製程介電覆蓋層110、較低第二層介電層A、較高第二 層介電層120B的較低部分、傳導性光屏】24、金屬電阻°】22一 第-型第二層介層窗⑽,'以及第二型第二層介層窗h)9 a 同構成第一後段製程(BE0L)介層窗層内連接結構8。較高第 201011862 二層介電層ugb的較高部分以及第二金騎118共同構成了第 二金屬線層内連接結構9。額外的介層窗層内連接結構(未示) 以及額外的金屬線層内連減構,可視需求而形成。 雖然本發明係描述—傳導性光屏124形成於多條第一金屬 線之間而形成於第—金屬線層内連接結構6之中、以及第二金 f 二金屬線㈣連接結構9之中,熟悉該項技 ❹ « ,、導體基板2之一頂面分離的任何第一層金屬線、 以及位於第-層金屬線的上-層的任一第二層:屬=線形 ^-具有相同的結構傳導性光屏。本發明明確=二 月參…、圖10,其係根據本發明一第五音#点丨二从 例示半導親構實蝴轉示一第五 内連接結構4、n屬 〖板、—基板接點介層窗層 線層内連接結構9 4:Γ:構6、以及—第二金屬 兹\入,、係與第四實施例中相同。在一第一徭ja制 =OL)介層窗層内連接結構8之中的結構,係:第= 例一般 W蓋層11〇以及一較 接、、Ή構之上形成一後段製程介 示)與-節點4層層介電層麗。由:金屬層(未 第二層介電層]20Α之上,所構成的堆皆’係形成於較低 光屏丨24與—介電部之光微影圖案化,形成由—傳導性 Ί* 55: ^ n 、所構成的第一堆®、以及由, “毛極咖與—節點介電質132所構成的第二堆^由―車父低 47 201011862 由傳生光屏124以及介電部分134所構成的第 係覆蓋了第五半導體結構中欲摒除入射光的部分。由 屏124以及介電部分134所構成的第一堆疊可覆蓋如浮動汲= 4〇以及其他電晶體,除了在一單元影像感測器像素令的 二極體(3〇,32)的範圍以外。被由傳導性光屏124以及 134所構成的第—堆疊所覆蓋的範圍,係對應至圖2中的^ 280的範圍。由傳導性光屏124以及介電部分134所構成= 堆疊’對#紐極4G及/或感測電財的各 % 擋大圓弧㈣的讀光,脑抑财縣雜4Gjf = Z 半導體結構之各電晶體中之中的光電荷產生,而這ί二= 能是一單元影像感測器像素的電晶體。 0體了 傳導性光屏124以及較低電容電極122, 及相同的厚度。傳導性光I,、有细的成分以 -導體金::較低電料極122,可包括 _ =二=光屏广及較低電容電極可包二 二24以及較低電容電極122,的厚度可 奈未之間’且典型地係介於約5奈米至 :=:〇 大或較小的厚度亦在本發_考量之巾。U之間雖然較 傳導性光屏124以及較低電容電極】 的頂面更遠離半導體其板2 7、 金屬線98 OQ _ —體基板2的頂面。舉例而言,在第一今属蠄 =面以及半導體基板2的項面之間懈^ 距㈣,係蝴⑽增如體基弟板一2 48 201011862 的頂面之間的距離,在此稱為第二距 £離d2。傳導性光屏124的 底面以及較低電容電極122,的底面係腎澈 12〇A的·。 彳錄鄰概低紅層介電層 i Μ 132係具有相_成分與相 H/^部分123 —點介電質132包括一介電材料, 例如^化⑦。介電齡123以及節點介電f 132的厚度可介於 約3〇奈米之間,雖然較大或較小的厚度亦在本發明 光微層(未示)係形成於節點介電質132之上,並經 成一較高電容電極142。較高電容電⑽ c虱·曰、氮化欽、氮化鱗、纽、欽、鶴、其合金、戍盆 容電極的厚度可介於約1奈求至約娜奈米之間、’ 戶奈米至約3G奈米之間,軸較大或較小的 厚度亦在本發明的考量之中。 雷暂第—層介電層12GB係形成於介電部分134、節點介 丄二一 以及較高電容電極142之上。較高第二層 β匕括任何可用於較低第二層介電層120A的材 Si、層介電層12〇Α以及較高第二層介電層120Β共同 伽笛-電層m。在第二層介電層12G中形成了三類 ar古ΐ曰二人固孔。至少—第一型第二層介層窗孔係延伸穿And a second dielectric layer -20A of the south is formed to form a dielectric layer 120. The second brother-layer&quot; layered L system is formed in the second dielectric layer|2〇. At least - the 201011862 - type second via hole _ the second dielectric layer 12GA, the red dielectric layer 120B, the lower metal (four) - top surface. Each of the process dielectric cap layers (10) reaches a first-type second-layer via hole of the conductive-type optical film 124 extending to the metal resistor 122. At least one second type of second interlayer window is formed in the range of the metal resistor 122: #. The less-m-layer mesoporous line trough is formed by the combination of the vapor deposition and the thief by using the method of the second-layer dielectric layer 120B. Two-layer mesopores and first-layer performance; type and first type of mechanical grinding _ upper layer or = combination: square ==: type first layer of mesopores form first type first type second The layer (4) is formed in the second portion by the second layer, and the second layer is formed by the second layer, the second layer of the interlayer layer 1G9, and the second metal. The wire U8 may comprise a conductive optical screen 124 of the fourth embodiment, such as a copper or material material. In particular, the entire surface of the conductive screen 124 is in close proximity to the lower second dielectric layer and the higher second dielectric layer 'and the conductive screen m is the first dielectric layer (120A) , 120B) coated. The back-end process dielectric cap layer 110, the lower second dielectric layer A, the lower portion of the higher second dielectric layer 120B, the conductive optical screen] 24, the metal resistance ° 22 - the first type The two-layer vias (10), 'and the second-type second-layer vias h) 9 a form the first back-end process (BE0L) via-layer interconnect structure 8. The upper portion of the higher layer 201011862 dielectric layer ugb and the second gold pad 118 together form a second metal line layer connection structure 9. Additional interconnect layer interconnect structures (not shown) and additional metal line interconnect reductions can be formed as needed. Although the present invention describes that the conductive optical screen 124 is formed between the plurality of first metal lines and formed in the first metal line layer connection structure 6, and the second gold f two metal line (four) connection structure 9 , familiar with the technology « , any first metal wire separated from the top surface of one of the conductor substrates 2, and any second layer located on the upper layer of the first metal wire: genus = linear ^ - have the same The structure of the conductive screen. The present invention is clarified as follows: Fig. 10 is a fifth internal connection structure 4, n is a board, a substrate, according to the fifth sound of the present invention. The contact layer layer layer inner layer connection structure 9 4: Γ: structure 6, and - the second metal sheet, is the same as in the fourth embodiment. The structure in the connection structure 8 in a first 徭 = = OL) interlayer window layer is: the first example of the general W cover layer 11 〇 and a connection, the formation of a back-end process on the structure ) and - node 4 layer dielectric layer. From: the metal layer (not the second dielectric layer) 20 Α above, the formed stack is formed in the lower light screen 丨 24 and the dielectric portion of the light lithography patterning, forming a conductive Ί * 55: ^ n , the first stack of ®, and the second stack of "Maoji and - node dielectric 132" - "Chef low 47 201011862 by the transmission of light screen 124 and The first portion of the fifth semiconductor structure covers the portion of the fifth semiconductor structure that is to be removed from the incident light. The first stack of the screen 124 and the dielectric portion 134 can cover, for example, floating 汲 = 4 〇 and other transistors, except Outside the range of the diodes (3〇, 32) of a unit image sensor pixel, the range covered by the first stack consisting of the conductive screens 124 and 134 corresponds to the one in FIG. ^ 280 range. Consisting of conductive light screen 124 and dielectric part 134 = stacking 'pair #纽极4G and / or sensing electricity%% block large arc (four) reading light, brain suppression county 4Gjf = Z The photo-charge generated in each transistor of the semiconductor structure, and this can be the power of a unit image sensor pixel The crystal has a conductive screen 124 and a lower capacitance electrode 122, and the same thickness. Conductive light I, with a fine composition to - conductor gold:: lower electrode 122, may include _ = two = wide screen and lower capacitance electrodes can be used to package 22 and 24 and lower capacitance electrodes 122, the thickness of which can be between 'and typically between about 5 nm to: =: 〇 large or small thickness In the present invention, although the top surface of the conductive screen 124 and the lower capacitance electrode is farther away from the top surface of the semiconductor board 2, the metal line 98 OQ_body substrate 2, for example. In the first and second 蠄=face and the surface of the semiconductor substrate 2, the distance between the top surface of the semiconductor substrate 2 is increased. The distance from the bottom surface of the conductive optical screen 124 and the lower surface of the lower capacitive electrode 122 is the thickness of the kidney layer 12 〇 A. The recording of the adjacent low-red dielectric layer i Μ 132 series has phase _ composition and The phase H/^ portion 123 - the dot dielectric 132 comprises a dielectric material, such as hydride 7. The dielectric age 123 and the thickness of the node dielectric f 132 may be between about 3 〇. Between the meters, although a larger or smaller thickness is also formed on the node dielectric 132 in the photomicrolayer (not shown) of the present invention, and is formed into a higher capacitance electrode 142. Higher capacitance (10) c虱·曰, nitrite, nitriding scale, New Zealand, Chin, crane, its alloy, the thickness of the potentiometer electrode can be between about 1 Nai to between about 1 nanometer, 'Hami to about 3G nanometer Between the larger or smaller thickness of the axis is also considered in the present invention. The Ray dielectric layer 12GB is formed on the dielectric portion 134, the node dielectric layer, and the higher capacitance electrode 142. . The higher second layer β includes any material Si, a dielectric layer 12A, and a higher second dielectric layer 120, which are available for the lower second dielectric layer 120A, and a common gamma-electric layer m. Three types of ar ancient two-hole solid holes are formed in the second dielectric layer 12G. At least - the first type of second interlayer window is extended

Sri電層聰、較低第二層介電層舰、以及後 =程介電覆蓋層1U),而到達第—金屬線98之 该至少一第一刑筮一足 _ &quot;弟—稽,丨層窗孔係形成於傳導性光屏丨24以外 201011862 。至少&quot;&quot;第二型第二層介層窗孔係延伸穿過較高第二層 介,層臟的-部份’而到達較低電容電極122,。每_該至少 第-里第-n窗孔係形成於較低電容電極122,的範圍之 内、且在較高電容電極142的範圍之外。至少—第三型第 介層窗孔係延伸至馳高電容電極142。每—該至少—第三型第 二層介層窗孔侧成於該較高餘電極142的範圍之内。 線槽係以該領域習知的方法,而形成於較高第二層介電層 β 12GB的較高部分中。_如物理氣相沈積與電組合方法等 沈積步驟,將金屬填充於第-型、第二型、以及第三型第二層 介層窗孔以及第二層線槽中。所沈積的金屬係利用化學機械研 磨(CMP)、回蝕刻、或二者的組合方法進行平面化,以在第一型 第二層介層窗孔中形成第一型第二層介層窗1〇8,、在第二型第 二層介層窗孔之間形成第二型第二層介層窗1〇9、在第三型第二 層介層窗孔之中形成第三型第二層介層窗1〇9,,並在線槽中形 成第一金屬線Π8。第一型第二層介層窗1〇8、第二型第二層介 參 層窗109、第三型第二層介層窗109,、以及第二金屬線118可包 括如銅或鋁等材料。 二介電層係包覆了第五實施例的傳導性光屏124。尤其,由 傳導性光屏124以及介電部分134所構成的堆疊整體,係緊鄰 於較低第二層介電層120Α以及較高第二層介電層120Β,且由 傳導性光屏124以及介電部分134所構成的堆疊係被第二層介 電層(Ι20Α, 】2〇Β)所包覆。因此,傳導性光屏124係被第二層介 電層(丨20Α,120Β)所包覆。 201011862 較低電容電極122’、節點介電質132、以及較高電容電極 142共同構成了一金屬-絕緣體-金屬電容器(MIMCAp^後段製 程介電覆蓋層110、較低第二層介電層120A、較高第二層介電 層120B的較低部分、傳導性光屏124、介電部分134、 MIMCAP(122’,132,142)、第一型第二層介層窗1〇8,、第二型第 -層介層窗1G9、以及第三型第二層介層窗1()9,共同構成了第 • -後段製程(BEOL)介層窗層内連接結構8。較高第二層介電 層12〇B的較南部分以及第二金屬線118共同構成了第二金屬線 β層内連接結構9。額外的介層窗層内連接結構(未示)以及額外 的金屬線層内連接結構可視需求而形成。 參照圖1卜其係根據本發明一第六實施例而繪示一第六 結構’其包括一半導體基板2、-基板接點介層窗層 及第:以及一第二金屬線層内連接結構9,其係與第四 择r 5丨目同。—第&quot;&quot;金屬線層内連接結構6係經更改而 ❹ 金屬線%係覆蓋了欲阻隔人射光區域的實質部 係與介層窗層内連接結構$珠的結構, 覆蓋屬線層内連接結構6之後,-後段製程介電 四與第五實施4。層巧接結構6之上,如同第 電層110之卜、,金屬層(未不)係直接形成於後段製程介 係覆蓋第光微影酸化而形成—傳導性光屏154,其 以及第-金構中欲排除入射光的區域。傳導性光屏154 晶體,除JitT合^覆蓋如浮驗極4G以及其他電 凡〜像感測為相素中的一光敏二極體d Μ) 201011862 的,以外。被傳導性光屏】54以及第一金屬線氣組 區域’係對應至圖2中光屏鹰的區域u 個電曰體而▲ Δι/ 及/或在感測電路中的各 =Γπ^ 擋卜圓弧角的人射光,界而抑制在浮動 荷產生,例7^半導體結射的各個電晶财的光電 。產生I電曰曰體可以是-單元影像感測器像素的電晶體。 鲁 鎮、154可包括氮化妨、氮化欽、氮化鎢、組、鈦、 至約…组合。傳導性光屏154的厚度可介於約1奈米 士 =;;之間’且典型地係介於約5奈米至約3G奈米之間, 隹…、較大或較小的厚度亦在本發明的考量之中。 板2 2 =光^ 154係比第&quot;&quot;金屬線98的頂面更遠離半導體基 =^面。舉例而言’在第一金屬線98的頂面以及半導體基 間的距離’在此稱為第一距離dl,係小於後段製 在ΐΖΐ之頂面與半導體基板2的頂面之間的距離, 程八雷距離犯。傳導性光屏154的底面係緊鄰於後段製 程介電覆盍層110的頂面。 程介;係形成於傳導性光屏154以及後段製 — 的底面之上。第二層介電層120可包括與第 層介層相同的材料,並具有相同的厚度。二種第二 1入_、形成於第二層介電層12G之中。至少—第一变第 染·^層画孔係延伸經過第二層介電層〗2G、以及後段製程介電 層U0而,一金屬線%的—頂面。每一該至少一第一 土一層’I層窗孔係形成於傳導性光屏】%的範圍之外。至少 ,201011862 線槽(line trough)係利用該領域中 二層介電層120的較高部分中。利法而:成於第 ,與電鍵之組合方法,將金屬填充於第-型;m目 ο =)L、:rr中。所沈積的金屬係利用二: 厲(CMP)回侧、或二者的組合方法 第:層介層窗孔中形成第—型第二層介層窗:二 ::介=中形成第二型第二層介層窗1〇9、以及在線槽中形 成第-金屬線118。第-型第二層介層窗⑽,、第二型第 層窗109、以及第二金屬線118可包括如銅或銘等材料。 ▲第-金屬線9S之-後段製程介電覆蓋層11〇、以及以及較 南電容電極142共同構成了一金屬·絕緣體·金属電容器 • (MIMCAP)。後段製程介電覆蓋層110、第二層介電層120的較 低部分、料性光屏154、第-型第二層介層窗1〇8,、以及第 一型第二層介層窗109,共同構成了第—後段製程(BE〇L)介 層窗層内連接結構8。第二層介電層12〇的較高部分以及第二金 屬線118共同構成了第二金屬線層内連接結構9c&gt;額外的介層窗 層内連接結構(未示)以及額外的金屬線層内連接結構可視需 求而形成。 圖丨2係繪示一例示設計流程9〇〇的方塊圖,其係用於如本 發明的半導體設計以及半導體電路的製造。設計流程9〇0町隨 201011862 著所設計的積體電路(ic)的類型而改變。舉例而言,用以建造一 特定應用積體電路(ASIC,application specific 1C )的設計流程, 可能會與用以設計一標準積體電路元件的設計流裎不同。設計 結構920較佳地係為一輸入值輸入到一設計步驟91〇中,並可 來自於一智慧財產權(IP)提供者、一核心提供者、或一設計 公司、或可以由一設計流程的操作元所產生、或可以來自其他 來源。 、 ❹ 没计結構92〇包括本發明於圖2-11之中任一圖所示的一實 施例’其形式為圖式或硬體描述語言(111^,11^(^31^如3(^_()11 language ’例如Verilog,VHDL, c等)^此設計結構92〇可被包 s於個以上的機器可讀媒介中。舉例而言,設計結構920可 為代表本發明圖2-11之一實施例的一文字檔或一圖式。 設計步驟910較佳地係將圖2_u中所示的本發明一實施 例,合成(或翻譯)為一排線列表(netlist)98〇,其中排線列表 參 980係可舉例如一列表包括料性光屏、佈線、電晶體、邏輯閘、 匕制電路、I/O、模組等,其描述與一積體電路設計中之其他元 件及電路的連結方式’並記錄於至少一機器可讀的媒介中。舉 例而言’此媒介可為—CD、一 CF卡(嶋_ _)、其他快 =憶,、經由網際網路傳送之—數據封包、或其他適用於網路 =工具。合成步驟可為-重複步驟,其中排線列表簡係再次 口成_人以上,端視設計說明書以及電路的參數而定。 ,設計步,驟910可包括使用多種輪入值,舉例而言,從—必 疋心十科技(例如不同科技世代如32奈米、45奈米、以及^ 201011862 奈米等)的資料庫元件930 ( library element)其可收藏一組常 用的元件、電路、及裝置(包括模組、佈局、以及符號代表), 設計說明書940,特徵數據950,驗證數據960,設計規則970, 以及測試數據檔985 (其可包括如標轉電路設計程序,例如時 間點分析、驗證、設計規則確認、位置與路線操作等)。熟此 . 積體電路設計技藝者,可以瞭解在設計步驟910中所使用的 • 可1電子設計自動化工具以及應用的範圍,而不偏離本發明 的範可與精神。本發明的設計結構並不限於任何特定的設計 ❿ 流程。 又《十步驟910較佳地係將圖2-11所示的本發明一實施 例、會同任何額外的積體電路設計或數據(若符合),翻譯為 一第二設計結構990。設計結構990係以一用於交換積體電路 佈局數據的格式及/或符號數據格式(例如儲存在GDSII、 OASIS、圖檔、或任何其他可儲存此設計結構的合適格 式中),而存在一可儲存媒介。設計結構99〇可包括如符號數 瘳 據、圊檔、測試數據檔、設計内容檔、製造數據、佈局參數、 佈線、金屬層數、介層窗、外型、通過生產線的路徑數據、 以及任何其他半導體製造者所需要用以生產如圖 2-11所示之 本發明實施彳狀—的數據。設計結構990可接著進行至-步 ^95 ’舉例而言’設計結構990進行至試產(tape-0Ut)、進行 &amp; 進入—封襄測試薇(mask house)、送到另一設計公司、 送回至一客戶等。 义雖然本發明已經特定實施例詳細說明,但從前述描述中可 以發現’許多替代方案、修正、以及變體對熟此技藝者而言 201011862 是顯而易見的。因此,本發明亦包括了這些替代方案、修正 及變體,使其落入本發明的範疇及精神中,並被包含於本發 明的申請專利範圍中。 x 【圖式簡單說明】 為了立即瞭解本發明的優點,請參考如關所示的 具體實施例’詳細說明上文簡短敘述的本發^在瞭解這些 ❹ ❹ 圖式僅描繪本發明的典型具體實施例並因此不將其視為限^ 本發明範《的情況下,參考附圖以額外的明確性及 ^ 明本發明,圖式中: s 圖〗為一例示先前技術半導體電路,用於一互 導體(CMOS)影像感測器像素中。 圖2為一例示佈局,用於一半導體電路中,其包括一 =明之傳導性光屏’其巾光屏,的區域係由虛線方形所表 圖3為根據本發明第一實施例之第一例示半導體結構之 垂直剖面圖。 圖4為根據本發明第一實施例之第一例示半導體社 變體之垂直剖面圖。 、、°稱之 圖5為根據本發明第二實施例之第二例示半導體杜 垂直剖面圖。 、、’°稱之 圖6為根據本發明第二實施例之第二例示 變體之垂直剖關。 構之 圖7為根據本發明第三實施例之第三例示半導體鈐 垂直剖面圖。 圖8為根據本發明第三實施例之第三例示半導體結構之 201011862 變體之垂直剖面圖。 圖9為根據本發明第四實施例之第四例示半導體結構之 垂直剖面圖。 圖10為根據本發明第五實施例之第五例示半導體結構之 垂直剖面圖。 - 圖11為根據本發明第六實施例之第六例示半導體結構之 垂直剖面。 圖12為用以設計與製造本發明之半導體電路之一設計流 ❹ 程之流程圖。 【主要元件符號說明】 2 半導體基板 4 基板接點介層窗層内連接結構 6 第一金屬線層内連接結構 8 第一後段製程介層窗層内連接結構 9 第二金屬線層内連接結構 φ 12 半導體層 20 淺溝槽隔離結構 30 第二傳導型電荷收集井 32 第一傳導型半導體區域 40 浮動汲極 42 源極與汲極區域 49 源極與汲極金屬半導體合金區域 50 閘極介電層 52 閘極 介電閘極間隔子 58Sri electric layer Cong, lower second layer dielectric layer ship, and post-process dielectric cover layer 1U), and reaching the first metal line 98 of the at least one first penalty _ &quot;di-ji, 丨The layer window is formed outside the conductive screen 丨24 201011862. At least &quot;&quot; the second type of second interlayer via extends through the upper second layer, the dirty portion - to the lower capacitive electrode 122. Each of the at least first-eri-th window apertures is formed within the range of the lower capacitance electrode 122 and outside the range of the higher capacitance electrode 142. At least - the third type of via hole extends to the high capacitance electrode 142. Each of the at least - third type second layer via holes is formed within the range of the higher residual electrode 142. The wire channel is formed in a higher portion of the higher second dielectric layer β 12GB by methods known in the art. A deposition step, such as a physical vapor deposition and an electrical combination method, is performed to fill the metal in the second-type, second-, and third-type second-layer vias and the second-layer trench. The deposited metal is planarized by chemical mechanical polishing (CMP), etch back, or a combination of the two to form a first type of second via 1 in the first type of second via. 〇8, forming a second type second via window 1〇9 between the second type second interlayer vias, and forming a third type second among the third type second interlayer vias The via window 1〇9, and the first metal line Π8 is formed in the line trench. The first type second via window 1 〇 8, the second type second layer via layer 109, the third type second via 109, and the second metal line 118 may include, for example, copper or aluminum. material. The two dielectric layers are coated with the conductive optical screen 124 of the fifth embodiment. In particular, the stacked entirety of the conductive optical screen 124 and the dielectric portion 134 is adjacent to the lower second dielectric layer 120A and the higher second dielectric layer 120A, and is comprised of the conductive optical screen 124 and The stack of dielectric portions 134 is covered by a second dielectric layer (Ι20Α, 2〇Β). Therefore, the conductive optical screen 124 is covered by a second dielectric layer (丨20Α, 120Β). 201011862 The lower capacitor electrode 122', the node dielectric 132, and the higher capacitance electrode 142 together form a metal-insulator-metal capacitor (MIMCAp^ back-end process dielectric cap layer 110, lower second dielectric layer 120A) a lower portion of the upper second dielectric layer 120B, a conductive optical screen 124, a dielectric portion 134, a MIMCAP (122', 132, 142), a first type second via window 1〇8, The second type first layer via window 1G9 and the third type second layer via window 1 () 9 together form a connection structure of the BE-back layer process layer (BEOL) vial layer. The souther portion of the layer dielectric layer 12A and the second metal line 118 together form a second metal line beta layer interconnect structure 9. Additional via layer interconnect structures (not shown) and additional metal line layers The internal connection structure can be formed according to the requirements. Referring to FIG. 1 , a sixth structure of the present invention includes a semiconductor substrate 2 , a substrate contact via layer and a: The second metal wire layer inner connecting structure 9 is the same as the fourth metal ring. - "&"; The in-layer connection structure 6 is modified and the ❹ metal line % covers the structure of the connection structure of the substantial structure and the interlayer structure to block the human light-emitting area, covering the connection structure 6 in the genus layer, and the latter stage Process dielectric four and fifth implementation 4. On the layer connection structure 6, as the electrical layer 110, the metal layer (not all) is formed directly in the back-end process medium to cover the photo-lithography acidification - Conductive light screen 154, which is the area in the first-gold structure to exclude incident light. Conductive light screen 154 crystal, except for JitT, covering, such as floating detector 4G, and other analog-like sensing A photodiode d Μ) 201011862, outside. The conductive screen] 54 and the first metal line gas group region correspond to the area of the light shield eagle in Fig. 2, and the ▲ Δι/ and/or the respective Γ π^ blocks in the sensing circuit The person who circulates the arc angle emits light, and the boundary suppresses the generation of the floating charge. For example, the photoelectricity of each electric crystal of the semiconductor junction. The resulting I-electrode body can be a transistor of a unit image sensor pixel. Luzhen, 154 may include a combination of nitriding, nitriding, tungsten nitride, group, titanium, to about. The thickness of the conductive screen 154 may be between about 1 nanometer =; between 'and typically between about 5 nanometers and about 3G nanometers, 隹..., larger or smaller thicknesses. In the consideration of the present invention. Plate 2 2 = Light ^ 154 is farther away from the semiconductor base than the top surface of the &quot;&quot; wire 98. For example, 'the distance between the top surface of the first metal line 98 and the semiconductor base' is referred to herein as the first distance dl, which is less than the distance between the top surface of the back surface and the top surface of the semiconductor substrate 2, Cheng Balei is guilty of distance. The bottom surface of the conductive screen 154 is adjacent to the top surface of the back-end dielectric coating 110. Cheng Jie; is formed on the bottom surface of the conductive optical screen 154 and the back-end system. The second dielectric layer 120 can comprise the same material as the first via and have the same thickness. Two second types of _ are formed in the second dielectric layer 12G. At least the first variable dye layer extends through the second dielectric layer 〖2G, and the rear process dielectric layer U0, and a metal line%-top surface. Each of the at least one first earth layer &apos;I layer window aperture is formed outside the range of the conductive screen]%. At least, the 201011862 line trough utilizes the upper portion of the two-layer dielectric layer 120 in the field. Lifa and: in the first, combined with the electric key, the metal is filled in the first type; m mesh ο =) L, : rr. The deposited metal system utilizes two: CMP back side, or a combination of the two: forming a first-type second-layer via in the via: second:: forming a second type The second via window 1〇9 and the first metal line 118 are formed in the via. The first-type second interlayer window (10), the second-type first-layer window 109, and the second metal line 118 may include materials such as copper or metal. ▲ The first-metal line 9S-back-end process dielectric cap layer 11〇, and the south capacitor electrode 142 together form a metal·insulator·metal capacitor • (MIMCAP). The back-end process dielectric cap layer 110, the lower portion of the second dielectric layer 120, the materialized light screen 154, the first-type second-layer via window 1〇8, and the first-type second-layer via window 109, together constitute a first-back-end process (BE〇L) via layer connection structure 8. The upper portion of the second dielectric layer 12A and the second metal line 118 together form a second metal line layer inner connection structure 9c&gt; additional via layer inner connection structure (not shown) and an additional metal line layer The inner connection structure can be formed as needed. Figure 2 is a block diagram showing an exemplary design flow for use in semiconductor design and semiconductor circuit fabrication in accordance with the present invention. The design flow varies with the type of integrated circuit (ic) designed by 201011862. For example, the design flow used to build an application specific 1C (ASIC) may be different from the design flow used to design a standard integrated circuit component. The design structure 920 is preferably an input value input into a design step 91, and may be from an intellectual property (IP) provider, a core provider, or a design company, or may be designed by a design process. The operands are generated or may come from other sources. ❹ 没 结构 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 一 111 111 111 111 111 111 111 111 111 111 111 111 111 111 111 111 111 111 ^_()11 language 'eg Verilog, VHDL, c, etc.) ^ This design structure 92 can be packaged in more than one machine readable medium. For example, design structure 920 can be representative of the present invention Figure 2 A text file or a pattern of one embodiment. The design step 910 preferably synthesizes (or translates) an embodiment of the invention shown in FIG. 2_ into a netlist 98〇, wherein The cable list can be exemplified by a list including a material screen, a wiring, a transistor, a logic gate, a circuit, an I/O, a module, etc., which are described with other components and circuits in an integrated circuit design. The connection method 'is recorded in at least one machine readable medium. For example, 'this medium can be -CD, a CF card (嶋_ _), other fast = recall, transmitted via the Internet - data Packets, or other applies to the network = tools. The synthesis step can be - repeat the steps, where the line list is simplified again _ The above, depending on the design specification and the parameters of the circuit. The design step, step 910 may include the use of a variety of round-robin values, for example, from - must be ten technology (such as different technology generations such as 32 nm, 45 Nai M, and ^ 201011862 nano, etc.) library element 930 (library element) which can collect a set of commonly used components, circuits, and devices (including modules, layout, and symbol representation), design specification 940, feature data 950 , verification data 960, design rule 970, and test data file 985 (which may include, for example, a forward-circuit circuit design program, such as point-in-time analysis, verification, design rule validation, location and routing operations, etc.). Cooked circuit design The skilled artisan can understand the scope of the electronic design automation tool and application used in the design step 910 without departing from the spirit and spirit of the present invention. The design structure of the present invention is not limited to any particular design. Further, "Ten Step 910 is preferably an embodiment of the invention shown in Figures 2-11, in conjunction with any additional integrated circuit design or data (if Translated into a second design structure 990. The design structure 990 is in a format and/or symbol data format for exchanging integrated circuit layout data (eg, stored in GDSII, OASIS, image files, or any other storage design) In a suitable format of the structure, there is a storable medium. The design structure 99 can include, for example, symbol data, data files, test data files, design content files, manufacturing data, layout parameters, wiring, metal layers, The layered window, the exterior, the path data through the production line, and any other data that the semiconductor manufacturer needs to produce the implementation of the present invention as shown in Figures 2-11. The design structure 990 can then proceed to step - 95 'for example' design structure 990 to trial production (tape-0Ut), perform &amp; enter - seal the mask house, send it to another design company, Return to a customer, etc. Although the present invention has been described in detail with reference to the specific embodiments thereof, it will be apparent from the foregoing description that many alternatives, modifications, and variations are obvious to those skilled in the art. Therefore, the present invention is also intended to cover such alternatives, modifications, and variations, which are included in the scope and spirit of the invention. x [Simple Description of the Drawings] In order to immediately understand the advantages of the present invention, please refer to the specific embodiment shown in the 'detailed'. The above description of the present invention is briefly described. The present invention is not to be construed as limiting the scope of the invention, and the present invention is described with reference to the accompanying drawings in which: FIG. A mutual conductor (CMOS) image sensor pixel. 2 is an exemplary layout for use in a semiconductor circuit including a conductive optical screen of the 'lighting screen', the area of which is indicated by a dashed square. FIG. 3 is the first embodiment of the present invention. A vertical cross-sectional view of a semiconductor structure is illustrated. Figure 4 is a vertical cross-sectional view showing a first exemplary semiconductor device variant in accordance with a first embodiment of the present invention. Figure 5 is a vertical cross-sectional view of a second exemplary semiconductor device in accordance with a second embodiment of the present invention. Figure 6 is a vertical cross-section of a second exemplary variant in accordance with a second embodiment of the present invention. Figure 7 is a vertical sectional view of a third exemplary semiconductor device in accordance with a third embodiment of the present invention. Figure 8 is a vertical cross-sectional view of a 201011862 variant of a third exemplary semiconductor structure in accordance with a third embodiment of the present invention. Figure 9 is a vertical sectional view showing a fourth exemplary semiconductor structure in accordance with a fourth embodiment of the present invention. Figure 10 is a vertical sectional view showing a fifth exemplary semiconductor structure in accordance with a fifth embodiment of the present invention. - Figure 11 is a vertical cross section of a sixth exemplary semiconductor structure in accordance with a sixth embodiment of the present invention. Figure 12 is a flow diagram of a design flow for designing and fabricating a semiconductor circuit of the present invention. [Main component symbol description] 2 Semiconductor substrate 4 Substrate contact via layer internal connection structure 6 First metal line layer internal connection structure 8 First rear stage process via layer internal connection structure 9 Second metal line layer internal connection structure Φ 12 semiconductor layer 20 shallow trench isolation structure 30 second conductivity type charge collection well 32 first conduction type semiconductor region 40 floating drain 42 source and drain region 49 source and drain metal semiconductor alloy region 50 gate dielectric Electrical layer 52 gate dielectric gate spacer 58

201011862 59 閘極金屬半導體合金區域 60 介電基板覆蓋層 62 中段製程介電襯底 78, 78’ 傳導性光屏 80 中段製程介電層 80A較低中段製程介電層 80B較高中段製程介電層 88 接點介層窗 89 金屬光屏接觸介層窗 90 第一金屬線層介電層 98 第一金屬線 108 第二層介層窗 108’第一型第二層介層窗 109 第二型第二層介層窗 109’第三型第二層介層窗 110 後段製程介電覆蓋層 118 第二金屬線 120 第二層介電層 120A較低第二層介電層 120B較高第二層介電層 122 金屬電阻 122’較低電容電極 123 介電部分 124 傳導性光屏 132 節點介電質 142 較高電容電極 201011862201011862 59 Gate metal semiconductor alloy region 60 dielectric substrate overlay 62 middle segment dielectric substrate 78, 78' conductive optical screen 80 middle segment dielectric layer 80A lower middle segment dielectric layer 80B higher middle segment process dielectric Layer 88 contact via 89 metal light screen contact via 90 first metal line dielectric layer 98 first metal line 108 second via window 108' first type second via window 109 second Type second via window 109' third type second layer via window 110 back end process dielectric cap layer 118 second metal line 120 second layer dielectric layer 120A lower second layer dielectric layer 120B higher Two-layer dielectric layer 122 metal resistor 122' lower capacitance electrode 123 dielectric portion 124 conductive optical screen 132 node dielectric 142 higher capacitance electrode 201011862

154 傳導性光屏 200 影像感測器像素 205 全域快門閘極線 210 光敏二極體 215 移轉電晶體閘極線 220 浮動沒極 230 主動區域部分 225 重置閘電晶體閘極線 235 源極隨耦器閘極線 245 行選擇器閘極線 280 光屏 900 設計流程 910 設計步驟 920 設計結構 930 資料庫元件 940 設計說明書 950 特徵數據 960 驗證數據 970 設計規則 980 排線列表 985 測試數據檔 990 第二設計結構 995 步驟 FD 浮動擴散 GS 全域快門電晶體 PD 光敏二極體 201011862 RG 重置閘電晶體 RS 行選擇電晶體 SF 源極隨搞益電晶體 TG 移轉閘電晶體 Vdd 電源供應電壓 gsc 全域快門控制信號 rgc 重置閘控制信號 rsc 行選擇信號 tgc 移轉閘控制信號 hv 光子 dl 第一距離 d2 第二距離 d2. 第二距離154 Conductive light screen 200 Image sensor pixel 205 Global shutter gate line 210 Photodiode 215 Transfer transistor gate line 220 Floating pole 230 Active area section 225 Reset gate transistor gate line 235 Source Follower Gate Line 245 Row Selector Gate Line 280 Light Screen 900 Design Flow 910 Design Step 920 Design Structure 930 Library Element 940 Design Specification 950 Feature Data 960 Validation Data 970 Design Rule 980 Cable List 985 Test Data File 990 The second design structure 995 step FD floating diffusion GS global shutter transistor PD photodiode 201011862 RG reset gate transistor RS row select transistor SF source with benefit transistor TG transfer gate transistor Vdd power supply voltage gsc Global shutter control signal rgc reset gate control signal rsc row select signal tgc shift gate control signal hv photon dl first distance d2 second distance d2. second distance

6060

Claims (1)

201011862 七 申请專利範圍 1· 以形成—半導體結構之方法,包括: 導體基板之-頂面上形成至少—半導體元件; 道祕丄該半導體元件上形成—傳導性光屏,其中健 導性先^翻至少—侧元件之至少—節點脫離;、以及 介層窗係為-體二構 參 =;傳 ==::== 里夕專她圍^項所述之方法,更包括在該傳導性光 直_^==^跑繼之下表面係 3.如申請專利範圍第2項所述之方法,更包括: f接在該半導體基板之一頂面上形成一介電基板覆蓋 層、、中該傳導性光屏係形成在該電基域蓋層之上;以及 ,形成-中段製程介電層,其係位於該介電基板覆蓋層之 上其中該至少-金屬線係直接形成於該中段製程介電層之 4. 一種用以形成一半導體結構之方法,包括: 在半導體基板之一頂面之上形成至少一半導體元件. , 在泫半導體基板之一頂面之上形成至少一第一層金屬 線; 在該至少一第一層金屬線之一頂面之上形成一第一介 61 及201011862 VII application patent range 1 · To form a semiconductor structure, comprising: forming at least a semiconductor component on a top surface of a conductor substrate; and forming a conductive optical screen on the semiconductor component, wherein the conductivity is first Turning at least - the at least one of the side elements - the node is detached; and the layer window is the body - two constructs =; pass ==::== The method described in the article, including the conductivity, is included in the conductivity The method of claim 2, wherein the method of claim 2 includes: f is formed on a top surface of the semiconductor substrate to form a dielectric substrate cover layer, The conductive screen is formed on the electrical base cap layer; and a mid-stage process dielectric layer is disposed on the dielectric substrate cover layer, wherein the at least-metal line is directly formed on the 4. A method for forming a semiconductor structure, comprising: forming at least one semiconductor component over a top surface of a semiconductor substrate; forming at least one of a top surface of one of the germanium semiconductor substrates a layer of metal wire; At least one of a top surface of a first metal line formed on a first dielectric layer 61 and 201011862 電層; 在該至少-半導體元件之上形成—傳導性光屏該 性光屏並敍齡魏第—介電層之1面之上·以及 在該傳導性光屏之上形成一第二介電層其中該第 電層以及該第二介電層係完整包覆該傳導性光屏。w 5·如申請專利範圍第4項所述之方法,更包括: 直接在至少-第二層金屬線之上形成一接點介層窗 形成至少-第二金屬線於該第二介電層中,其中該第二 層金屬線係直接形成於該接點介層窗之—頂面之上。 6·如申明專利範圍第5項所述之方法,更包括: 在該第〃電層之該頂面上形成一金屬盤,該金屬盤具 傳導性光屏_之材料成分與相同厚度,其巾該金屬盤 係與該傳導性光屏分離;以及 直接在該金屬盤之—頂面之上形成另—接點介層窗。 I如申請專利範圍第6項所述之方法,更包括形成另一接點 ^層窗,其係緊鄰於另—第二層金屬線之__τ表面以及該金屬 盤之該頂面。 8.如申請專利範圍第6項所述之方法,更包括: 直接在該介電層之該頂面上形成〆第-金屬盤,該第- 至屬盤具有與②傳導性光屏相同之材料成分與相同厚度,其中 該第-金屬盤係與該傳導性光屏分離;以及 r&gt;2 201011862 、甘Ϊ接在該傳導性光屏之一頂面上形成一第一介電部 ^該第一介電部分具有複數個側壁其係實質上垂直地與 該傳導性光屏之侧壁符合。 9.如申請專利範圍第8項所述之方法,更包括: 直接在該第-金屬盤之—頂面之上形成—第二介電部 ^ ’具有與該第—介電部分相同之材料成分以及相同之厚 义、中該第一介電部分係與該第一介電部分分離·,以及 直接在該第二介電部分之—頂面之上形成 一第二金屬 2〇.如申凊專利範圍第^項所述之方法,更包括直接在該金屬 盤之該頂面之上形成另一接點介層窗。 =申請專利範圍第5項所述之方法,其中該接點介層窗係 為-體成形之結構而餘何物理形成之介面於其中。 12. —種用以形成一半導體結構之方法,包括: 在一半導體基板之-頂面之上形成至少一半導體元件; 在該至少—半導體元件之上形成-傳導性光屏,其中該 至少-半導航件係與該至少—半導體元件之至少一節點分 離, 在該傳導性光屏之一頂面上形成至少-金屬線;以及 直接在至少—金屬線之一底面以及該至少一半導體 元件之一頂面上形成—接點介層窗。 63 201011862 f如申請專利範圍帛12撕述之方法,其令 :·、、一體成形之結構而無任何物理形成之介面於其巾\ &quot;曰齒 ^如申請細_ 12項所述之方法,其中 ^至少-向下突出之介層窗部分其 = tr,其中該料性光屏之整體,包括該至/向ΐί=201011862 an electrical layer; forming a conductive optical screen on the at least-semiconductor component and the first surface of the Weidi-dielectric layer; and forming a second on the conductive optical screen The dielectric layer, wherein the first electrical layer and the second dielectric layer completely encapsulate the conductive optical screen. The method of claim 4, further comprising: forming a contact via directly over at least the second layer of metal lines to form at least a second metal line to the second dielectric layer Wherein the second layer of metal wire is formed directly on the top surface of the contact via. 6. The method of claim 5, further comprising: forming a metal disk on the top surface of the second electrical layer, the metal disk having a conductive optical screen having the same composition and thickness The metal disk is separated from the conductive screen; and a further contact via is formed directly on the top surface of the metal disk. The method of claim 6, further comprising forming another contact layer window adjacent to the surface of the __τ of the other second metal line and the top surface of the metal disk. 8. The method of claim 6, further comprising: forming a first-metal disk directly on the top surface of the dielectric layer, the first-to-one disk having the same conductivity as the two conductive optical screens a material composition and a same thickness, wherein the first metal disk is separated from the conductive optical screen; and r&gt; 2 201011862, the gantry is connected to a top surface of the conductive optical screen to form a first dielectric portion The first dielectric portion has a plurality of sidewalls that conform substantially perpendicularly to the sidewalls of the conductive screen. 9. The method of claim 8, further comprising: forming directly on the top surface of the first metal disk - the second dielectric portion having the same material as the first dielectric portion a composition and the same thickness, wherein the first dielectric portion is separated from the first dielectric portion, and a second metal is formed directly on the top surface of the second dielectric portion. The method of claim 4, further comprising forming another contact via directly over the top surface of the metal disk. The method of claim 5, wherein the junction via is a body-formed structure with any physical interface formed therein. 12. A method for forming a semiconductor structure, comprising: forming at least one semiconductor component over a top surface of a semiconductor substrate; forming a conductive optical screen over the at least one semiconductor component, wherein the at least The semi-navigation member is separated from the at least one node of the at least one semiconductor element, forming at least a metal line on a top surface of the conductive optical screen; and directly on at least one of the bottom surface of the metal line and the at least one semiconductor element A top layer is formed on the top surface. 63 201011862 f as claimed in the patent scope 帛 12 tearing method, which makes: ·,, an integrally formed structure without any physical interface formed in its towel \ &quot; 曰^^^^^^^^^^^^^^^^^^^ Where ^ at least - the downwardly protruding via window portion == tr, wherein the entirety of the materialized light screen, including the to/to ΐ ί = 二=形==:所構成,且係為,形之結構而 思物理軸之介面於其t,且射該至少-向下突出 層匈4分雜向地由—錢基紐蓋層所圍繞。 K如申請專利範圍第12項所述之方法,更包括: 直接在該半導體基板之一頂面上形成一介電基板覆蓋 曰,其係位於該傳導性光屏之一部分底下;以及 在该介電基板覆蓋層之上形成一中段製程介電層,其係 位於該至少一金屬線之底下。Two = shape ==: is composed, and is, the structure of the shape and the interface of the physical axis is at its t, and the at least-downward protruding layer of the Hungarian 4 points is heterogeneously surrounded by the layer of Qianki Newcap . K. The method of claim 12, further comprising: forming a dielectric substrate cover layer directly on a top surface of the semiconductor substrate, the system being located under a portion of the conductive light screen; A middle-process dielectric layer is formed over the electrical substrate overlay, and is disposed under the at least one metal line. 16.如申請專利範圍第15項所述之方法,其中該傳導性光屏 之底面係為非平面形並且緊鄰至該介電基板覆蓋層之一頂 面。 17.如申請專利範圍第15項所述之方法,其中該中段製程介 電襯底層係直接軸於該介電基板覆蓋層之-頂面上以及該傳 導性光屏之一非平面底面上。 】8.如申請專利範圍第15項所述之方法,其中該接點介層窗 具有一頂面其係與該中段製程介電層之一頂面共平面,且該接 64 201011862 :介層窗具有一底面其係與該介電基板覆蓋層之一底面共平 二第】5項所述之方法,其中該傳導性光屏 係與該半導體基板間隔不 導縣料有—料面飾錄該至少一 如申請專利範圍第15項所述之方法,其中 緊成形之結構且無物理形成之介面於其中,並且^ 之一底面&quot;至少—金屬線之—底面’且直接連接至該傳導性光屏 15項所述之方法’其中該傳導性光屏 且有—厚&amp;’其其中該傳導性光屏的整體 - —Μ面’其係以—固定距離與該半導體基板分隔。 22.如申請專利範圍第15項所述之方法,更包括: 形成-較低中段製程介電層直接結合該傳導性光屏之 其中該較低中段製程介電層之—頂面係與該傳導 外义一了員面共平面;以及 形成-較高中段製程介電層緊鄰至該至少—金屬線之 ^面:並緊鄰至該較低中段製程介電層之 ϋΐ段製程介電層與錄高愤錢層共_成該中Γ 201011862 月專利範圍第15項所述之方法,更包括直接在該傳 、,之頂面上以及該至少一金屬線之另一底面上 至少另一接點介層窗 24. —種半導體結構,包括: 至少一半導體元件,錄—半導體基板之—頂面上; ❿ ❹ Η、-车2導ί光屏,位於該至少—半導體元件之上並與該至 乂一+導體70件之至少一節點分離; 至少一金屬線,位於該傳導性光屏之一頂面上;以及 至少;該至少-金— 介展圍第24項所述之半導體結構,其中該接點 门層_係為,成形之結構’且無任何物理形成之介面於其中。 沉如申請專利範圍第24項所述之半導體結構,更包括· 於該至位贿介電基域訪之上,並位 =如申請專利細第26賴叙半導體結構,_ |42括17向下突出之介層窗部分緊鄰至該至少」半導 物理形成之介面於其中,無任何 主乂向下失出之介層窗部 201011862 分係橫向被齡電基板魏層所包圍。 二夕請專利範圍第26項所述之半導體結構,其中 面、。之-底面麵非平面且緊鄰至該介電基板覆蓋層之一頂 i二如專利範圍第26項所述之半導體結構,更包括-中 Hi申請專概圍第26項騎之铸懸構,宜忖接點 ΓίΓΓ頂*,其係與該中段製程介電層之:頂:ΐ 面共ΐ面&quot;/層曲具有—底*其係與該介電基域蓋層之-底 ❹ ^丄如申請專利範圍第26項所述之半導體結構,其中 之=包括至少二底面部分,該二底面部 體g 之間有不同之間隔距離,且其十該傳導 面位於該至少一金麟之—底面底下。u非+面頂 32·如申請專利範圍第26項所述之 =層係為一體成形之結構且無物理形:之介面二 光屏^^至^金雜之―底面,並直接連接至該傳導性 分如申請專利範圍第26項所述之半導體結構,其令該傳導 201011862 性光屏包括至少二部分其具有柯之厚度,域巾該傳導性光 屏之整體具有-平坦舳’其係以―固定距_與 基 板分隔。 34.如申請專利範圍第%項所述之半導體結構, 中段 製程介電層包括: ° 一較低中段製程介電層直接結合該傳導性光屏之一底 面其中該較低中段製程介電層之—頂面係與該傳導性光屏之 一頂面共平面;以及 一較高中段製程介電層緊鄰至該至少一金屬線之一底 面、並緊鄰至該較低中段製程介電層之一頂面。 _ 35_如申請專利範圍帛26項所述之半導體結構,更包括至少 ^接點介層窗緊鄰至該料性光屏之—頂面以及該至少一金 屬線之另一底面。 36. —種半導體結構,包括: 離; 至一半導體元件位於-半導體基板之—頂面上; 至少一第-層金屬線,其係與該半導體基板之一頂面分 頂面; 第介電層,其係緊鄰至該至少一第一層金屬線之〜 該第;—半雜元件之上,並緊鄰至 電層位於該傳導性光屏之上,其中該第—介貧 曰 —1 1層係_料性光屏之㈣包覆於内。 201011862 37. 如36項所述之半導體結構,更包括. -第-層金屬線埋入於料 -接點介層窗緊鄰於該至少一第二及 以及該至少-第-層金屬線之—頂面。騎屬線之一底面 38. 如申請專利範圍第37項所述之半 ⑽«形之結構且無任何物理 39. 如申:圍第37項所述之半導體結構,更包括· 傳導性光屏分離;以及 電層之該頂面’且係無 另-接點介層窗,其係 面以及該金屬盤之一頂面。 弟一層金屬線之一;| 40. 如申請專利範圍第39項所述 蕃 J點介層窗’其係緊鄰至另—第二層金屬之構底 屬盤之該頂面。 米灸底面以及該金 Μ.如lit第之半導 與相同厚度,蝴盤輸帛 與該傳導縣屏分離; 雜之顧面,且係 iti部分’其係㈣至該傳 I、有複數個㈣其係實質上垂直地與該傳導妓屏之側壁 69 201011862 符合; 成分二至分相同之材料 該第-介電部分分離;=_第—金屬盤之1面,並與 一第二金屬盤緊鄰至該第二介電部分之—頂面。 ’崎麟—_啊、製造、蝴η -设计之機器可讀取媒介,該設計結構包括:^ n式 =1二3 半導體她嫌—半導體基板上; 於該半導體元件之Γ;表—傳導性光屏位於一局部内連層並位 金屬二=該屬内連層之中,該 導體餅第四數據,代表—接點介繼鄰至該金屬線與該半 窗42 .述之設計結構,其巾該接點介 層曲係為體成形之結構且無任何物理形成之介面於其中。 44.如^專利範圍第42項所述之設計結構,更包括: 杯夕=五數據代表一介電基板覆蓋層緊鄰至該半導體基 板之1 =並位於該傳導性光屏之一部分底下’·以及 罢声之上代表—巾段抛介電層位於該介電基板覆 皿層之上,並位於該至少—金屬線底下。 ‘杜如甲請專利範圍第44項所述之設計結構,更包括另一數 70 201011862 之一 46.如申明專利範圍第42項所述之設計 據包括另〆數據,其代表至少—向二^第四數 傳導性光屏中,其中該至少_向 ^=^分位於該 鄰至一導體結構’該導體輯係位於該半導體基板上直地緊 或測試 47. -種鱗結構’其係實施於—種肋設計 -設計之機H可讀取媒介’該設計結構包括. Si::半導體元件位於一半導體基板上; 面分離; 第—層金屬線與該半導體基板之一了員 層 金屬線據’代表—第—介電層轉於該至少一第 件之上料性光屏位於該至少—半導體元 件之上一並緊鄰至該第一介電層之一頂面,·以及 上,其;ΪΪ數表一第二介電層位於該傳導性光屏之 L覆於^ 層與該第二介電層係將該傳導性光屏之整 48. t,·以及 如:請,顧第47項所述之設計結構,更包括: 第八數據,代表一第二層金屬線埋人 一弟七數據’代表—接點介層窗緊鄰至該—第 金層線之-底面以及該至少—第—層金屬線之 弟—層 201011862 49.如申請專利範圍第48項所述之設計結構,其中該接點介 層窗係為一體成形之結構且無任何物理形成之介面於其中。16. The method of claim 15, wherein the underside of the conductive screen is non-planar and immediately adjacent to a top surface of the dielectric substrate cover. 17. The method of claim 15 wherein the mid-stage dielectric substrate layer is directly on the top surface of the dielectric substrate overlay and on one of the non-planar bottom surfaces of the conductive screen. 8. The method of claim 15, wherein the contact via has a top surface that is coplanar with a top surface of the middle dielectric layer, and the connection is 64 201011862: The window has a bottom surface and a method of aligning with a bottom surface of the dielectric substrate cover layer, wherein the conductive optical screen is spaced apart from the semiconductor substrate. The method of claim 15, wherein the tightly formed structure has no physically formed interface therein, and a bottom surface &quot;at least a metal line-bottom surface&quot; and is directly connected to the conduction The method of claim 15 wherein the conductive screen has a thickness & </ RTI> wherein the entirety of the conductive screen is separated from the semiconductor substrate by a fixed distance. 22. The method of claim 15, further comprising: forming a lower-middle-stage dielectric layer directly bonding the top surface of the lower-middle-process dielectric layer to the conductive optical screen Conducting a sense of a common plane; and forming a higher-middle-stage dielectric layer adjacent to the at least one of the metal lines: and immediately adjacent to the lower-stage dielectric layer of the dielectric layer The method of recording the high level of anger is the same as the method described in Item 15 of the 201011862 patent range, and further includes directly connecting at least the other on the top surface of the pass, and the other bottom surface of the at least one metal wire. A via structure 24. A semiconductor structure comprising: at least one semiconductor component, a top surface of a recording semiconductor substrate; a ❿ ❹ -, a car 2 illuminating screen located on the at least the semiconductor component and associated with Separating at least one node of the first one + conductor 70; at least one metal line on a top surface of the conductive optical screen; and at least; the at least - gold - semiconductor structure according to item 24 , wherein the contact layer is _ The structure of the shape ' does not have any physical interface formed therein. Shen Ru applied for the semiconductor structure described in item 24 of the patent scope, and included the above-mentioned access to the british sub-network, and the position = as claimed in the patent, the second semiconductor structure, _ | The lower protruding via window portion is immediately adjacent to the at least "semiconducting" physically formed interface, and the intervening window portion 201011862 without any main confinement is laterally surrounded by the ageing substrate. On the second eve, please refer to the semiconductor structure described in item 26 of the patent, in which. The bottom surface is non-planar and is adjacent to one of the dielectric substrate overlays. The semiconductor structure is as described in claim 26 of the patent scope, and includes the application of the 26th item of the casting structure.忖 ΓΓ ΓΓ ΓΓ ΓΓ , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , For example, the semiconductor structure described in claim 26, wherein the = includes at least two bottom portions having different separation distances between the two bottom portions g, and wherein the conductive surface is located at the at least one Jinlin - under the bottom. u non + top 32 · As described in the scope of claim 26, the layer is an integrally formed structure and has no physical shape: the interface is two screens ^^ to ^ Jin Zai - the bottom surface, and is directly connected to the The conductive structure is as described in claim 26, wherein the conductive 201011862 optical screen comprises at least two portions having a thickness of a ke, and the conductive optical screen has a flat 舳Separated from the substrate by "fixed distance". 34. The semiconductor structure of claim 1, wherein the middle-stage dielectric layer comprises: a lower-medium process dielectric layer directly bonded to a bottom surface of the conductive optical screen, wherein the lower middle-process dielectric layer The top surface is coplanar with a top surface of the conductive screen; and a higher middle layer process dielectric layer is adjacent to a bottom surface of the at least one metal line and adjacent to the lower middle processing dielectric layer A top surface. _ 35_ The semiconductor structure of claim 26, further comprising at least a contact via window adjacent to the top surface of the materialized screen and another bottom surface of the at least one metal line. 36. A semiconductor structure comprising: off; a semiconductor component on a top surface of the semiconductor substrate; at least one first-layer metal line and a top surface of one of the semiconductor substrates; a layer immediately adjacent to the at least one first layer of metal lines; the first; the semi-hybrid element, and immediately adjacent to the electrical layer above the conductive optical screen, wherein the first dielectric barrier - 1 1 The layer system _ material screen (4) is covered inside. 201011862 37. The semiconductor structure of claim 36, further comprising: - the first layer of metal line buried in the material-contact via window adjacent to the at least one second and the at least - first-layer metal line - Top surface. One of the bottom surfaces of the riding line 38. The structure of the half (10) according to the scope of claim 37 and without any physical 39. The semiconductor structure described in the application of the 37th item, including the conductive screen Separating; and the top surface of the electrical layer 'and no other-contact via, its mesa and one of the top surfaces of the metal disk. One of the metal wires of a layer; | 40. As described in claim 39, the J-point mesoscopic window is adjacent to the top surface of the second-layer metal. The bottom of the moxibustion and the gold enamel. If the fifth half of the lit and the same thickness, the butterfly disc is separated from the transmission county screen; the miscellaneous face, and the iti part ‘the system (four) to the pass I, there are plural (4) The system is substantially perpendicular to the side wall 69 201011862 of the conductive screen; the material having the same composition of two parts is separated by the first dielectric part; = one side of the first metal disk, and a second metal disk Adjacent to the top surface of the second dielectric portion. 'Saki Lin—_ ah, manufacturing, butterfly η - designed machine readable medium, the design structure includes: ^ n = 1 2 3 semiconductors on the semiconductor substrate; on the semiconductor components; The light screen is located in a partially interconnected layer and the metal is in the inner layer of the genus, and the fourth data of the conductor cake represents the contact structure to the metal line and the half window 42. The contact layer of the towel is a body-formed structure without any physically formed interface therein. 44. The design structure of claim 42 further comprising: cup eve = five data representing a dielectric substrate overlay immediately adjacent to the semiconductor substrate 1 = and located under one portion of the conductive optical screen '· And a representative of the above-mentioned towel-strip dielectric layer is located above the dielectric substrate-clad layer and under the at least-metal line. 'Du Rujia asks for the design structure described in item 44 of the patent scope, and includes one of the other numbers 70 201011862. 46. The design data described in item 42 of the claimed patent scope includes additional data, which represents at least - two ^The fourth number of conductive optical screens, wherein the at least _ _ ^ ^ ^ points in the adjacent to a conductor structure 'the conductor series is located on the semiconductor substrate directly tight or tested 47. - squama structure 'the system Implemented in a rib design-design machine H readable medium 'The design structure includes: Si:: the semiconductor component is located on a semiconductor substrate; surface separation; the first layer metal line and the semiconductor layer one of the semiconductor layers The wire is represented by a 'representative-first dielectric layer' on the at least one first member overlying the at least one semiconductor element and immediately adjacent to a top surface of the first dielectric layer, and The second dielectric layer is located on the conductive screen, and the second dielectric layer is disposed on the conductive screen. The conductive screen is 48. t, · and, for example, please The design structure described in item 47 further includes: Eighth data, representing a first The second layer of metal wire is buried with a younger brother and seven data 'representative-contact layer window is adjacent to the - the gold layer line - the bottom surface and the at least the first layer of the metal line - the layer 201011862 49. The design structure of item 48, wherein the contact via window is an integrally formed structure without any physically formed interface therein. 7272
TW98118172A 2008-06-05 2009-06-02 Intralevel conductive light shield TW201011862A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/133,379 US8709855B2 (en) 2008-06-05 2008-06-05 Intralevel conductive light shield
US12/133,380 US8158988B2 (en) 2008-06-05 2008-06-05 Interlevel conductive light shield

Publications (1)

Publication Number Publication Date
TW201011862A true TW201011862A (en) 2010-03-16

Family

ID=41398515

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98118172A TW201011862A (en) 2008-06-05 2009-06-02 Intralevel conductive light shield

Country Status (2)

Country Link
TW (1) TW201011862A (en)
WO (1) WO2009149221A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347645A (en) * 2013-07-23 2015-02-11 台湾积体电路制造股份有限公司 Photodiode gate dielectric protection layer

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6636284B2 (en) * 2000-08-11 2003-10-21 Seiko Epson Corporation System and method for providing an electro-optical device having light shield layers
US7385167B2 (en) * 2004-07-19 2008-06-10 Micron Technology, Inc. CMOS front end process compatible low stress light shield

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347645A (en) * 2013-07-23 2015-02-11 台湾积体电路制造股份有限公司 Photodiode gate dielectric protection layer
CN104347645B (en) * 2013-07-23 2017-11-24 台湾积体电路制造股份有限公司 Photodiode gate dielectric protective layer

Also Published As

Publication number Publication date
WO2009149221A1 (en) 2009-12-10

Similar Documents

Publication Publication Date Title
US8299475B2 (en) Interlevel conductive light shield
CN107293529B (en) Pad structure for back-illuminated type (BSI) imaging sensor
US8709855B2 (en) Intralevel conductive light shield
US7833817B2 (en) Fabricating method of image sensor
CN101494234B (en) Solid-state imaging device, method of fabricating solid-state imaging device and camera
KR101107627B1 (en) Method for forming pad of three dimensional stacking wafer
CN107017270A (en) Imaging sensor
JP5675695B2 (en) Semiconductor device
TW201201368A (en) Semiconductor apparatus, method of manufacturing semiconductor apparatus, method of designing semiconductor apparatus, and electronic machine
CN101088165A (en) A COMS imager for eliminating high reflectivity interfaces
JP2009506552A (en) Solid-state imager and method of forming using anti-reflective coating for optical crosstalk reduction
CN101471363A (en) Image sensor and method for manufacturing the sensor
TW200915548A (en) Image sensor and manufacturing method thereof
US7812350B2 (en) Image sensor and method for manufacturing the same
US9673246B2 (en) Dual metal for a backside package of backside illuminated image sensor
TWI587490B (en) Image sensor device and method for manufacturing the same
TW201011862A (en) Intralevel conductive light shield
US20210313383A1 (en) Metal reflector grounding for noise reduction in light detector
CN101471300A (en) Image sensor and method for manufacturing the sensor
CN107452759A (en) The method being used for producing the semiconductor devices
JP6087107B2 (en) Manufacturing method of semiconductor device
TW202141773A (en) Image sensor device and methods of forming the same
US7661077B2 (en) Structure for imagers having electrically active optical elements
CN101471299B (en) Manufacturing method of image sensor
CN111627943A (en) Semiconductor device and method of forming the same