TW200941145A - Resist underlayer coating forming composition containing silicon having blocked isocyanate group - Google Patents

Resist underlayer coating forming composition containing silicon having blocked isocyanate group Download PDF

Info

Publication number
TW200941145A
TW200941145A TW97146378A TW97146378A TW200941145A TW 200941145 A TW200941145 A TW 200941145A TW 97146378 A TW97146378 A TW 97146378A TW 97146378 A TW97146378 A TW 97146378A TW 200941145 A TW200941145 A TW 200941145A
Authority
TW
Taiwan
Prior art keywords
group
photoresist
formula
underlayer film
methyl
Prior art date
Application number
TW97146378A
Other languages
Chinese (zh)
Other versions
TWI450042B (en
Inventor
Makoto Nakajima
Yuta Kanno
Wataru Shibayama
Original Assignee
Nissan Chemical Ind Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissan Chemical Ind Ltd filed Critical Nissan Chemical Ind Ltd
Publication of TW200941145A publication Critical patent/TW200941145A/en
Application granted granted Critical
Publication of TWI450042B publication Critical patent/TWI450042B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

To provide a resist undercoat forming composition for use in lithography which can form a resist undercoat usable as hard mask or antireflection film. A resist undercoat forming composition for use in lithography which comprises a hydrolyzable organosilane containing an isocyanato group or a blocked isocyanato group, a hydrolyzate of the same, or a hydrolytic condensate thereof, wherein the hydrolyzable organosilane is one represented by the general formula (1): [Chemical formula 1] (1) wherein R1 is isocyanato, blocked isocyanato, or an organic group containing either, where the end N or C atom is bonded to the Si atom to form an Si-N linkage or an Si-C linkage; R2 is alkyl, aryl, halogenated alkyl, halogenated aryl, alkenyl, or an organic group bearing epoxy, acryloyl, methacryloyl, mercapto, amino or cyano, where the end C atom is bonded to the Si atom to form an Si-C linkage; R3 is alkoxy, acyloxy or halogeno; a is an integer of 1 or 2; and b is an integer of 0 or 1 with the proviso that the sum of a and b is an integer of 1 or 2.

Description

200941145 九、發明說明 【發明所屬之技術領域】 本發明係有關製造半導體裝置用,形成於基板與光阻 (例如光致抗鈾劑(photo resist)、電子線光阻)之間的下層 膜用之組成物。更詳細爲,有關製造半導體裝置之微影蝕 刻步驟中,形成光致抗蝕劑之下層所使用的下層膜用之微 影蝕刻用光阻下層膜形成組成物。又,有關使用該下層膜 φ 形成組成物形成光阻圖型的方法。 【先前技術】 先前製造半導體裝置時,係使用光致抗蝕劑藉由微影 蝕刻進行微細加工。前述微細加工爲,於矽回路板等半導 體基板上形成光致抗蝕劑薄膜後,其上方介有描繪半導體 裝置之圖型的圖罩圖型下照射紫外線等活性光線,顯像後 以所得的光致抗蝕劑圖型爲保護膜'蝕刻處理基板,而於基 〇 板表面形成對應前述圖型之微細凹凸的加工法。但,近年 來隨著半導體裝置的高集成化,所使用的活性光線也由 KrF準分子雷射(248nm)傾向短波長化之ArF準分子雷射 (1 93 nm)。但隨著半導體基板反射活性光線之影響性將成 爲大問題。爲了解決該問題開始廣泛檢討於光致抗蝕劑與 基板之間設置防反射膜(bottom anti-reflective coating)的 方法。該防反射膜就易使用性等,曾多次檢討由具有吸光 基之聚合物等形成的有機防反射膜。例如,同一分子內具 有交聯反應基之羥基及吸光基的丙烯酸樹脂型防反射膜, -5- 200941145 及同一分子內具有交聯反應基之羥基及吸光基的酚醛清漆 樹脂型防反射膜等。 防反射膜所要求的特性如,對光及放射線具有較大吸 光度、不會混入光致抗蝕劑(不溶於光致抗蝕劑溶劑)、加 熱熔燒時低分子物質不會由防反射膜擴散至上層光致抗蝕 劑,具有比光致抗蝕劑更大之乾蝕速度等。 又,近年來爲了解決半導體裝置之圖型尺寸微細化時 明確的配遲延問題,開始檢討以銅爲配線材料。同時檢討 ❹ 半導體基板形成配之方法用的雙波紋步驟。該雙波紋步驟 中係對形成通路孔、具有較大長寬比之基板形成防反射膜 。因此對該步驟所使用的防反射膜要求,可塡充孔間隙之 塡埋特性,及於基板表面形成平坦膜之平坦化特性。 又,半導體基板與光致抗蝕劑之間所使用的下層膜爲 ,含有矽及鈦等金屬元素之硬圖置用的已知之膜(例如參 考專利文獻1)。此時因光阻與硬圖罩之構成成份極不同 ,故藉由乾蝕去除其之速度會深受乾蝕時所使用的氣體種 ϋ 類影響。因此適當選擇氣體種類可避免光致抗蝕劑膜厚的 大幅減少,乾燥去除硬圖罩。如上述般近年來製造半導體 裝置。以防反射效果爲首時,爲了達成各種效果而於半導 體基板與光致抗蝕劑之間配置光阻下層膜,因此開始檢討 光阻下層膜用之組成物,就所要求之特性的多樣性等, 寄望開發光阻下層膜用的新穎材料。 已知的使用具有矽及矽鍵之化合物的組成物及圖型形 成方法(例如參考專利文獻2)。 -6- 200941145 曾揭示含有異氰酸酯基或團塊化異氰酸酯基之防反射 膜形成組成物(例如參考專利文獻3 )。 又揭示使用含有聚碳矽烷之樹脂的硬圖罩材料(例如 參考專利文獻4、專利文獻5)。 又揭示使用具有含氮不飽和基之矽烷化合物的光阻下 層膜(專利文獻6)。 專利文獻1 :特開平11-258813號公報 q 專利文獻2:特開平10-209134號公報 專利文獻3 :國際公開第2000/01 752號報告 專利文獻4:特開2001-938 24號公報 專利文獻5 :特開2005-70776號公報 專利文獻6 :國際公開第2006/093057號報告 【發明內容】 發明所欲解決之課題 〇 本發明之目的爲,提供適用於製造半導體裝置用的微 影蝕刻用光阻下層膜形成組成物。更詳細爲,提供形成適 用爲硬圖罩之光阻下層膜用的微影蝕刻用光阻下層膜形成 組成物。又,提供形成適用爲防反射膜之光阻下層膜用的 ' 微影蝕刻用光阻下層膜形成組成物。又,提供不會混入光 阻、具有比光阻更大之乾蝕速度的微影蝕刻用光阻下層膜 及形成該下層膜用的光阻下層膜形成組成物。 另外提供使用該微影蝕刻用光阻下層膜形成組成物之 光阻圖型的形成方法 200941145 解決課題的方法 本發明之第1觀點爲一種微影蝕刻用光阻下層膜形成 組成物’其爲含有,含有異氰酸酯基或團塊化異氰酸酯基 之水解性有機矽烷、其水解物或其水解縮合物, 第2觀點如第1觀點所記載的光阻下層膜形成組成物 ,其中前述水解性有機矽烷如式(1 ): [化 1] ® R^R^SKR^^a+b) 式⑴ (式中,R1爲異氰酸酯基、團塊化異氰酸酯基或含有其之 有機基,且末端之N原子或C原子鍵結Si原子形成Si-N 鍵或Si-C鍵, R2爲烷基、芳基、鹵化烷基、鹵化芳基、鏈烯基、或具 有環氧基、丙烯醯基、甲基丙烯醯基、锍基、胺基或氰基 © 之有機基,且末端之C原子鍵結Si原子形成Si-C鍵’ R3爲烷氧基、醯氧基或鹵原子, a爲1或2之整數, b爲〇或1之整數, a + b爲1或2之整數)所表示, 第3觀點如第1觀點或第2觀點所記載的光阻下層膜形成 組成物,其中前述異氰酸酯基如式(2)= 200941145 [化2] ——R4—N=C=0 式(2) (式中,R4爲單鍵、伸烷基、環伸烷基或伸芳基)所表示; 第4觀點爲,如第1觀點或第2觀點所記載的光阻下層膜 形成組成物,其中前述團塊化異氰酸酯基如式(3):200941145 IX. Description of the Invention [Technical Fields of the Invention] The present invention relates to the manufacture of a semiconductor device for forming an underlayer film between a substrate and a photoresist (for example, a photo resist, an electron photoresist). Composition. More specifically, in the photolithography etching step for fabricating a semiconductor device, a photoresist for forming a lower layer of a photoresist for etching an underlayer film used for forming a lower layer of a photoresist is formed. Further, a method of forming a photoresist pattern using the underlayer film φ to form a composition. [Prior Art] When a semiconductor device was previously manufactured, it was subjected to microfabrication by photolithography using a photoresist. The microfabrication is performed by forming a photoresist film on a semiconductor substrate such as a circuit board, and then irradiating the active light such as ultraviolet rays with a pattern of a pattern on which the semiconductor device is drawn, and developing the image. The photoresist pattern is a protective film 'etching the substrate, and a method of forming fine concavities and convexities corresponding to the pattern on the surface of the substrate is formed. However, in recent years, with the high integration of semiconductor devices, the active light used has a short-wavelength ArF excimer laser (1 93 nm) which is favored by a KrF excimer laser (248 nm). However, as the semiconductor substrate reflects the influence of active light, it will become a big problem. In order to solve this problem, a method of providing a bottom anti-reflective coating between a photoresist and a substrate has been extensively reviewed. The antireflection film is easy to use, etc., and an organic antireflection film formed of a polymer having a light absorbing group or the like has been examined many times. For example, an acrylic resin-based antireflection film having a hydroxyl group and a light-absorbing group of a crosslinking reaction group in the same molecule, -5-200941145, and a novolac resin antireflection film having a hydroxyl group and a light-absorbing group having a crosslinking reaction group in the same molecule . The anti-reflection film requires characteristics such as high absorbance to light and radiation, no mixing of photoresist (insoluble in photoresist solvent), and low-molecular substance during heat-melting without anti-reflection film. Diffusion to the upper photoresist has a greater dry etch rate than the photoresist. In addition, in recent years, in order to solve the problem of delaying the delay in the refinement of the pattern size of a semiconductor device, copper has been reviewed as a wiring material. At the same time, review the double-corrugation step for the method of forming a semiconductor substrate. In the double corrugation step, an antireflection film is formed on a substrate having a via hole and having a large aspect ratio. Therefore, the antireflection film used in this step is required to have a burying property of the hole gap and a flattening property of the flat film on the surface of the substrate. Further, the underlayer film used between the semiconductor substrate and the photoresist is a known film containing a hard metal such as tantalum or titanium (see, for example, Patent Document 1). At this time, since the composition of the photoresist and the hard mask are extremely different, the speed at which it is removed by dry etching is deeply affected by the gas species used in the dry etching. Therefore, proper selection of the gas type can avoid a large reduction in the film thickness of the photoresist, and the hard mask can be removed by drying. A semiconductor device has been manufactured in recent years as described above. When the anti-reflection effect is the first, a photoresist underlayer film is placed between the semiconductor substrate and the photoresist in order to achieve various effects. Therefore, the composition of the photoresist underlayer film is reviewed, and the required characteristics are diverse. Etc., hope to develop new materials for photoresist underlayer film. A composition using a compound having a ruthenium and a ruthenium bond and a pattern formation method are known (for example, refer to Patent Document 2). -6- 200941145 An antireflection film forming composition containing an isocyanate group or agglomerated isocyanate group has been disclosed (for example, refer to Patent Document 3). Further, a hard mask material using a resin containing polycarbonasilane is disclosed (for example, refer to Patent Document 4 and Patent Document 5). Further, a photoresist underlayer film using a decane compound having a nitrogen-containing unsaturated group is disclosed (Patent Document 6). Patent Document 1: Japanese Laid-Open Patent Publication No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. No. Hei. [Patent Document 6: International Publication No. 2006/093057] SUMMARY OF INVENTION Technical Problem An object of the present invention is to provide a lithography etching suitable for use in the manufacture of a semiconductor device. The photoresist underlayer film forms a composition. More specifically, a photoresist formation underlayer film forming composition for forming a photoresist underlayer film suitable for use as a hard mask is provided. Further, a photoresist forming underlayer film forming composition for forming a photoresist underlayer film suitable for use as an antireflection film is provided. Further, there is provided a photoresist underlayer film for lithography which does not contain a photoresist, has a dry etching rate larger than that of the photoresist, and a photoresist underlayer film forming composition for forming the underlayer film. Further, a method for forming a photoresist pattern using the photoresist underlayer film forming composition for photolithography is provided. The method of the present invention is the first aspect of the present invention, which is a photoresist underlayer film forming composition for lithography etching. The hydrolyzable organic decane containing an isocyanate group or agglomerated isocyanate group, a hydrolyzate or a hydrolyzed condensate thereof, the hydrolyzable underlayer film forming composition according to the first aspect, wherein the hydrolyzable organic decane As in the formula (1): [Chemical Formula 1] R^R^SKR^^a+b) Formula (1) (wherein R1 is an isocyanate group, agglomerated isocyanate group or an organic group containing the same, and the terminal N atom Or C atoms bond Si atoms to form Si-N bonds or Si-C bonds, R2 is alkyl, aryl, alkyl halide, halogenated aryl, alkenyl, or have epoxy, acryloyl, methyl An organic group of a fluorenyl fluorenyl group, a fluorenyl group, an amine group or a cyano group, and a terminal C atom bonded to an Si atom to form a Si-C bond 'R3 is an alkoxy group, a decyloxy group or a halogen atom, and a is 1 or 2 The integer, b is 〇 or an integer of 1, a + b is an integer of 1 or 2), the third viewpoint is as The resistive underlayer film forming composition according to the first aspect or the second aspect, wherein the isocyanate group is represented by the formula (2) = 200941145 [Chem. 2] - R4 - N = C = 0 (2) (wherein R4 The photoresist underlayer film forming composition according to the first aspect or the second aspect, wherein the agglomerated isocyanate is the agglomerated isocyanate. Based on equation (3):

[化3] 0 式(3) —r4—NH—C_r5 (式中,R4爲單鍵、伸烷基、環伸烷基或伸芳基, R5爲含有活性氫之化合物殘基)所表示, 第5觀點如第4觀點所記載的光阻下層膜形成組成物,其 中前述含有活性氫之化合物殘基爲醇殘基、酚殘基、酚衍 生物殘基、多環酚殘基、醯胺殘基、醯亞胺殘基、亞胺殘 基、硫醇殘基、肟殘基、內醯胺殘基、含有活性氫之雜環 殘基或含有活性甲烯之化合物殘基; 第6觀點如第2至5觀點中任何一項所記載的光阻下層膜 形成組成物,其中含有式(4): [化4] R6aSi(R7)4.a 式(4) 200941145 (式中,R6爲烷基、芳基、鹵化烷基、鹵化芳基、鏈烧基 ,或具有環氧基、丙烯醯基、甲基丙烯醯基、锍基、胺基 或氰基之有機基,且末端之C原子鍵結Si原子形成si_c 鍵, R7爲烷氧基、醯氧基或鹵原子, a爲0至3之整數)及式(5): [化5][Formula 3] 0 Formula (3) - r4 - NH - C_r5 (wherein R4 is a single bond, an alkylene group, a cycloalkylene group or an extended aryl group, and R5 is a residue of a compound containing an active hydrogen) According to a fourth aspect of the invention, in the photoresist underlayer film forming composition, wherein the active hydrogen-containing compound residue is an alcohol residue, a phenol residue, a phenol derivative residue, a polycyclic phenol residue, and a guanamine Residue, quinone imine residue, imine residue, thiol residue, hydrazine residue, intrinsic amine residue, heterocyclic residue containing active hydrogen or residue of compound containing active olefin; The photoresist underlayer film forming composition according to any one of the items 2 to 5, which contains the formula (4): [Chemical 4] R6aSi(R7)4.a Formula (4) 200941145 (wherein R6 is An alkyl group, an aryl group, an alkyl halide group, a halogenated aryl group, a chain alkyl group, or an organic group having an epoxy group, an acryloyl group, a methacryl group, a fluorenyl group, an amine group or a cyano group, and a terminal C The atom-bonded Si atom forms a si_c bond, R7 is an alkoxy group, a decyloxy group or a halogen atom, a is an integer from 0 to 3) and the formula (5): [Chemical 5]

CR8cSi(R9)3.c] 2Yb 式⑸ (式中,R8爲烷基, R9爲烷氧基、醯氧基或鹵原子, Y爲伸烷基或伸芳基, b爲0或1之整數, c爲〇或1之整數)群中所選出至少1種之有機矽化合物與 上述式(1)之水解性有機矽烷的組合物、其水解物或其水 〇 解縮合物, 第7觀點爲一種微影蝕刻用光阻下層膜形成組成物,其爲 含有聚合物用的如第2至6觀點中任何一項所記載的式 (1)之化合物,或式(1)與式(4)之化合物的水解縮合物, 第8觀點如第1至7觀點中任何一項所記載的光阻下層膜 形成組成物,其中另含有硬化觸媒; 第9觀點爲一種光阻下層膜,其爲將第1至8觀點中任何 一項所記載的光阻下層膜形成組成物塗佈於半導體基板上 -10- 200941145 焙燒而得, 第1〇觀點爲一種半導體裝置之製造方法,其爲包含將第 1至8觀點中任何一項所記載的光阻下層膜形成組成物塗 佈於半導體基板上,焙燒形成光阻下層膜之步驟,將光阻 用組成物塗佈於前述下層膜上,形成光阻膜之步驟,將前 述光阻膜曝光之步驟,曝光後將光阻顯像而得光阻圖型之 步驟,藉由光阻圖型蝕刻光阻下層膜之步驟,及藉由圖型 0 化之光阻與光阻下層膜加工半導體基板之步驟,及 第11觀點爲一種半導體裝置之製造方法,其爲包含將有 機下層膜形成於半導體基板上之步驟,將如第1至8觀點 中任何一項所記載的光阻下層膜形成組成物塗佈於前述有 機下層膜上,焙燒形成光阻下層膜之步驟,將光阻用組成 物塗佈於前述光阻下層膜上,形成光阻膜之步驟,將前述 光阻膜曝光之步驟,曝光後將光阻顯像而得光阻圖型之步 驟,藉由光阻圖型蝕刻光阻下層膜之步驟,藉由圖型化之 〇 光阻下層膜蝕刻有機下層膜之步驟,及藉由圖型化之有機 下層膜加工半導體基板之步驟。 發明之效果 本發明係使用塗佈法將光阻下層膜形成於基板上,或 介有基板上之有機下層膜使用塗佈法將光阻下層膜形成於 其上方,再於該光阻下層膜上形成光阻膜(例如光致抗蝕 劑、電子線光阻)。其次藉由曝光及顯像形成光阻圖型, 再使用該光阻圖型乾蝕光阻下層膜進行圖型複製,接著藉 -11 - 200941145 由該圖型加工基板,或蝕刻有機下層膜複製圖型後藉由該 有機下層膜加工基板。 形成微細圖型時,爲了防止圖型倒塌傾向使光阻膜厚 薄化。藉由光阻薄膜化爲了將圖型複製於存在於該下層之 膜上的乾蝕需爲,蝕刻速度高於上層之膜,否則無法複製 圖型。本發明中基板上係介有或不介有有機下層膜,依序 將本申請書之光阻下層膜(含有無機系矽系化合物)被覆於 其上方,再於其上方被覆光阻膜(有機光阻膜)。有機系成 份之膜及無機系成份之膜會因蝕刻氣體的選擇而使乾蝕速 度產生大差異,其中有機系成份之膜使用氧系氣體可提高 乾鈾速度,無機系成份之膜使用含鹵素氣體可提高乾鈾速 度。 例如形成光阻圖型後,使用含有鹵素之氣體乾蝕存在 於該下層的本申請書之光阻下層膜,而將圖型複製於下層 膜,再使用含有鹵素之氣體藉由複製於該光阻下層膜之圖 型加工基板,或使用複製圖型後之光阻下層膜,以氧系氣 體乾蝕該下層之有機下層膜,而將圖型複製於有機下層膜 ’再使用含有鹵素之氣體藉由該複製圖型後之有機下層膜 加工基板。 本發明中該光阻下層膜具有硬圖罩之機能,即上述式 (1)之構造中烷氧基、醯氧基或鹵原子等水解性基會水解 或部分水解,其後藉由矽烷醇基之縮合反應形成聚矽氧烷 構造。該聚有機矽氧烷構造具有充分之硬圖罩機能。又該 聚有機矽氧烷構造中所含的異氰酸酯基可藉由,使用三烷 -12- 200941145 基膦等觸媒使異氰酸酯基之3分子產生環化反應而形成的 三嗪三酮,使聚有機矽氧烷構造交聯化。 又,聚有機矽氧烷可由,使用團塊化劑將其構造中所 含的異氰酸酯基轉換爲團塊化異氰酸酯基後,使該團塊化 異氰酸酯基產生脫團塊化,而使其2分子反應形成尿素構 造、縮二脲構造、胺基甲酸乙酯構造、α酸酯構造等交聯 鍵。本發明中例如可將具有異氰酸酯基之水解性有機矽烷 H 溶解於醇中,利用醇使異氰酸酯基團塊化而轉換爲團塊化 異氰酸酯基。將異氰酸酯基團塊化後,溶膠凝膠反應時可 保護異氰酸酯基。該醇中可藉由溶膠凝膠反應之水解及縮 合反應,而得形成支鏈具有團塊化異氰酸酯基之聚有機矽 氧烷用的聚合物。塗佈含有含該團塊化異氰酸酯基之聚有 機矽氧烷的光阻下層膜形成組成物而得之膜係藉由加熱使 團塊化異氰酸酯基脫團塊化而產生異氰酸酯基,又該異氰 酸酯基彼此間可形成三嗪三酮構造、尿素構造、縮二脲構 〇 造、胺基甲酸乙酯構造、α酸酯構造等,而於膜中形成交 聯。 脫團塊化係藉由加熱產生,但會因所選擇之團塊化劑 用化合物而使脫團塊化溫度不同。因此藉由以所希望之溫 度進行脫團塊化而生成的異氰酸酯基形成交聯鍵時,可利 用該原理選擇團塊化劑。 團塊化劑可兼化溶膠凝膠溶劑(水解及縮合反應時之 溶劑)用,但於非溶劑(非團塊化劑之溶劑)中生成含有異 氰酸酯基之水解性有機矽烷及含有使用團塊化劑而團塊化 -13- 200941145 之異氰酸酯基的水解性有機矽烷後,藉由水解及縮合反應 可形成聚合物用之含有團塊化異氰酸酯基的聚有機矽氧烷 〇 聚有機矽氧烷所含的此等鍵結部位因具有碳-氮鍵或 碳-氧鍵,故使用鹵素系氣體時其乾蝕速度高於碳-碳鍵 ,而可有效將上層光阻圖型複製於該光阻下層膜。 因此聚有機矽氧烷構造(中間膜)適用爲,存在於其下 方之有機下層膜蝕刻用及基板加工(蝕刻)用的硬圖罩。即 ,對基板加工時及有機下層膜之氧系乾蝕氣體具有充分耐 乾蝕性。 本發明之光阻下層膜爲,具備提升相對於此等上層光 阻之乾蝕速度,及基板加工時等的耐乾蝕性之物。 實施發明之最佳形態 本發明之微影蝕刻用光阻下層膜形成組成物含有,含 有異氰酸酯基或團塊化異氰酸酯基之水解性有機矽烷、其 水解物或其水解縮合物。又上述水解性有機矽烷、其水解 物及其水解縮合物可使用其混合物。本發明之光阻下層膜 形成組成物可使用水解性有機矽烷水解後生成之水解物, 或所得水解物縮合而得之水解縮合物。又本發明之光阻下 層膜形成組成物可使用,生成水解縮合物時未完全水解之 部分水解物,及矽烷化合物混入水解縮合物的混合物。該 縮合物具有聚矽氧烷構造。又該聚矽氧烷鍵結異氰酸酯基 、團塊化異氰酸酯基或含其之有機基。 200941145 本發明之光阻下層膜形成組成物爲含有,含有 酯基或團塊化異氰酸酯基之水解性有機矽烷、其水解物$ 其水解縮合物,及溶劑。本發明之光阻下層膜形成組成物 特佳爲含有,含有異氰酸酯基或團塊化異氰酸酯基之水解 性有機矽烷的水解縮合物,及溶劑之物。又本發明之光P且 '下層膜形成組成物可含有任意成份之酸、水、醇、硬化觸 媒、酸發生劑、其他有機聚合物、吸光性化合物及表面活 Φ 性劑等。 本發明之光阻下層膜形成組成物的固體成份可爲0.5 至50質量%、1至30質量%或1至25質量%。該固體成 份係指由光阻下層膜形成組成物之全體成份去除溶劑成份 後之物。 固體成份中水解性有機矽烷、其水解物及其水解縮合 物所佔比率爲2 0質量%以上,例如5 0至1 0 0質量%、6 0 至1 0 0質量%、7 0至1 0 0質量%。 〇 本發明所使用的水解性有機矽烷具有式(1)所表示之 構造。式(1)中R1爲異氰酸酯基、團塊化異氰酸酯基或含 有其之有機基,且藉由Si-N鍵或Si-C鍵鍵結矽原子之物 °R2爲烷基、芳基、鹵化烷基、鹵化芳基、鏈烯基、或 具有環氧基、丙烯醯基、甲基丙烯醯基、巯基、胺基或氰 基之有機基,且藉由Si-C鍵鍵結矽原子之物。R3爲烷氧 基、醯氧基或齒原子。a爲1或2之整數,b爲〇或1之 整數,a + b爲1或2之整數。 式(1)之R1爲異氰酸酯基、團塊化異氰酸酯基,或含 -15- 200941145 有其之有機基。團塊化係指保護異氰酸酯基之官能基變換 。含有異氰酸酯基或團塊化異氰酸酯基之有機基除了含有 異氰酸酯基或團塊化異氰酸酯基也包含’含有存在於末端 之異氰酸酯基或團塊化異氰酸酯基與矽原子之間的連結基 之有機基。 式(1)中R1異氰酸酯基或團塊化異氰酸酯基可各自如 上述式(2)或(3)所表示。前述式(2)及式(3)之R4爲單鍵或 連結基,連結基如碳原子數1至1〇之伸烷基、碳原子數 ❿ 3至10之環伸烷基、碳原子數6至20之伸芳基。 碳原子數1至10之伸烷基如,伸甲基、伸乙基、伸 丙基、異伸丙基、伸丁基、伸辛基等。碳原子數3至10 之環伸烷基如,環伸丙基、環伸丁基、環伸己基等。碳原 子數6至20之伸芳基如,伸苯基、伸萘基、伸蒽基等。 前述式(3)的含有團塊化異氰酸酯基之水解性有機矽 烷係由,使前述式(2)的含有異氰酸酯基之水解性有機矽 烷與團塊化劑反應而得。含有異氰酸酯基之水解性有機矽 Q 烷可由市售品取得。 前述式(2)之異氰酸酯基與團塊化劑的反應可於20(室 溫)至100 °C下進行1至24小時。例如以醇作爲含有異氰 酸酯基水解性有機矽烷之溶膠凝膠反應時(水解及縮合反 ' 應)的溶劑用時,該醇可作爲團塊化劑用,也可作爲溶膠 凝膠反應時之溶劑用。即,於醇中可藉由團塊化生成含有 團塊化異氰酸酯基之水解性有機矽烷,及其後藉由水解及 縮合生成聚合物用之縮合物(聚有機矽氧烷)。 -16- 200941145 另外,於不活性溶劑中使前述式(2)的含有異氰酸酯 基之水解性有機矽烷與團塊化劑反應,也可得含有團塊化 異氰酸酯基水解性有機矽烷。其後該矽烷可藉由水解及縮 合而得聚合物用之縮合物(聚有機矽氧烷)。 作爲團塊化劑用之物爲,含有可與異氰酸酯基反應之 活性氫的化合物,例如醇、酚、多環酚、醯胺、醯亞胺、 亞胺、硫醇、肟、內醯胺、含有活性氫之雜環、含有活性 φ 甲烯之化合物。 因此前述式(3)之R5爲含有活性氫之化合物殘基,例 如醇殘基、酚殘基、酚衍生物殘基、多環酚殘基、醯胺殘 基、醯亞胺殘基、亞胺殘基、硫醇殘基、肟殘基、內醯胺 殘基、含有活性氫之雜環殘基、含有活性甲烯之化合物殘 基。 團塊化劑用之醇如,碳原子數1至40之醇,例如甲 醇、乙醇、丙醇、異丙醇、丁醇、戊醇、己醇、辛醇、伸 〇 乙基氯乙醇、1,3-二氯-2-丙醇、t-丁醇、t-戊醇、2-乙基 己醇、環己醇、月桂醇、乙二醇、丁二醇、三羥甲基丙烷 '甘油、乙二醇一甲基醚、乙二醇一乙基醚、乙二醇一丁 基醚、二乙二醇一甲基醚、丙二醇一甲基醚、丙二醇一乙 基醚、苄醇等。 團塊化劑用之酚如,碳原子數6至20之酚類,例如 酚、氯酚、硝基酚等。 團塊化劑用之酚衍生物如,碳原子數6至20之酚衍 生物’例如對-t-丁基酚、甲酚、二甲苯酚、間苯二酚等。 -17- 200941145 團塊化劑用之多環酚如’碳原子數10至20之多環酚 ,其爲具有酚性羥基之芳香族縮合環,例如羥基萘、經基 蒽等。 團塊化劑用之醯胺如,碳原子數1至20之醯胺’例 如乙醯替苯胺、己醯胺、辛二醯胺、琥珀醯胺、苯磺醯胺 、乙二醯胺等。 團塊化劑用之醯亞胺如,碳原子數6至20之醯亞胺 ,例如環己烷二羧基醯亞胺、環六烯二羧基醯亞胺、苯二 羧基醯亞胺、環丁烷二羧基醯亞胺、碳二醯亞胺等。 團塊化劑用之亞胺如,碳原子數1至20之亞胺,例 如己烷-1-亞胺、2-丙烷亞胺、乙烷-1,2-亞胺等。 團塊化劑用之硫醇如,碳原子數1至2 0之硫醇,例 如乙烷硫醇、丁烯硫醇、苯硫酚、2,3-丁烷二硫醇等。 團塊化劑用之肟如,碳原子數1至2 0之肟,例如丙 酮肟、甲基乙基酮肟、環己酮肟、二甲基酮肟、甲基異丁 基酮肟、甲基戊基酮肟、甲醯胺肟、乙醯胺肟、二乙醯一 肟、二苯甲酮肟、環己烷肟等。 團塊化劑用之內醯胺如,碳原子數4至20之內醯胺 ,例如ε-己內酿胺、<5-戊內醯胺、r-丁內酿胺、沒-丙 內醯胺、r -吡咯烷酮、月桂內醯胺等。 團塊化劑用之含有活性氫雜環化合物如,碳原子數3 至3 0之含有活性氫雜環化合物,例如毗格、咪哩、眼;哩 、哌啶、哌嗪、嗎啉、吡啶、吲哚、吲唑、嘌玲、昨哩等 -18- 200941145 團塊化劑用之含有活性甲烯化合物如,碳原子數3至 20之含有活性甲烯化合物,例如丙二酸二甲酯、丙二醇 二乙酯、乙醯乙酸甲酯、乙醯乙酸乙酯、乙醯丙酮等。 式(1)之R2的烷基如,環狀或鏈狀烷基。 碳原子數1至10之鏈狀烷基如,直鏈或具有支鏈之 院基,例如甲基、乙基、η -丙基、i -丙基、η -丁基、i -丁 基、s-丁基、t-丁基、η-戊基、1-甲基-η-丁基、2-甲基·η-0 丁基、3-甲基-η-丁基、1,1-二甲基-η-丙基、l,2-二甲基_η_ 丙基、2,2-二甲基-η-丙基、1-乙基-η-丙基、η-己基、;!_甲 基-η-戊基、2-甲基-η-戊基、3-甲基-η-戊基、4-甲基-η_戊 基、1,1-二甲基-η-丁基、1,2-二甲基-η-丁基、1,3-二甲基_ η-丁基、2,2-二甲基-η-丁基、2,3-二甲基-η-丁基、3,3-二 甲基-η-丁基、1-乙基·η-丁基、2-乙基-η-丁基、1,1,2-三甲 基-η-丙基、1,2,2-三甲基-η-丙基、1-乙基-1-甲基-η-丙基 及1-乙基-2-甲基-η-丙基等。 〇 碳原子數3至10之環狀烷基如,環丙基、環丁基、 1-甲基-環丙基、2-甲基-環丙基、環戊基、1-甲基-環丁基 、2-甲基-環丁基' 3-甲基-環丁基、1,2-二甲基-環丙基、 2.3- 二甲基-環丙基、1-乙基-環丙基、2-乙基-環丙基、環 己基、1-甲基-環戊基、2-甲基-環戊基、3-甲基-環戊基、 1-乙基-環丁基、2-乙基-環丁基、3-乙基-環丁基、1,2-二 甲基-環丁基、1,3-二甲基-環丁基、2,2-二甲基-環丁基、 2.3- 二甲基-環丁基、2,4-二甲基-環丁基、3,3-二甲基-環 丁基、I-η-丙基-環丙基、2-η-丙基-環丙基、Ι-i-丙基-環 -19- 200941145 丙基、2-丨-丙基-環丙基、1,2,2-三甲基-環丙基、1,2’3_二 甲基·環丙基、2,2,3-三甲基-環丙基、1-乙基甲基-環丙 基、2-乙基-1-甲基-環丙基、2-乙基-2-甲基-瓌两基及2_ 乙基-3-甲基-環丙基等。 R2之芳基如,苯基、〇-甲基苯基、m-甲基苯基、P-甲 基苯基、〇-氯苯基、m-氯苯基、p-氯苯基、〇-氟苯基、P-锍基苯基、〇-甲氧基苯基、p-甲氧基苯基、p-胺基苯基、 P-氰基苯基、α-萘基、yS-萘基、〇·聯苯基、m-聯苯基、 P-聯苯基、1-蒽基、2-蒽基、9-蒽基、1-菲基、2-菲基、 3-菲基、4-菲基及9-菲基。 又,式(1)之R2的鹵化烷基或鹵化芳基如,上述列舉 之院基或芳基被氟原子、氯原子、溴或碘原子等齒原子$ 代的有機基。 式(1)之R2的鏈烯基如,碳原子數2至丨〇之鍵嫌基 ’例如乙燦基、1-丙嫌基、2 -丙嫌基、1-甲基_1_乙烯基、 1- 丁烯基、2-丁烯基、3-丁烯基、2-甲基-1-丙燦基、2_甲 基-2 -丙嫌基、1-乙基乙嫌基、1-甲基-1-丙稀基、ι_甲基_ 2- 丙烯基、1-戊烯基、2-戊烯基、3-戊烯基、4_戊嫌基、 1-n-丙基乙烯基、1-甲基-1-丁烯基、1-甲基-2-丁嫌基、卜 甲基-3-丁烯基、2-乙基-2-丙烯基、2-甲基-i_ 丁嫌基、2_ 甲基-2-丁烯基、2-甲基-3-丁烯基、3-甲基-i_ 丁烯基' 3_ 甲基-2-丁烯基、3-甲基-3-丁烯基、1,1-二甲基_2_丙烯基 、Ι-i-丙基乙烯基、1,2-二甲基-1-丙烯基、ι,2_二甲基_2· 丙烯基、1-己烯基、2-己烯基、3-己烯基、4-己嫌基、5_ -20- 200941145 己烯基、1-甲基-1-戊烯基、1-甲基-2-戊烯基、1-甲 戊烯基、1-甲基-4-戊烯基、1-n-丁基乙烯基、2-甲基 儲基、2 -甲基-2-戊嫌基、2 -甲基-3-戊儲基、2 -甲基 嫌基、2-n -丙基-2-丙嫌基、3 -甲基-1-戊嫌基、3 -甲 戊烯基、3-甲基-3-戊烯基、3-甲基-4,3-乙基-3_丁烯 4-甲基-1-戊烯基、4-甲基-2-戊烯基、4-甲基-3-戊烯 4-甲基-4-戊烯基、1,1-二戊烯基甲基-2-丁烯基、1,1· 0 基-3-丁烯基、1,2-二甲基-1-丁烯基、1,2-二甲基-2-基、1,2 - 一甲基-3-丁嫌基、1-甲基-2-乙基-2-丙稀基、 丁基乙烯基、1,3-二甲基-1-丁烯基、1,3-二甲基-2-丁 、1,3-二甲基-3-丁烯基、Ι-i-丁基乙烯基、2,2-二甲 丁烯基、2,3-二甲基-1-丁烯基、2,3-二甲基-2-丁烯 2,3-二甲基-3-丁烯基、2-i-丙基-2-丙烯基、3,3-二甲 丁烯基、1-乙基-1-丁烯基、1-乙基-2-丁烯基、1-乙 丁嫌基、1-n -丙基-1-丙稀基、1-n -丙基-2 -丙嫌基、 Q 基-1-丁烯基、2-乙基-2-丁烯基、2-乙基-3-丁烯基、】 三甲基-2-丙烯基、Ι-t-丁基乙烯基、1-甲基-1-乙基 烯基、1-乙基-2-甲基-1-丙烯基、1-乙基-2-甲基-2-丙 、Ι-i-丙基-1-丙烯基及Ι-i-丙基-2-丙烯基等。 式(1)之R2的具有環氧基之有機基如,環氧丙氧 基、環氧丙氧基乙基、環氧丙氧基丙基、環氧丙氧 、環氧環己基等。 式(1)之R2的具有丙烯醯基之有機基如,丙烯 基、丙烯醯基乙基、丙烯醯基丙基等。 基-3--1-戊 -4-戊 基-2- 基、 基、 -二甲 •丁烯 • 1 - s - 烯基 基-3-基、 基-1-基-3-2-乙 1,1,2--2-丙 烯基 基甲 丁基 :基甲 -21 - 200941145 式(1)之R2的具有甲基丙儲酿基之有機基如’甲基丙 甲基、甲基丙烯醯基乙基、甲基丙烯醯基丙基等。 式(1)之r2的具有巯基之有機基如’乙基巯基、丁基 锍基、己基锍基、辛基锍基等。 式(1)之R2的具有胺基之有機基如’胺基乙基、胺基 丙基等。 式(1)之R2的具有氰基之有機基如,氰基乙基、氰基 丙基等。 式(1)之R3的碳原子數1至2〇之烷氧基如,碳原子 數1至20之具有直鏈、支鏈、環狀烷基部分的烷氧基, 例如甲氧基、乙氧基、η-丙氧基、丙氧基、n•丁氧基、 i -丁氧基、s -丁氧基、t -丁氧基、n-戊氧基、ι_甲基-n_ 丁 氧基、2 -甲基- η-丁氧基、3 -甲基-:^丁氧基、ι,ι_二甲基-η-丙氧基、1,2 -一甲基- η-丙氧基、2,2 -二甲基-η -丙氧基、 1-乙基-η-丙氧基、η-己氧基、1-甲基_η_戊氧基、2-甲基-η-戊氧基' 3-甲基-η-戊氧基、4-甲基-n —戊氧基、ι,ι_二甲 基-η -丁氧基、1,2-—甲基-η-丁氧基、ι,3_二甲基- η-丁氧 基、2,2-二甲基-11-丁氧基、2,3-二甲基_11_丁氧基、3,3-二 甲基-η -丁氧基、1-乙基-η -丁氧基、2 -乙基-η -丁氧基、 1,1,2-三甲基-η-丙氧基、1,2,2-三甲基_η_丙氧基、1-乙基-1·甲基-η-丙氧基及1-乙基_2 -甲基- η-丙氧基等,環狀院氧 基如,環丙氧基、環丁氧基、1-甲基-環丙氧基、2-甲基· 環丙氧基、環戊氧基、1-甲基-環丁氧基、2-甲基-環丁氧 基、3_甲基-環丁氧基、1,2-二甲基-環丙氧基、2,3-二甲 200941145 基-環丙氧基、1_乙基-環丙氧基、2_乙基-環丙氧基、環己 氧基、丨-甲基-環戊氧基、2_甲基-環戊氧基、3_甲基-環戊 氧基、1-乙基-環丁氧基、2-乙基-環丁氧基、3-乙基-環丁 氧基、1,2-二甲基-環丁氧基、1,3-二甲基-環丁氧基、2,2-二甲基-環丁氧基、2,3-二甲基-環丁氧基、2,4-二甲基-環 丁氧基、3,3-二甲基-環丁氧基、卜η-丙基-環丙氧基' 2-n-丙基-環丙氧基、1-i-丙基-環丙氧基、2-i-丙基-環丙氧基 φ 、;!,2,2-三甲基-環丙氧基、1,2,3 -三甲基-環丙氧基、 2,2,3 -三甲基-環丙氧基、乙基-2-甲基-環丙氧基、2 -乙 基-卜甲基-環丙氧基、2-乙基-2-甲基-環丙氧基及2-乙基-3-甲基-環丙氧基等。 式(1)之R3的醯氧基如’碳原子數1至20之醯氧基 ,例如甲基碳酿氧基、乙基碳酿氧基、n_丙基碳酿氧基、 i-丙基碳醯氧基、η-丁基碳醯氧基、i-丁基碳醯氧基、s-丁基碳醯氧基、t-丁基碳醯氧基、η-戊基碳醯氧基、1-甲 Q 基-η-丁基碳醯氧塞、2-甲基-η-丁基碳醯氧基、3-甲基-η-丁基碳醯氧基、1,1-二甲基- η-丙基碳醯氧基、1,2-二甲基-η-丙基碳醯氧基、2,2-二甲基-η-丙基碳醯氧基、1-乙基·η-丙基碳醯氧基、η-己基碳醯氧基、1-甲基-η-戊基碳醯氧基 、2-甲基-η-戊基碳醯氧基、3-甲基-η-戊基碳醯氧基、4-甲基-η-戊基碳醯氧基、1,1-二甲基-η-丁基碳醯氧基、1,2-二甲基-η-丁基碳醯氧基、1,3-二甲基-η-丁基碳醯氧基、 2,2-二甲基-η-丁基碳醯氧基、2,3-二甲基-η-丁基碳醯氧基 、3,3-二甲基-η-丁基碳醯氧基、1-乙基-η-丁基碳醯氧基 -23- 200941145 、2-乙基-η-丁基碳醯氧基、112_三甲基-n_丙基碳醯氧基 1,2,2-三甲基-η-丙基碳醯氧基、^乙基-丨_甲基-n_丙基碳 醯氧基、1-乙基-2-甲基-n_丙基碳醯氧基、苯基碳醯氧基 及對甲苯磺醯氧基等。 式(1)之R3的鹵原子如’氟原子、氯原子、溴原子、 碘原子等。 式(1)所表示的水解性有機矽烷之具體例如下所述。 ❿ [化6] ch3 Si(OCH3)3 Si(OCH3)2 N=C=0 N=C=0 式(A- 1)式(A_ 2)CR8cSi(R9)3.c] 2Yb Formula (5) (wherein R8 is an alkyl group, R9 is an alkoxy group, a decyloxy group or a halogen atom, Y is an alkylene group or an extended aryl group, and b is an integer of 0 or 1. , c is a combination of at least one organic hydrazine compound selected from the group of hydrazine or an integer of 1 and the hydrolyzable organodecane of the above formula (1), a hydrolyzate thereof or a hydroquinone condensate thereof, the seventh viewpoint is A photoresist forming underlayer film forming composition for lithography, which is a compound of the formula (1) as described in any one of the second to sixth aspects, or a formula (1) and a formula (4) The hydrolyzed condensate of the compound according to any one of the first to seventh aspects, wherein the resistive underlayer film forming composition further contains a curing catalyst; and the ninth aspect is a photoresist underlayer film, which is The photoresist underlayer film forming composition according to any one of the first to eighth aspects is coated on a semiconductor substrate by 10-200941145, and the first aspect is a method for producing a semiconductor device, which includes The photoresist underlayer film forming composition according to any one of the first to eighth aspects, which is coated on a semiconductor substrate, a step of forming a photoresist underlayer film, applying a photoresist composition to the underlayer film to form a photoresist film, exposing the photoresist film to a photoresist, and exposing the photoresist to a photoresist a step of patterning, a step of etching a photoresist underlayer film by a photoresist pattern, a step of processing a semiconductor substrate by a patterned photoresist and a photoresist underlayer film, and an eleventh aspect of the manufacture of a semiconductor device The method comprising the step of forming an organic underlayer film on a semiconductor substrate, and applying the photoresist underlayer film forming composition according to any one of the first to eighth aspects to the organic underlayer film, and baking to form light a step of blocking the underlayer film, applying a photoresist composition on the underlayer film of the photoresist to form a photoresist film, exposing the photoresist film to a step of exposing the photoresist to a photoresist pattern after exposure a step of etching the photoresist underlayer film by a photoresist pattern, a step of etching the organic underlayer film by patterning the photoresist underlayer film, and processing the semiconductor substrate by patterning the organic underlayer film step. Advantageous Effects of Invention According to the present invention, a photoresist underlayer film is formed on a substrate by a coating method, or an organic underlayer film on a substrate is formed thereon by a coating method, and a photoresist underlayer film is formed thereon. A photoresist film (for example, photoresist, electron line photoresist) is formed thereon. Secondly, the photoresist pattern is formed by exposure and development, and then the photoresist pattern is used to perform pattern replication, and then the substrate is processed by the pattern, or the organic underlayer film is etched by -11 - 200941145 After the pattern, the substrate is processed by the organic underlayer film. When a fine pattern is formed, the thickness of the photoresist film is made thinner in order to prevent the pattern from collapsing. The thin film is formed by photolithography in order to replicate the pattern on the film existing on the lower layer, and the etching speed is higher than that of the upper layer, otherwise the pattern cannot be reproduced. In the present invention, the substrate is coated with or without an organic underlayer film, and the photoresist underlayer film (containing an inorganic lanthanide compound) of the present application is sequentially coated thereon, and then the photoresist film is coated thereon (organic Photoresist film). The film of the organic component and the film of the inorganic component cause a large difference in the dry etching rate due to the selection of the etching gas, wherein the film of the organic component uses an oxygen-based gas to increase the dry uranium velocity, and the film of the inorganic component uses a halogen-containing film. Gas can increase the speed of dry uranium. For example, after the photoresist pattern is formed, the photoresist underlayer film of the present application existing in the lower layer is dry-etched using a halogen-containing gas, and the pattern is copied to the underlayer film, and the halogen-containing gas is used to replicate the light. Blocking the underlying film to process the substrate, or using the photoresist underlayer film after copying the pattern, dry etching the underlying organic underlayer film with oxygen gas, and copying the pattern to the organic underlayer film' using a halogen-containing gas The substrate is processed by the organic underlayer film after the pattern is reproduced. In the present invention, the photoresist underlayer film has a function as a hard mask, that is, a hydrolyzable group such as an alkoxy group, a decyloxy group or a halogen atom in the structure of the above formula (1) is hydrolyzed or partially hydrolyzed, and thereafter by a stanol The condensation reaction of the group forms a polyoxane structure. The polyorganosiloxane structure has sufficient hard mask function. Further, the isocyanate group contained in the polyorganosiloxane structure can be formed by a triazine triketone formed by a cyclization reaction of three molecules of an isocyanate group using a catalyst such as trioxane-12-200941145 phosphine. The organooxane structure is crosslinked. Further, the polyorganosiloxane may be obtained by converting a isocyanate group contained in the structure into a agglomerated isocyanate group using a bridging agent, and then deagglomerating the agglomerated isocyanate group to form two molecules. The reaction forms a cross-linking bond such as a urea structure, a biuret structure, an urethane structure, or an α-ester structure. In the present invention, for example, a hydrolyzable organodecane H having an isocyanate group may be dissolved in an alcohol, and an isocyanate group may be blocked by an alcohol to be converted into a agglomerated isocyanate group. After the isocyanate group is blocked, the isocyanate group can be protected by the sol-gel reaction. The alcohol can be hydrolyzed and condensed by a sol-gel reaction to obtain a polymer for forming a polyorganosiloxane having a branched agglomerate group. Applying a photoresist underlayer film forming composition containing the polyorganosiloxane having the agglomerated isocyanate group to form a film system, by heating to deagglomerate the agglomerated isocyanate group to produce an isocyanate group, and the isocyanate The group may form a triazine ketone structure, a urea structure, a biuret structure, an urethane structure, an alpha ester structure, and the like, and form a crosslink in the film. The de-blocking system is produced by heating, but the de-blocking temperature is different depending on the compound for the agglomerating agent selected. Therefore, when a cross-linking bond is formed by an isocyanate group formed by de-agglomeration at a desired temperature, the agglomerating agent can be selected by this principle. The agglomerating agent can simultaneously use a sol-gel solvent (solvent for hydrolysis and condensation reaction), but forms a hydrolyzable organodecane containing an isocyanate group in a non-solvent (a solvent other than the agglomerating agent) and contains a briquette Agglomerating -13,041,145 isocyanate-based hydrolyzable organodecane, followed by hydrolysis and condensation to form a polyorganosiloxane having agglomerated isocyanate groups for polymerization. Since the bonding sites contained therein have a carbon-nitrogen bond or a carbon-oxygen bond, the dry etching rate is higher than the carbon-carbon bond when the halogen-based gas is used, and the upper photoresist pattern can be effectively copied to the light. Block the underlayer film. Therefore, the polyorganosiloxane structure (intermediate film) is preferably used as a hard mask for etching the underlying organic film and for processing (etching) the substrate. That is, it has sufficient dry etching resistance to the oxygen-based dry etching gas at the time of substrate processing and the organic underlayer film. The underlayer film of the photoresist of the present invention is provided with a dry etching rate which improves the resistance of the upper layer and a dry etching resistance during processing of the substrate. BEST MODE FOR CARRYING OUT THE INVENTION The photoresist underlayer film forming composition for lithography etching of the present invention contains a hydrolyzable organodecane containing an isocyanate group or agglomerated isocyanate group, a hydrolyzate thereof or a hydrolyzed condensate thereof. Further, a mixture of the above hydrolyzable organodecane, a hydrolyzate thereof and a hydrolyzed condensate thereof may be used. The photoresist underlayer film forming composition of the present invention may be a hydrolyzate obtained by hydrolysis of a hydrolyzable organodecane or a hydrolyzed condensate obtained by condensing the obtained hydrolyzate. Further, the photoresist underlayer film forming composition of the present invention can be used, a partial hydrolyzate which is not completely hydrolyzed when a hydrolyzed condensate is formed, and a mixture of a decane compound which is mixed with a hydrolyzed condensate. The condensate has a polyoxyalkylene structure. Further, the polyoxyalkylene-bonded isocyanate group, agglomerated isocyanate group or an organic group containing the same. 200941145 The photoresist underlayer film forming composition of the present invention is a hydrolyzable organodecane containing an ester group or agglomerated isocyanate group, a hydrolyzate thereof, a hydrolysis condensate thereof, and a solvent. The photoresist underlayer film forming composition of the present invention is particularly preferably a hydrolyzed condensate containing a hydrolyzable organodecane containing an isocyanate group or agglomerated isocyanate group, and a solvent. Further, the light P of the present invention and the underlayer film forming composition may contain an acid, water, an alcohol, a curing catalyst, an acid generator, another organic polymer, a light absorbing compound, a surface active Φ agent, or the like of any component. The solid content of the photoresist underlayer film forming composition of the present invention may be from 0.5 to 50% by mass, from 1 to 30% by mass or from 1 to 25% by mass. The solid component refers to a substance obtained by removing a solvent component from the entire composition of the photoresist underlayer film forming composition. The ratio of the hydrolyzable organodecane, the hydrolyzate thereof, and the hydrolysis condensate thereof in the solid component is 20% by mass or more, for example, 50 to 100% by mass, 60 to 100% by mass, 70 to 10%. 0% by mass. The hydrolyzable organodecane used in the present invention has a structure represented by the formula (1). In the formula (1), R1 is an isocyanate group, a agglomerated isocyanate group or an organic group containing the same, and a substance which is bonded to a ruthenium atom by a Si-N bond or a Si-C bond is an alkyl group, an aryl group, or a halogenated group. An alkyl group, a halogenated aryl group, an alkenyl group, or an organic group having an epoxy group, a propylene group, a methacryl group, a fluorenyl group, an amine group or a cyano group, and bonded to a ruthenium atom by a Si-C bond Things. R3 is an alkoxy group, a decyloxy group or a tooth atom. a is an integer of 1 or 2, b is an integer of 〇 or 1, and a + b is an integer of 1 or 2. R1 of the formula (1) is an isocyanate group, a agglomerated isocyanate group, or an organic group having -15-200941145. Agglomeration refers to the conversion of a functional group that protects an isocyanate group. The organic group containing an isocyanate group or agglomerated isocyanate group contains, in addition to the isocyanate group or the agglomerated isocyanate group, an organic group containing a linking group which is present at the terminal isocyanate group or agglomerated isocyanate group and a ruthenium atom. The R1 isocyanate group or the agglomerated isocyanate group in the formula (1) may each be represented by the above formula (2) or (3). R4 of the above formula (2) and formula (3) is a single bond or a linking group, and the linking group is an alkylene group having 1 to 1 carbon atom, a cycloalkyl group having 3 to 10 carbon atoms, and a carbon number. An extended aryl group of 6 to 20. The alkylene group having 1 to 10 carbon atoms is, for example, a methyl group, an ethyl group, a propyl group, an iso-propyl group, a butyl group, a octyl group or the like. The cyclic alkyl group having 3 to 10 carbon atoms is, for example, a propyl group, a cyclobutyl group, a cyclohexyl group or the like. The exoaryl group having a carbon atom number of 6 to 20 is, for example, a phenyl group, a naphthyl group, a fluorene group or the like. The hydrolyzable organic decane containing agglomerated isocyanate group of the above formula (3) is obtained by reacting an isocyanate group-containing hydrolyzable organic decane of the above formula (2) with a bridging agent. The hydrolyzable organic quinone having an isocyanate group can be obtained from a commercially available product. The reaction of the isocyanate group of the above formula (2) with the agglomerating agent can be carried out at 20 (room temperature) to 100 ° C for 1 to 24 hours. For example, when an alcohol is used as a solvent for a sol-gel reaction (hydrolysis and condensation reaction) containing an isocyanate-based hydrolyzable organodecane, the alcohol can be used as a bridging agent or as a solvent for a sol-gel reaction. use. Namely, a hydrolyzable organodecane containing agglomerated isocyanate groups can be formed by agglomeration in an alcohol, and then a condensate (polyorganosiloxane) for forming a polymer by hydrolysis and condensation can be produced. Further, the isocyanate group-containing hydrolyzable organodecane of the above formula (2) and the agglomerating agent are reacted in an inert solvent to obtain agglomerated isocyanate-based hydrolyzable organodecane. Thereafter, the decane can be hydrolyzed and condensed to obtain a condensate for a polymer (polyorganosiloxane). As the agglomerating agent, a compound containing an active hydrogen reactive with an isocyanate group, such as an alcohol, a phenol, a polycyclic phenol, a guanamine, a quinone imine, an imine, a thiol, an anthracene, an indoleamine, A heterocyclic ring containing active hydrogen and a compound containing active φ methylene. Therefore, R5 of the above formula (3) is a residue of a compound containing an active hydrogen, such as an alcohol residue, a phenol residue, a phenol derivative residue, a polycyclic phenol residue, a guanamine residue, a quinone imine residue, or a sub An amine residue, a thiol residue, a hydrazine residue, an intrinsic amine residue, a heterocyclic residue containing an active hydrogen, and a residue of a compound containing a reactive olefin. Alcohols for agglomerating agents, such as alcohols having 1 to 40 carbon atoms, such as methanol, ethanol, propanol, isopropanol, butanol, pentanol, hexanol, octanol, ethyl chlorohydrin, 1 , 3-dichloro-2-propanol, t-butanol, t-pentanol, 2-ethylhexanol, cyclohexanol, lauryl alcohol, ethylene glycol, butanediol, trimethylolpropane 'glycerol Ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, diethylene glycol monomethyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether, benzyl alcohol and the like. The phenol used in the agglomerating agent is, for example, a phenol having 6 to 20 carbon atoms, such as phenol, chlorophenol, nitrophenol or the like. The phenol derivative for the agglomerating agent is, for example, a phenol derivative having 6 to 20 carbon atoms, such as p-t-butylphenol, cresol, xylenol or resorcin. -17- 200941145 Polycyclic phenols for agglomerating agents, such as a polycyclic phenol having 10 to 20 carbon atoms, which is an aromatic condensed ring having a phenolic hydroxyl group, such as hydroxynaphthalene, hydrazine or the like. The amidoxime used in the agglomerating agent is, for example, a decylamine having 1 to 20 carbon atoms, such as acetanilide, hexamethyleneamine, octadecylamine, succinimide, benzenesulfonamide, etidamine. A quinone imine for a bridging agent, for example, an imine having 6 to 20 carbon atoms, such as cyclohexane dicarboxy quinone imine, cyclohexene dicarboxy quinone imine, phenyl dicarboxy quinone imine, cyclobutylene Alkyl dicarboxy quinone imine, carbodiimide, and the like. The imide for the agglomerating agent is, for example, an imine having 1 to 20 carbon atoms, such as hexane-1-imine, 2-propaneimine, ethane-1,2-imine and the like. The thiol used in the agglomerating agent is, for example, a thiol having 1 to 20 carbon atoms, such as ethanethiol, butylenethiol, thiophenol, 2,3-butanedithiol or the like. The agglomerating agent is used, for example, after 1 to 20 carbon atoms, such as acetone oxime, methyl ethyl ketone oxime, cyclohexanone oxime, dimethyl ketone oxime, methyl isobutyl ketone oxime, A pentyl ketone oxime, a methotrexate, an amidine oxime, a guanidine oxime, a benzophenone oxime, a cyclohexane oxime or the like. The amidating agent is used as a decylamine such as decylamine having 4 to 20 carbon atoms, such as ε-caprolactam, <5-valeroguanamine, r-butane, and propyl-propene. Indoleamine, r-pyrrolidone, laurylamine, and the like. The agglomerating agent contains an active hydrogen heterocyclic compound such as an active hydrogen heterocyclic compound having 3 to 30 carbon atoms, such as sigma, imipenea, eye; hydrazine, piperidine, piperazine, morpholine, pyridine , 吲哚, 吲 嘌, 嘌 、, 哩 哩, etc. -18- 200941145 A retanning agent containing a reactive methene compound, such as a carbonic acid number of 3 to 20 containing a reactive olefinic compound, such as dimethyl malonate , propylene glycol diethyl ester, ethyl acetate methyl acetate, ethyl acetate ethyl acetate, acetamidine acetone and the like. The alkyl group of R2 of the formula (1) is, for example, a cyclic or chain alkyl group. a chain alkyl group having 1 to 10 carbon atoms, such as a linear or branched group, such as methyl, ethyl, η-propyl, i-propyl, η-butyl, i-butyl, S-butyl, t-butyl, η-pentyl, 1-methyl-η-butyl, 2-methyl·η-0 butyl, 3-methyl-η-butyl, 1,1- Dimethyl-η-propyl, 1,2-dimethyl-η-propyl, 2,2-dimethyl-η-propyl, 1-ethyl-η-propyl, η-hexyl, _Methyl-η-pentyl, 2-methyl-η-pentyl, 3-methyl-η-pentyl, 4-methyl-η-pentyl, 1,1-dimethyl-η-butyl 1,1,2-dimethyl-η-butyl, 1,3-dimethyl-η-butyl, 2,2-dimethyl-η-butyl, 2,3-dimethyl-η -butyl, 3,3-dimethyl-η-butyl, 1-ethyl·η-butyl, 2-ethyl-η-butyl, 1,1,2-trimethyl-η-propyl Base, 1,2,2-trimethyl-η-propyl, 1-ethyl-1-methyl-η-propyl and 1-ethyl-2-methyl-η-propyl, and the like. a cyclic alkyl group having 3 to 10 carbon atoms, such as cyclopropyl, cyclobutyl, 1-methyl-cyclopropyl, 2-methyl-cyclopropyl, cyclopentyl, 1-methyl-cyclo Butyl, 2-methyl-cyclobutyl ' 3-methyl-cyclobutyl, 1,2-dimethyl-cyclopropyl, 2.3-dimethyl-cyclopropyl, 1-ethyl-cyclopropyl Base, 2-ethyl-cyclopropyl, cyclohexyl, 1-methyl-cyclopentyl, 2-methyl-cyclopentyl, 3-methyl-cyclopentyl, 1-ethyl-cyclobutyl, 2-ethyl-cyclobutyl, 3-ethyl-cyclobutyl, 1,2-dimethyl-cyclobutyl, 1,3-dimethyl-cyclobutyl, 2,2-dimethyl- Cyclobutyl, 2.3-dimethyl-cyclobutyl, 2,4-dimethyl-cyclobutyl, 3,3-dimethyl-cyclobutyl, I-η-propyl-cyclopropyl, 2 -η-propyl-cyclopropyl, Ι-i-propyl-cyclo-19- 200941145 propyl, 2-hydrazine-propyl-cyclopropyl, 1,2,2-trimethyl-cyclopropyl, 1,2'3-dimethylcyclopropyl, 2,2,3-trimethyl-cyclopropyl, 1-ethylmethyl-cyclopropyl, 2-ethyl-1-methyl-cyclo Propyl, 2-ethyl-2-methyl-indolediyl and 2-ethyl-3-methyl-cyclopropyl. The aryl group of R2 is, for example, phenyl, fluorene-methylphenyl, m-methylphenyl, P-methylphenyl, fluorenyl-chlorophenyl, m-chlorophenyl, p-chlorophenyl, hydrazine- Fluorophenyl, P-nonylphenyl, fluorenyl-methoxyphenyl, p-methoxyphenyl, p-aminophenyl, P-cyanophenyl, α-naphthyl, yS-naphthyl , 〇·biphenyl, m-biphenyl, P-biphenyl, 1-indenyl, 2-indenyl, 9-fluorenyl, 1-phenanthryl, 2-phenanthryl, 3-phenanthryl, 4 - phenanthryl and 9-phenanthryl. Further, the halogenated alkyl group or the halogenated aryl group of R2 of the formula (1) is an organic group in which the above-mentioned substituent or aryl group is substituted by a fluorine atom, a chlorine atom, a bromine or an iodine atom. The alkenyl group of R2 of the formula (1) is, for example, a bond having a carbon number of 2 to fluorene, such as an ethyl group, a 1-propyl group, a 2-propion group, a 1-methyl_1_vinyl group. , 1-butenyl, 2-butenyl, 3-butenyl, 2-methyl-1-propenyl, 2-methyl-2-propenyl, 1-ethylethyl, 1 -methyl-1-propenyl, iota-methyl-2-propenyl, 1-pentenyl, 2-pentenyl, 3-pentenyl, 4-pentenyl, 1-n-propyl Vinyl, 1-methyl-1-butenyl, 1-methyl-2-butanyl, methyl-3-butenyl, 2-ethyl-2-propenyl, 2-methyl-i-butyl Sodium, 2_methyl-2-butenyl, 2-methyl-3-butenyl, 3-methyl-i-butenyl '3-methyl-2-butenyl, 3-methyl-3 -butenyl, 1,1-dimethyl-2-propenyl, oxime-i-propylvinyl, 1,2-dimethyl-1-propenyl, iota, 2-dimethyl-2 Propenyl, 1-hexenyl, 2-hexenyl, 3-hexenyl, 4-hexyl, 5-20-200941145 hexenyl, 1-methyl-1-pentenyl, 1-methyl 2-pentenyl, 1-methylpentenyl, 1-methyl-4-pentenyl, 1-n-butylvinyl, 2-methyl-storage, 2-methyl-2-pentyl Septic, 2-methyl-3-pentyl, 2-methyl Sodium, 2-n-propyl-2-propenyl, 3-methyl-1-pentenyl, 3-methylpentenyl, 3-methyl-3-pentenyl, 3-methyl- 4,3-ethyl-3-butene 4-methyl-1-pentenyl, 4-methyl-2-pentenyl, 4-methyl-3-pentene 4-methyl-4-pentyl Alkenyl, 1,1-dipentenylmethyl-2-butenyl, 1,1·0-yl-3-butenyl, 1,2-dimethyl-1-butenyl, 1,2 - dimethyl-2-yl, 1,2-methyl-3-butyry, 1-methyl-2-ethyl-2-propyl, butyl vinyl, 1,3-dimethyl 1-butenyl, 1,3-dimethyl-2-butene, 1,3-dimethyl-3-butenyl, oxime-i-butylvinyl, 2,2-dimethyl Alkenyl, 2,3-dimethyl-1-butenyl, 2,3-dimethyl-2-butene 2,3-dimethyl-3-butenyl, 2-i-propyl- 2-propenyl, 3,3-dimethylbutenyl, 1-ethyl-1-butenyl, 1-ethyl-2-butenyl, 1-ethylbutyl, 1-n-propyl 1-propanyl, 1-n-propyl-2-propane, Q-butenyl, 2-ethyl-2-butenyl, 2-ethyl-3-butenyl ,] Trimethyl-2-propenyl, Ι-t-butylvinyl, 1-methyl-1-ethylalkenyl, 1-ethyl-2-methyl-1-propenyl, 1-B Ke-2-methyl-2-propanoid, oxime-i-propyl-1- Propylene group and Ι-i-propyl-2-propenyl group and the like. The organic group having an epoxy group of R2 of the formula (1) is, for example, a glycidoxy group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxy group, an epoxycyclohexyl group or the like. The organic group having an acrylonitrile group of R2 of the formula (1) is, for example, a propylene group, an acryloylethyl group, an acrylonitrile group or the like. 3--3-pent-4-pentyl-2-yl, yl, -dimethylbutenyl-1 - s-alkenyl-3-yl,yl-1-yl-3-2-ethyl 1,1,2--2-propenylmethylbutyl:ylmethyl-21 - 200941145 An organic group having a methyl propyl storage group of R2 of the formula (1) such as 'methylpropylmethyl, methacryl Mercaptoethyl, methacryl decylpropyl and the like. The organic group having a mercapto group of r2 of the formula (1) is an 'ethyl fluorenyl group, a butyl fluorenyl group, a hexyl decyl group, an octyl decyl group or the like. The organic group having an amine group of R2 of the formula (1) is, for example, 'aminoethyl, aminopropyl or the like. The organic group having a cyano group of R2 of the formula (1) is, for example, a cyanoethyl group, a cyanopropyl group or the like. An alkoxy group having 1 to 2 carbon atoms of R3 of the formula (1), for example, an alkoxy group having a linear, branched or cyclic alkyl moiety having 1 to 20 carbon atoms, such as a methoxy group or a Oxy, η-propoxy, propoxy, n-butoxy, i-butoxy, s-butoxy, t-butoxy, n-pentyloxy, i-methyl-n-butyl Oxy, 2-methyl-η-butoxy, 3-methyl-:butoxy, ι,ι-dimethyl-η-propoxy, 1,2-methyl-η-propyl Oxyl, 2,2-dimethyl-η-propoxy, 1-ethyl-η-propoxy, η-hexyloxy, 1-methyl-η-pentyloxy, 2-methyl- η-Pentyloxy ' 3-methyl-η-pentyloxy, 4-methyl-n-pentyloxy, ι,ι-dimethyl-η-butoxy, 1,2-methyl- Η-butoxy, iota, 3-dimethyl-η-butoxy, 2,2-dimethyl-11-butoxy, 2,3-dimethyl-11-butoxy, 3, 3-dimethyl-η-butoxy, 1-ethyl-η-butoxy, 2-ethyl-η-butoxy, 1,1,2-trimethyl-η-propoxy, 1,2,2-trimethyl-η-propoxy, 1-ethyl-1·methyl-η-propoxy and 1-ethyl-2-methyl-η-propoxy, etc., ring Alkoxy, cyclopropoxy, cyclobutoxy , 1-methyl-cyclopropoxy, 2-methylcyclopropoxy, cyclopentyloxy, 1-methyl-cyclobutoxy, 2-methyl-cyclobutoxy, 3-methyl -cyclobutoxy, 1,2-dimethyl-cyclopropoxy, 2,3-dimethyl 200941145-cyclopropoxy, 1-ethyl-cyclopropoxy, 2-ethyl-cyclo Propyloxy, cyclohexyloxy, fluorenyl-methyl-cyclopentyloxy, 2-methyl-cyclopentyloxy, 3-methyl-cyclopentyloxy, 1-ethyl-cyclobutoxy, 2 -ethyl-cyclobutoxy, 3-ethyl-cyclobutoxy, 1,2-dimethyl-cyclobutoxy, 1,3-dimethyl-cyclobutoxy, 2,2-di Methyl-cyclobutoxy, 2,3-dimethyl-cyclobutoxy, 2,4-dimethyl-cyclobutoxy, 3,3-dimethyl-cyclobutoxy, η- Propyl-cyclopropoxy '2-n-propyl-cyclopropoxy, 1-i-propyl-cyclopropoxy, 2-i-propyl-cyclopropoxy φ,;!, 2, 2-trimethyl-cyclopropoxy, 1,2,3-trimethyl-cyclopropoxy, 2,2,3-trimethyl-cyclopropoxy, ethyl-2-methyl-cyclo Propyloxy, 2-ethyl-ethyl-cyclopropoxy, 2-ethyl-2-methyl-cyclopropoxy and 2-ethyl-3-methyl-cyclopropoxy. The decyloxy group of R3 of the formula (1) is, for example, a decyloxy group having 1 to 20 carbon atoms, such as a methyl carbon-oxyloxy group, an ethyl carbon-oxyloxy group, an n-propyl carbonoxy group, and an i-propyl group. Carbomethoxy, η-butylcarbenyloxy, i-butylcarbenyloxy, s-butylcarbenyloxy, t-butylcarbenyloxy, η-pentylcarbenyloxy , 1-methyl-Q-η-butyl carbohydroxide, 2-methyl-η-butylcarbenyloxy, 3-methyl-η-butylcarbenyloxy, 1,1-dimethyl Base - η-propylcarbenyloxy, 1,2-dimethyl-η-propylcarbenyloxy, 2,2-dimethyl-η-propylcarbenyloxy, 1-ethyl· Η-propylcarbenyloxy, η-hexylcarbenyloxy, 1-methyl-η-pentylcarbenyloxy, 2-methyl-η-pentylcarbenyloxy, 3-methyl- Η-pentylcarbenyloxy, 4-methyl-η-pentylcarbenyloxy, 1,1-dimethyl-η-butylcarbenyloxy, 1,2-dimethyl-η- Butylcarbonium oxy, 1,3-dimethyl-η-butylcarbenyloxy, 2,2-dimethyl-η-butylcarbenyloxy, 2,3-dimethyl-η -butylcarbenyloxy, 3,3-dimethyl-η-butylcarbenyloxy, 1-ethyl-η-butylcarbenyloxy-23- 200941145, 2-ethyl-η- Butyl carbon methoxy , 112_trimethyl-n-propylcarbenyloxy 1,2,2-trimethyl-η-propylcarbenyloxy, ethyl-hydrazine-methyl-n-propylcarbazide A group, a 1-ethyl-2-methyl-n-propylcarbenyloxy group, a phenylcarbenyloxy group, and a p-toluenesulfonyloxy group. The halogen atom of R3 of the formula (1) is, for example, a fluorine atom, a chlorine atom, a bromine atom or an iodine atom. Specific examples of the hydrolyzable organodecane represented by the formula (1) are as follows. ❿ [Chemical 6] ch3 Si(OCH3)3 Si(OCH3)2 N=C=0 N=C=0 Formula (A-1) Formula (A_ 2)

Si(OCH3)3 N=C=0 式(A— 3 ) ch3 气。ch3)2 N=C=0 式(A-4) ch3Si(OCH3)3 N=C=0 Formula (A-3) ch3 gas. Ch3)2 N=C=0 Equation (A-4) ch3

Si(OCH3)3 Si(OCH3)2 Φ 9 N=CsO NsC=0 式(A-5)式(A-6) ch3Si(OCH3)3 Si(OCH3)2 Φ 9 N=CsO NsC=0 Formula (A-5) Formula (A-6) ch3

Si(OCH3)3 Si(OCH3)2 φ φ N=C=〇 N=C=0 式(A-7)式(A-8)Si(OCH3)3 Si(OCH3)2 φ φ N=C=〇 N=C=0 Formula (A-7) Formula (A-8)

N=C=0 式(A— 9)N=C=0 (A-9)

Si(OCH3)2 N=C=0 N=C:0 Si(〇CH3)2 r N=C=0 式(A— 1 2 ) 式(A10)式(A — 11) -24- 200941145Si(OCH3)2 N=C=0 N=C:0 Si(〇CH3)2 r N=C=0 Formula (A-1 2 ) Formula (A10) Formula (A-11) -24- 200941145

Si(OCH2CH3)3 SiCl3 CH3SiCI,Si(OCH2CH3)3 SiCl3 CH3SiCI,

Si(OOCCH3)3 N=C=0 式(A— 1 3) N=C=0 式(A—14) N=Cs〇 式(A- 1 5) N=C=0 式(A- 1 6) [化7] ❹Si(OOCCH3)3 N=C=0 Formula (A-1 3) N=C=0 Formula (A-14) N=Cs Formula (A-1 5) N=C=0 Formula (A-1 6) ) [化7] ❹

Si(OCH2CH3)3 iUc:o 式(A- 1 7)Si(OCH2CH3)3 iUc:o formula (A-1 7)

Si(OCH2CH3)3Si(OCH2CH3)3

Si(OCH2CH3)2Si(OCH2CH3)2

Si(OC3H7)3 N=C:0 式(A- 1 8) CH3 Si(OCHCH3)3 N=C=0 式(A- 1 9)Si(OC3H7)3 N=C:0 Formula (A- 18) CH3 Si(OCHCH3)3 N=C=0 Formula (A- 1 9)

Si(OCH2CH3)3) N=C=0 式(A- 2 0) SiCUSi(OCH2CH3)3) N=C=0 Formula (A- 2 0) SiCU

SiCI3 I N=C=0 N=C=0 式(A-2 1)SiCI3 I N=C=0 N=C=0 Equation (A-2 1)

SiCl2 ⑩ N=C=0 式(A- 2 5) N=C=0 式(A— 2 2) SiCl3) N=C=0 式(A-2 6) Si(OOCCH3)3 式(A-2 3>SiCl2 10 N=C=0 Formula (A- 2 5) N=C=0 Formula (A-2 2) SiCl3) N=C=0 Formula (A-2 6) Si(OOCCH3)3 Formula (A-2 3>

Si(OOCCH3)3 N=C=0 式(A- 2 7) N=C:0 式(A — 24) Si(OOCCH3)3 Φ N=C:0 式(A- 2 8)Si(OOCCH3)3 N=C=0 Formula (A- 2 7) N=C:0 Formula (A-24) Si(OOCCH3)3 Φ N=C:0 Formula (A- 2 8)

Si(OOCCH3)2 CH3Si(OOCCH3)2 CH3

Si(OOCC3H7)3 Si(OOCCHCH3)3 N=C=0 式(A- 2 9) N=CsO 式(A— 3 0 ) N=00 式(A— 3 1: N=C=0 式(A- 3 2) -25- 200941145 [化8] ch3 Si(OCH3)3 Si(OCH3)2 Si(OC ^H'9'OCH3 iIh-c-och3 ^ ch3 H3)3 Si(OCH3)2 式(A- 3 3)式(A- 3 4) NH^〇CH3 NH.g_〇CH3 式(A—35)式(A—36) CH3Si(OOCC3H7)3 Si(OOCCHCH3)3 N=C=0 Formula (A- 2 9) N=CsO Formula (A— 3 0 ) N=00 Formula (A—3 1: N=C=0 Formula (A - 3 2) -25- 200941145 [Chemical 8] ch3 Si(OCH3)3 Si(OCH3)2 Si(OC ^H'9'OCH3 iIh-c-och3 ^ ch3 H3)3 Si(OCH3)2 Formula (A - 3 3) Formula (A- 3 4) NH^〇CH3 NH.g_〇CH3 Formula (A-35) (A-36) CH3

Si(OCH3)3 Si(OCH3)2 ch3Si(OCH3)3 Si(OCH3)2 ch3

Si(OCH3)3 Si(OCH3)2Si(OCH3)3 Si(OCH3)2

( _ J( _ J

NH C-0CH3 rv!H C-〇CH3 |ijH C-〇CH3 NH C-OCH3 式(A -7)式(A-38) 〇 ^ (A ά Π 式(A-3 9)式(A—4 0)NH C-0CH3 rv!H C-〇CH3 |ijH C-〇CH3 NH C-OCH3 Formula (A -7) (A-38) 〇^ (A ά Π Formula (A-3 9) (A— 4 0)

Si(OCH3)2Si(OCH3)2

Si(OCH3)2 C2H5 Si(OCH3)2Si(OCH3)2 C2H5 Si(OCH3)2

H C-OCH3 NH C-OCH30 O NHC-OCH3 〇 式(A-41)式(A—42)式(A—43)H C-OCH3 NH C-OCH30 O NHC-OCH3 〇 Type (A-41) (A-42) (A-43)

Si(OCH3)3Si(OCH3)3

Si(OCH3)3Si(OCH3)3

Si(OCH3)3 N=C=0 Si(〇CH3)2 ) NHC-OCH3 〇 式(A- 4 4) Si(OCH3)3 CH3 ch3 NH.C-〇C2H5 NHC-OC4H9 NHC-OCHC2H5 ΝΗλ〇λ5Η3 〇 o O 0 ch3 式(A-45) 式(A-46) 式(A-47) 式(A-48)Si(OCH3)3 N=C=0 Si(〇CH3)2) NHC-OCH3 〇(A-4 4) Si(OCH3)3 CH3 ch3 NH.C-〇C2H5 NHC-OC4H9 NHC-OCHC2H5 ΝΗλ〇λ5Η3 〇o O 0 ch3 type (A-45) type (A-46) type (A-47) type (A-48)

[化9] (Si(OCH2CH3)3[Chemical 9] (Si(OCH2CH3)3

Si(OCH2CH3)3 Si(OCH2CH3)3 Si(OCH2CH3)3 7 7 ^ ch3 h.c-〇ch3 NHrC2Hs NHrC4Hs NHrcHC2Hs 式(A^49)式(a—5〇) 式(A —51) 式(A — 52) 26- 200941145Si(OCH2CH3)3 Si(OCH2CH3)3 Si(OCH2CH3)3 7 7 ^ ch3 hc-〇ch3 NHrC2Hs NHrC4Hs NHrcHC2Hs Formula (A^49) Formula (a-5〇) Formula (A—51) Formula (A— 52 ) 26- 200941145

《(。C h2ch3)3 [2ch3)3《(.C h2ch3)3 [2ch3)3

Si(OCH: nh-c-och3 όSi(OCH: nh-c-och3 ό

Si(OCH2CH3)3 、 CH3 NHC-OCCH3 〜〜 0 CH3 式(a-5 4) nh-c-och3 式(A — 5 3) Si(OCH2CH3)3 Si(OC3H7)3 式(A-5 5) ^OCH2CH3)2 nhc-och3 ό 。 式(A — 5 6 ) ?H3 CH3 Si(OCHCH3)3 Si(OCHCH3)3 H-C-OCHa NH.g^OC2H5 XNHC-〇C2H5 \h-C-〇CH3 iC(A-57) ^(A~58) ^(A?59) ^(A-60) [化 10] ❹ CH3Si(OCH2CH3)3, CH3 NHC-OCCH3~~0 CH3 Formula (a-5 4) nh-c-och3 Formula (A-5 3) Si(OCH2CH3)3 Si(OC3H7)3 Formula (A-5 5) ^OCH2CH3)2 nhc-och3 ό . Formula (A - 5 6 ) ?H3 CH3 Si(OCHCH3)3 Si(OCHCH3)3 HC-OCHa NH.g^OC2H5 XNHC-〇C2H5 \hC-〇CH3 iC(A-57) ^(A~58) ^ (A?59) ^(A-60) [Chemical 10] ❹ CH3

SiCl2 NHC-C S1CI3 r!jH-C-OCH3 Nh C-OCH3 0 o ch3 SiCI3 SiCI2SiCl2 NHC-C S1CI3 r!jH-C-OCH3 Nh C-OCH3 0 o ch3 SiCI3 SiCI2

SiCl3 式(A - 6 i )式(A - 6 2) NHC-OCH3 NH-C-OCHa NH'g-〇CH3 式(A-6 3)式(A-6 4) 式(A-65)SiCl3 Formula (A - 6 i ) Formula (A - 6 2) NHC-OCH3 NH-C-OCHa NH'g-〇CH3 Formula (A-6 3) Formula (A-6 4) Formula (A-65)

SiC13 1SiC13 1

SiCI2SiCI2

SiCI2SiCI2

NsC=0 ,NsC=0,

SiCl3 1 〇 NHC-OCH3 、 δ nhc-och3 NHC-0CH3 nh*9〇ch3 式(A — 6 6) 6 〇 6 式(A-67)式(A-68) 式(A-69)SiCl3 1 〇 NHC-OCH3 , δ nhc-och3 NHC-0CH3 nh*9〇ch3 Formula (A — 6 6) 6 〇 6 Formula (A-67) Formula (A-68) Formula (A-69)

SiCl3SiCl3

SiCl3SiCl3

SiCUSiCU

SiCl 3 \ 7 7 ch3 \ 9h3 nhc-oc2h6 nh-c-oc4h9 nh-c-ochc2h5 NH,S'°5!?H3 o 6 〇 o ch3 式(A — 70) 式(A — 71) 式(A—72) 式(A-73) ch3 Si(OOCCH3)3 I , Si(OOCCH3)2SiCl 3 \ 7 7 ch3 \ 9h3 nhc-oc2h6 nh-c-oc4h9 nh-c-ochc2h5 NH,S'°5!?H3 o 6 〇o ch3 (A — 70) Formula (A — 71) Formula (A —72) Formula (A-73) ch3 Si(OOCCH3)3 I , Si(OOCCH3)2

Si(OOCCH3)3 ^ J n Si(OOCCH3)2 Si(OOCCH3)2 NHC-0CH3 \ mh.c-och3 O NH-C-OCH3 5 NH-C-OCH3 ^JH'C-OCHa 式(A_ 7 5)式(A_7 6) 式(A—74) Ο — ^ 〇 式(A-7 7)式(A_. -27- 200941145 丨 CCH3)3 Si(OOCCH3)3 si(OOCCH3)3 广00CCH3)3 ^(〇occh3)3 s^y 、 、 / ch3 \ ?H3 NH'C-〇C2H5 NH.g*OC4H9 NH.^!-〇iHC2H5 Hf3 式(A_7 9> ό 式(A-8 0) 式(A-8 1) 式(A-8 2) [化 11]Si(OOCCH3)3 ^ J n Si(OOCCH3)2 Si(OOCCH3)2 NHC-0CH3 \ mh.c-och3 O NH-C-OCH3 5 NH-C-OCH3 ^JH'C-OCHa Formula (A_ 7 5 ) (A_7 6) Formula (A-74) Ο — ^ 〇 (A-7 7) (A_. -27- 200941145 丨CCH3)3 Si(OOCCH3)3 si(OOCCH3)3 广CC3)3 ^ (〇occh3)3 s^y , , / ch3 \ ?H3 NH'C-〇C2H5 NH.g*OC4H9 NH.^!-〇iHC2H5 Hf3 Formula (A_7 9> ό Formula (A-8 0) Formula (A -8 1) Formula (A-8 2) [Chem. 11]

Si(OCH3)3 C OSi(OCH3)3 C O

^NH-C-N O 式(A-8 3)^NH-C-N O Formula (A-8 3)

Si(OCH2CH3)3 y 〇xSi(OCH2CH3)3 y 〇x

SjH-C—N〉SjH-C-N>

O 式(A-8 4) ch3O formula (A-8 4) ch3

Si(OCHCH3)3Si(OCHCH3)3

C OC O

^NH-C—N 式(A-8 5) Θ^NH-C-N Formula (A-8 5) Θ

NH~C一N ONH~C-N O

Si(OC3H7)3 式(A— 8 6) 戸 iCI3Si(OC3H7)3 Formula (A-8 6) 戸 iCI3

^NH-C—N O 式(A—8 7)^NH-C—N O (A—8 7)

Si(OOCCH3)3Si(OOCCH3)3

y Oy O

^NH-C—N O 式(A-8 8) 式(1)之水解性有機矽烷的水解縮合物如下所述 [化 12] ❹ ch3 —(s丨i〇i.5) — — (SiOl.o) N=C=0 (SiOi.s) ch3(Si〇,.〇) 式(B_l) N:C:0 式(B-2) N:C:0 式(B-3) NC=0 式(B-4) 小 (Si〇】5) — — (SiOi.o) — + ?H3 —(S*〇i.5) — — (SiOl.o) N:00 N:C:0 式(B-5) 式(Br6) N=C:0 式(B-7) N:C:0 式(B-8) 28- 200941145 r —(SjOi.o) 〒2H5 〇)— 一(Si〇i.〇) N=C=0 N:C:0 N=C=0^NH-C-NO Formula (A-8 8) The hydrolysis condensate of the hydrolyzable organodecane of the formula (1) is as follows [Chemistry 12] ❹ ch3 — (s丨i〇i.5) — — (SiOl. o) N=C=0 (SiOi.s) ch3(Si〇,.〇) Equation (B_l) N:C:0 Equation (B-2) N:C:0 Equation (B-3) NC=0 (B-4) Small (Si〇) 5) — — (SiOi.o) — + ?H3 —(S*〇i.5) — — (SiOl.o) N:00 N:C:0 Equation (B -5) Formula (Br6) N=C:0 Formula (B-7) N:C:0 Formula (B-8) 28- 200941145 r —(SjOi.o) 〒2H5 〇)—One (Si〇i. 〇) N=C=0 N:C:0 N=C=0

N C=0 式(B- 1 2) 式(B-9) 式(B- 1 〇) 式(B- ❹ [化 13] -(SiOis)- ?H3 + 一(SiOl.o)一 一(Sj〇i.5)— ch3 (SjOi.o) NH-C-OCH3 |sJH-C-〇CH3 ^ δ ό nh-c-och3 式(B-l 3) 式(B_ ;L 4) 6 式(B-15) nh-c-och3 0 式(B- 1 6) 小 ?H3 小 iH3 —(Si〇i.5) _ 一 (SiOl.o) — — (SiOl.s) — 一 (SiOl.o)— NH-C-0CH3 NH-C-OCH3 II 0 w J o o 才1 ”、 式(B 一 1 S> 式(B — 1 7) NH-C-OCH3 NH-C-OCH3 II « o 0 式(B- 1 9) 式(b-2 0) ❹ r (Si〇i.〇)— NH-C-OCH3 IIo 式(B-2 1> (s气。)— c2h5 ——(Si〇i .〇) 一 N=C=0 I (SiOi .o) 一 MH-C-OCH3 hJH-C-OCH3 II u O 0 式(B — 22) 式(B—23) nh-c-och3 Ito 式(B—24) A 小 _g>〇15)_ -(S^Oi.s)- -(Sj〇,.5)- — (Sg15)- V V < ch3 < ch3 nh-c-oc2h5 nh-c-oc4h9 Nh-C-〇CHC2H5 NH-C-OC-CH3 A 0 ο O CH3 式(B — 25)式(B_26)式(B-27) ^(B-28) -29- 200941145 [化 14] 小 小NC=0 Formula (B-1 2) Formula (B-9) Formula (B-1 〇) Formula (B- ❹ [Chem. 13] - (SiOis) - ?H3 + One (SiOl.o) one by one (Sj 〇i.5)— ch3 (SjOi.o) NH-C-OCH3 |sJH-C-〇CH3 ^ δ ό nh-c-och3 Formula (Bl 3) Formula (B_ ;L 4) 6 Formula (B-15 ) nh-c-och3 0 Formula (B-1 6) Small? H3 Small iH3 — (Si〇i.5) _ One (SiOl.o) — — (SiOl.s) — One (SiOl.o)— NH -C-0CH3 NH-C-OCH3 II 0 w J oo only 1 ", formula (B-1 S> formula (B-1 7) NH-C-OCH3 NH-C-OCH3 II « o 0 formula (B- 1 9) Formula (b-2 0) ❹ r (Si〇i.〇)—NH-C-OCH3 IIo Formula (B-2 1> (s gas.)—c2h5 ——(Si〇i.〇) N=C=0 I (SiOi .o) MH-C-OCH3 hJH-C-OCH3 II u O 0 Formula (B-22) Formula (B-23) nh-c-och3 Ito Formula (B-24) A small _g>〇15)_ -(S^Oi.s)- -(Sj〇,.5)- — (Sg15)- VV < ch3 < ch3 nh-c-oc2h5 nh-c-oc4h9 Nh -C-〇CHC2H5 NH-C-OC-CH3 A 0 ο O CH3 Formula (B-25) Formula (B_26) Formula (B-27) ^(B-28) -29- 200941145 [Chemistry 14] Small

式(B-3 0) —(Si〇i 5)—(B-3 0) —(Si〇i 5)—

式(B— 2 9) 本發明中上述式(1)所表示的水解性有機矽烷可倂用 上述式(4)及式(5)所表示之群中所選出至少1種的含矽化 ❹ 合物。 即’可併用式(1)所表示的水解性有機矽烷、其水解 物或其水解縮合物’與式(4)及式(5)所表示之群中所選出 至少1種的含砂化合物、其水解物或其水解縮合物。 上述式(1)之水解性有機矽烷,與式(4)及/或式(5)之 含矽化合物的比率可爲莫耳比1: 0至1: 200。式(4)及式 (5)所成之群中所選出的含矽化合物較佳爲,使用式(4)的 含砂化合物。 © 此等又以使用水解縮合物(聚有機矽氧烷之聚合物)爲 佳’因此較佳爲使用式(1)所表示的水解性有機矽烷及式 . (4)所表示的含矽化合物之水解縮合物(聚有機矽氧烷之聚 合物)。 式(4)及式(5)所表示的含矽化合物中R6、R7、R8及 r9所表示之烷基、芳基、鹵化烷基、鹵化芳基、鏈烯基 、或具有環氧基、丙烯醯基、甲基丙烯醯基、巯基、胺基 或氰基之有機基,以及水解性基所含之烷氧基、醯氧基或 -30- 200941145 鹵原子可如上述式(1)所記載之例示。 式(4)所表示的含矽化合物如,四甲氧基矽烷、四氯 矽烷、四乙醯氧基矽烷、四乙氧基矽烷、四η-丙氧基矽 烷、四異丙氧基矽烷、四η-丁氧基.矽烷、四乙醯氧基矽 烷、甲基三甲氧基矽烷、甲基三氯矽烷、甲基三乙醯氧基 矽烷、甲基三丙氧基矽烷、甲基三乙醯氧基矽烷、甲基三 丁氧基矽烷、甲基三丙氧基矽烷 '甲基三戊氧基矽烷、甲 φ 基三苯氧基矽烷、甲基三苄氧基矽烷、甲基三苯乙氧基矽 烷、環氧丙氧基甲基三甲氧基矽烷、環氧丙氧基甲基三乙 氧基矽烷、α-環氧丙氧基乙基三甲氧基矽烷、α-環氧丙 氧基乙基三乙氧基矽烷、環氧丙氧基乙基三甲氧基矽 烷、yS-環氧丙氧基乙基三乙氧基矽烷、環氧丙氧基丙 基三甲氧基矽烷、α-環氧丙氧基丙基三乙氧基矽烷、泠-環氧丙氧基丙基三甲氧基矽烷、ys-環氧丙氧基丙基三乙 氧基矽烷、r-環氧丙氧基丙基三甲氧基矽烷、r-環氧丙 Φ 氧基丙基三乙氧基矽烷、r -環氧丙氧基丙基三丙氧基矽 烷、r-環氧丙氧基丙基三丁氧基矽烷、r-環氧丙氧基丙 基三苯氧基矽烷、α-環氧丙氧基丁基三甲氧基矽烷、α-環氧丙氧基丁基三乙氧基矽烷' /3-環氧丙氧基丁基三乙 氧基矽烷、r-環氧丙氧基丁基三甲氧基矽烷、r-環氧丙 氧基丁基三乙氧基矽烷、環氧丙氧基丁基三甲氧基矽 烷、5-環氧丙氧基丁基三乙氧基矽烷' (3,4-環氧環己基) 甲基三甲氧基矽烷、(3,4-環氧環己基)甲基三乙氧基矽烷 、召-(3,4-環氧環己基)乙基三甲氧基矽烷、卢-(3,4-環氧 -31 - 200941145 環己基)乙基三乙氧基矽烷、々-(3,4-環氧環己基)乙基三 丙氧基矽烷、/3 -(3,4-環氧環己基)乙基三丁氧基矽烷、 冷-(3,4-環氧環己基)乙基三苯氧基矽烷、r _(3,4-環氧環 己基)丙基三甲氧基矽烷、r-(3,4-環氧環己基)丙基三乙 氧基矽烷、6-(3,4-環氧環己基)丁基三甲氧基矽烷、5-(3,4-環氧環己基)丁基三乙氧基矽烷、環氧丙氧基甲基甲 基二甲氧基矽烷、環氧丙氧基甲基甲基二乙氧基矽烷、 α-環氧丙氧基乙基甲基二甲氧基矽烷、α-環氧丙氧基乙 ❹ 基甲基二乙氧基矽烷、/3-環氧丙氧基乙基甲基二甲氧基 矽烷、/3-環氧丙氧基乙基乙基二甲氧基矽烷、環氧丙 氧基丙基甲基二甲氧基矽烷、α-環氧丙氧基丙基甲基二 乙氧基矽烷、環氧丙氧基丙基甲基二甲氧基矽烷、冷_ 環氧丙氧基丙基乙基二甲氧基矽烷、環氧丙氧基丙基 甲基二甲氧基矽烷、γ-環氧丙氧基丙基甲基二乙氧基矽 烷、r-環氧丙氧基丙基甲基二丙氧基矽烷、環氧丙氧 基丙基甲基二丁氧基矽烷、r -環氧丙氧基丙基甲基二苯 〇 氧基矽烷、r-環氧丙氧基丙基乙基二甲氧基矽烷、環 氧丙氧基丙基乙基二乙氧基矽烷、τ-環氧丙氧基丙基乙 烯基二甲氧基矽烷、r-環氧丙氧基丙基乙烯基二乙氧基 矽烷、乙基三甲氧基矽烷、乙基三乙氧基矽烷、乙烯基三 甲氧基矽烷、乙烯基三氯矽烷、乙烯基三乙醯氧基矽烷、 乙烯基三乙氧基矽烷、乙烯基三乙醯氧基矽烷、苯基三甲 氧基矽烷、苯基三氯矽烷、苯基三乙醯氧基矽烷、苯基三 乙氧基矽烷、苯基三乙醯氧基矽烷、7-氯丙基三甲氧基 -32- 200941145 矽烷、r-氯丙基三乙氧基矽烷、r-氯丙基三乙醯 烷、3,3,3-三氟丙基三甲氧基矽烷、r-甲基丙烯氧 三甲氧基矽烷、r-锍基丙基三甲氧基矽烷、r-锍 三乙氧基矽烷、氰基乙基三乙氧基矽烷、氯甲 氧基矽烷、氯甲基三乙氧基矽烷、ν·(θ-胺基乙基 基丙基三甲氧基矽烷、n-(/S-胺基乙基)r -胺基丙 二甲氧基矽烷、7-胺基丙基甲基二甲氧基矽烷、 φ 胺基乙基)7-胺基丙基甲基三乙氧基矽烷、r-胺 三氯矽烷、r-胺基丙基三乙醯氧基矽烷、 基)τ-胺基丙基甲基二乙氧基矽烷、Ν-(θ-胺基乙 胺基丙基甲基二氯矽烷、ν-(/3 -胺基乙基)r -胺基 基二乙醯氧基矽烷、7-胺基丙基甲基二甲氧基矽大 胺基丙基甲基二氯矽烷、N-(/3-胺基乙基)r -胺基 乙氧基矽烷、N-(yS-胺基乙基)r-胺基丙基甲基二 矽烷、二甲基二甲氧基矽烷、苯基甲基二甲氧基矽 Q 甲基二乙氧基矽烷、苯基甲基二乙氧基矽烷、《Τ-甲基二甲氧基矽烷、r-氯丙基甲基二乙氧基矽烷 基二乙醯氧基矽烷、甲基丙烯氧基丙基甲基二 矽烷、r-甲基丙烯氧基丙基甲基二乙氧基矽烷、〔 丙基甲基二甲氧基矽烷、r-锍基甲基二乙氧基矽 基乙烯基二甲氧基矽烷、甲基乙烯基二乙氧基矽烷 式(5)所表示的含矽化合物如,伸甲基雙三甲 烷、伸甲基雙三氯矽烷、伸甲基雙三乙醯氧基矽烷 基雙三乙氧基矽烷、伸乙基雙三氯矽烷、伸乙基雙 氧基砂 基丙基 基丙基 基三甲 )r -胺 基甲基 Ν-( β -基丙基 胺基乙 基)r -丙基甲 完、r -丙基三 乙氧基 烷、二 氯丙基 、二甲 甲氧基 r -锍基 烷、甲 等。 氧基矽 、伸乙 三乙醯 -33- 200941145 氧基矽烷、伸丙基雙三乙氧基矽烷、伸丁基雙三甲氧基矽 烷、伸苯基雙三甲氧基矽烷、伸苯基雙三乙氧基矽烷、伸 苯基雙甲基二乙氧基矽烷、伸苯基雙甲基二甲氧基矽烷、 伸萘基雙三甲氧基矽烷、雙三甲氧基二矽烷、雙三乙氧基 二矽烷、雙乙基二乙氧基二矽烷、雙甲基二甲氧基二矽烷 等。 式U)所表示的水解性有機矽烷及式(4)所表示的含矽 化合物之水解縮合物的具體例如下所述。 [化 15] 小 fH3 (SiO2.0) 一 (SiOj 〇)— I N=C=0 式(C- 2) ——(S5O2.0)— (SiOi.s)— 吵 N=C=0 式(C- 1) [化 16] 小 —(SiO2.0)— ΨIn the present invention, the hydrolyzable organic decane represented by the above formula (1) may be at least one selected from the group consisting of the above formulas (4) and (5). Things. In other words, the hydrolyzable organic decane represented by the formula (1), the hydrolyzate thereof or the hydrolysis condensate thereof, and at least one sand-containing compound selected from the group represented by the formulas (4) and (5), Its hydrolyzate or its hydrolysis condensate. The ratio of the hydrolyzable organodecane of the above formula (1) to the ruthenium-containing compound of the formula (4) and/or the formula (5) may be a molar ratio of 1:0 to 1:200. The ruthenium-containing compound selected from the group consisting of the formula (4) and the formula (5) is preferably a sand-containing compound of the formula (4). It is preferable to use a hydrolysis condensate (polymer of polyorganosiloxane). Therefore, it is preferred to use the hydrolyzable organic decane represented by the formula (1) and the ruthenium-containing compound represented by the formula (4). Hydrolyzed condensate (polymer of polyorganosiloxane). An alkyl group, an aryl group, an alkyl halide group, a halogenated aryl group, an alkenyl group or an epoxy group represented by R6, R7, R8 and r9 in the antimony-containing compound represented by the formula (4) and the formula (5), An organic group of an acryl fluorenyl group, a methacryl fluorenyl group, a fluorenyl group, an amine group or a cyano group, and an alkoxy group, a decyloxy group or a -30-200941145 halogen atom contained in the hydrolyzable group may be as defined in the above formula (1) An illustration of the record. The ruthenium-containing compound represented by the formula (4), for example, tetramethoxy decane, tetrachloro decane, tetraethoxy decane, tetraethoxy decane, tetra η-propoxy decane, tetraisopropoxy decane, Tetra-n-butoxy decane, tetraethoxy decane, methyl trimethoxy decane, methyl trichloro decane, methyl triethoxy decane, methyl tripropoxy decane, methyl triethyl醯 methoxy decane, methyl tributoxy decane, methyl tripropoxy decane 'methyl tripentyloxy decane, methyl 1,3-triphenoxy decane, methyl tribenzyloxy decane, methyl triphenyl Ethoxy decane, propylene oxide methyl trimethoxy decane, glycidoxymethyl triethoxy decane, α-glycidoxyethyl trimethoxy decane, α-glycidoxy Ethyl triethoxy decane, glycidoxyethyl trimethoxy decane, yS-glycidoxyethyl triethoxy decane, glycidoxypropyl trimethoxy decane, α- Glycidoxypropyltriethoxydecane, fluorene-glycidoxypropyltrimethoxydecane, ys-glycidoxypropyltriethoxydecane, r-glycidoxypropane Base three Oxydecane, r-glycidyloxypropyltriethoxydecane, r-glycidoxypropyltripropoxydecane, r-glycidoxypropyltributoxydecane, R-glycidoxypropyltriphenoxydecane, α-glycidoxybutyltrimethoxydecane, α-glycidoxybutyltriethoxydecane' /3-epoxypropane Oxybutyl triethoxy decane, r-glycidoxybutyl trimethoxy decane, r-glycidoxybutyl triethoxy decane, glycidoxybutyl trimethoxy decane , 5-glycidoxybutyltriethoxydecane' (3,4-epoxycyclohexyl)methyltrimethoxydecane, (3,4-epoxycyclohexyl)methyltriethoxydecane , (-(3,4-epoxycyclohexyl)ethyltrimethoxydecane, Lu-(3,4-epoxy-31 - 200941145 cyclohexyl)ethyltriethoxydecane, 々-(3,4 -Epoxycyclohexyl)ethyltripropoxydecane, /3 -(3,4-epoxycyclohexyl)ethyltributoxydecane, cold-(3,4-epoxycyclohexyl)ethyl three Phenoxydecane, r _(3,4-epoxycyclohexyl)propyltrimethoxydecane, r-(3,4-epoxycyclohexyl)propyltriethoxy Decane, 6-(3,4-epoxycyclohexyl)butyltrimethoxydecane, 5-(3,4-epoxycyclohexyl)butyltriethoxydecane, glycidoxymethylmethyl Dimethoxydecane, glycidoxymethylmethyldiethoxydecane, α-glycidoxyethylmethyldimethoxydecane, α-glycidoxyethoxymethylmethyl Diethoxydecane, /3-glycidoxyethylmethyldimethoxydecane, /3-glycidoxyethylethyldimethoxydecane, glycidoxypropylmethyl Dimethoxydecane, α-glycidoxypropylmethyldiethoxydecane, glycidoxypropylmethyldimethoxydecane, cold-glycidoxypropylethyl Dimethoxydecane, glycidoxypropylmethyldimethoxydecane, γ-glycidoxypropylmethyldiethoxydecane, r-glycidoxypropylmethyldi Propoxydecane, glycidoxypropylmethyldibutoxydecane, r-glycidoxypropylmethyldiphenyloxyoxydecane, r-glycidoxypropylethyldi Methoxydecane, glycidoxypropylethyldiethoxydecane, τ-glycidoxy Vinyl dimethoxy decane, r-glycidoxypropyl vinyl diethoxy decane, ethyl trimethoxy decane, ethyl triethoxy decane, vinyl trimethoxy decane, vinyl Trichlorodecane, vinyl triethoxy decane, vinyl triethoxy decane, vinyl triethoxy decane, phenyl trimethoxy decane, phenyl trichloro decane, phenyl triethoxy methoxy Decane, phenyltriethoxydecane, phenyltriethoxydecane, 7-chloropropyltrimethoxy-32- 200941145 decane, r-chloropropyltriethoxydecane, r-chloropropyltri Etane, 3,3,3-trifluoropropyltrimethoxydecane, r-methylpropoxytrimethoxydecane, r-mercaptopropyltrimethoxydecane, r-fluorene triethoxydecane, Cyanoethyl triethoxy decane, chloromethoxy decane, chloromethyl triethoxy decane, ν·(θ-aminoethylpropyltrimethoxydecane, n-(/S-amino group Ethyl)r-aminopropyldimethoxydecane, 7-aminopropylmethyldimethoxydecane, φ aminoethyl)7-aminopropylmethyltriethoxydecane, r- Amine trichlorodecane, r-amino group Propyltriethoxydecane, yl)-aminopropylmethyldiethoxydecane, Ν-(θ-aminoethylaminopropylmethyldichlorodecane, ν-(/3-amine Benzyl)r-aminodiethoxymethoxydecane, 7-aminopropylmethyldimethoxyindole, large aminopropylmethyldichlorodecane, N-(/3-aminoethyl r-Aminoethoxy decane, N-(yS-aminoethyl)r-aminopropylmethyldioxane, dimethyldimethoxydecane, phenylmethyldimethoxyindole Q Methyl diethoxy decane, phenylmethyl diethoxy decane, Τ-methyl dimethoxy decane, r-chloropropyl methyl diethoxy fluorenyl diethoxy decane, A Propenyloxypropylmethyldioxane, r-methylpropoxypropylmethyldiethoxydecane, [propylmethyldimethoxydecane, r-mercaptomethyldiethoxyanthracene a vinyl-containing dimethoxy decane, methylvinyl diethoxy decane, and a ruthenium-containing compound represented by the formula (5), such as methylditrimethane, methyldichloromethane, and methylidene Ethyloxy decyl bis-triethoxy decane, ethyl bis-trichloro decane, ethyl Dioxyl propylpropylpropyltrimethyl)r-aminomethylhydrazine-(β-propylpropylaminoethyl)r-propylmethyl, r-propyltriethoxyalkane, Chloropropyl, dimethylmethoxy r-decyl, a, etc. Oxime, ezetidine-33- 200941145 oxoxane, propyl bis-triethoxy decane, butyl bis-trimethoxy decane, phenyl ditrimethoxy decane, phenyl phenyl Ethoxy decane, phenyl dimethyl diethoxy decane, phenyl bis dimethyl dimethoxy decane, dinaphthyl bis trimethoxy decane, bis trimethoxy dioxane, bis triethoxy Dioxane, bisethyldiethoxydioxane, bismethyldimethoxydioxane, and the like. Specific examples of the hydrolyzable organodecane represented by the formula U) and the hydrolysis-condensation product of the ruthenium-containing compound represented by the formula (4) are as follows. Small fH3 (SiO2.0) - (SiOj 〇) - IN = C = 0 (C-2) - (S5O2.0) - (SiOi.s) - noisy N = C = 0 C-1) [Chem. 16] Small - (SiO2.0) - Ψ

(^1.5)— N=CO 式(C 一 3) ?H3 小 ——(SiO, 5) —(SjO, 5) N=C=0 式;(C-5) 小 ?H3 (Si〇2.0)_(Sio, 〇) 屮 <> N=C=0 式(C-4) r ?Ha (Si〇15)_(s;o,0) N:C=0 式(C_ 6)(^1.5)—N=CO Formula (C-3) ?H3 Small——(SiO, 5) —(SjO, 5) N=C=0 Equation; (C-5) Small?H3 (Si〇2.0) _(Sio, 〇) 屮<> N=C=0 Equation (C-4) r ?Ha (Si〇15)_(s;o,0) N:C=0 Equation (C_ 6)

-34- 200941145 小 (Si〇2.o) — (Sj〇】.5) N:C=0 式(C-7〉 C2H5 〒H3 (SiOI5)—(SiO^o) —"ψ N=C=0 式(C- 8) [化 17]-34- 200941145 小(Si〇2.o) — (Sj〇).5) N:C=0 (C-7> C2H5 〒H3 (SiOI5)—(SiO^o) —"ψ N=C =0 Formula (C-8) [Chem. 17]

^ f (S1O2.0) —(SjO10)·屮 L ch3 (Si〇2.〇)— (SiOi.5)— (si〇i.5) — (Sj〇i.〇) 屮 屮 屮 N=C=0 式(c- 9) N=C=0 式(c- 1 Ο) 小 ?Ha T ?2Hs (SiO20) — (SiOi.5)— (SiOj.s)—(SiO,.〇) 屮 屮屮人 N=C=0 式(C- 1 1) CH3 丫 N=C=0 (SiO, .5)— (SiO,.5) — (SiO, 〇)— ❿ 小 —(Si〇2.o) Ψ 屮丄 N=C=0 式(C- 1 2) [化 18] iIh-c-och3 o 屮 rh 小 —(Si〇2.o)—(SjOi.5)— 式(C—l 3) 小 ?H3 -(Si〇2.〇)— (Sj〇i.〇)— NH-C-OCH3 式(C — 1 4) ch3 -(Si〇2.〇)— (Sj〇】.5) Φ .(s】〇2·。)一(Sj〇l,0)— t s 式(C一 1 5) nh-c-och2ch3 NH-C-OCH2CH3 式(c- 1 6) -35- 200941145 [化 19] r ίΗ3 小 _(Si015) —(Sj〇i.5) ch3 (Si〇! 5)— (Si〇!.〇)— o NH-C-OCH3 式(c- 1 6) 6 NHYOCH3 式(C 一 1 7 ) 小 _ (Si〇2‘〇) — (Sj〇i,5) 〒2H5 〒H3 —(SiOl.s)—(SjOi.o) NH-C-OCH3 式(C 一 1 8) o NH-C-OCH36 式(C — 1 9〉 [化 20] . r —(Si〇2.〇) — (Si〇i 〇) 屮 ^ 小 (Si〇2.o)屮 9 ch3 (SiOt.5)— (Si〇i 5) _ (SiO| 〇)屮屮 4 式(C— 2 0> NH-C-OCH36 式(C 一 2 nh-c-och3 Ito 小 (Si〇2.〇) Ψ CH3 丫 c2h5 (SiOl.5)— (Si〇i.5)—(Si〇i〇) 式(C-2 2)^ f (S1O2.0) —(SjO10)·屮L ch3 (Si〇2.〇)—(SiOi.5)—(si〇i.5) — (Sj〇i.〇) 屮屮屮N=C =0 Equation (c-9) N=C=0 Equation (c-1 Ο) Small?Ha T ?2Hs (SiO20) — (SiOi.5)—(SiOj.s)—(SiO,.〇) 屮屮屮人N=C=0 Equation (C-1 1) CH3 丫N=C=0 (SiO, .5)—(SiO,.5) — (SiO, 〇)— ❿ Small—(Si〇2.o ) Ψ 屮丄 N = C = 0 (C - 1 2) [Chemical 18] iIh-c-och3 o 屮rh small - (Si〇2.o) - (SjOi.5) - (C - l 3 ) small? H3 -(Si〇2.〇)—(Sj〇i.〇)— NH-C-OCH3 (C—1 4) ch3 -(Si〇2.〇)—(Sj〇).5) Φ .( s]〇2·.)一(Sj〇l,0)- ts (C-15) nh-c-och2ch3 NH-C-OCH2CH3 (c-1 6) -35- 200941145 [化19] r Η3 small _(Si015) —(Sj〇i.5) ch3 (Si〇! 5)—(Si〇!.〇)— o NH-C-OCH3 (c- 1 6) 6 NHYOCH3 (C-1 7) Small _ (Si〇2'〇) — (Sj〇i, 5) 〒2H5 〒H3 —(SiOl.s)—(SjOi.o) NH-C-OCH3 Formula (C-1 8) o NH- C-OCH36 Formula (C-1 9> [Chem. 20] . r —(Si〇2.〇) — (Si〇i 〇) 屮^ Small (Si〇2.o)屮9 ch3 (SiOt.5)— (Si〇i 5) _ (SiO| 〇) 屮屮 4 Formula (C-2 0> NH-C-OCH36 Formula (C-2 nh-c-och3 Ito Small (Si〇2.〇) Ψ CH3 丫c2h5 (SiOl.5)—(Si〇i.5)—(Si〇i〇) Formula (C-2 2)

Ψ Ψ A NH-C-OCH3 小 ch3 9Ψ Ψ A NH-C-OCH3 small ch3 9

N=C=0I —(S1O2.0) — (Si〇i.5)— (S1O1.5) — (SiOlt0)Ψ 屮屮 式(C- 2 3>N=C=0I —(S1O2.0) — (Si〇i.5)—(S1O1.5) — (SiOlt0)Ψ 屮屮 (C-2 2>

NH-C-OCH3 11 O ch3 小 (Si〇2〇) — (SiOi.5)— (SiOi.s) — (Si〇i 5)— Ψ 屮屮 4 式(C—2 4) NH-C-OCH3 11NH-C-OCH3 11 O ch3 small (Si〇2〇) — (SiOi.5)—(SiOi.s) — (Si〇i 5)—Ψ 屮屮4 Formula (C—2 4) NH-C- OCH3 11

O -36- 200941145 [化 21] rh ch3 小 —(Si〇2.〇) — (Si01.5)— (Si〇l_5) — (Sj〇i_5)— 式(C—2 5) NH-C-〇C2H5 δ ❹ /4ν —(Si〇2.〇)屮 ?H3 Y 小 (SiOu)— (Si〇i.5) — (Sj〇i.5)屮 屮 式(C — 2 6) NH-C-OC4H9 δ A (S1O2.0)屮 ?H3 T ^ (SiOi.5)— (SiOi.5)—(SiOj 5) ch3 式(c- 2 7) NH-C-OCHC2H5 〇 o (Si〇2.o) ch3 (S1O1.5)— (Si〇l.5) — (SiOi.5) _ψ ψ 今 \ ?H3 式(C—28) NH-C-OC-CH3 o ch3 [化 22] ΨO -36- 200941145 [Chem. 21] rh ch3 small - (Si〇2.〇) - (Si01.5) - (Si〇l_5) - (Sj〇i_5) - Formula (C-25) NH-C- 〇C2H5 δ ❹ /4ν —(Si〇2.〇)屮?H3 Y small (SiOu)—(Si〇i.5) — (Sj〇i.5) 屮屮 (C — 2 6) NH-C -OC4H9 δ A (S1O2.0)屮H3 T ^ (SiOi.5)—(SiOi.5)—(SiOj 5) ch3 Formula (c- 2 7) NH-C-OCHC2H5 〇o (Si〇2. o) ch3 (S1O1.5)—(Si〇l.5) — (SiOi.5) _ψ ψ Today \ ?H3 Formula (C-28) NH-C-OC-CH3 o ch3 [化22] Ψ

'NH-C-N 式(C- 2 9) -37- 200941145 小'NH-C-N type (C- 2 9) -37- 200941145 small

(Si〇2.〇)—(Si〇i.5) —(SiOvs)- ψ ψ ψ 小 (Si〇,.5) Ο. 式(C-3 0)(Si〇2.〇)—(Si〇i.5) —(SiOvs)- ψ ψ ψ Small (Si〇, .5) Ο. Formula (C-3 0)

'NH-C-N 式(1)之水解性有機矽烷的水解縮合物(聚有機矽氧烷) ,或式(1)之水解性有機矽烷與式(4)及/或式(5)之含矽化 合物的水解縮合物(聚有機矽氧烷)可爲,重量平均分子量 〇 1 000至1 000000或1 000至1 00000之縮合物。此等分子 量係由GPC分析苯乙烯換算而得之分子量。 GPC之測定條件可爲,例如使用GPC裝置(商品名 HLC-8220GPC,東索股份公司製)、GPC柱(商品名 ShodexKF803L、KF802、KF801,昭和電工製),以柱溫度 爲4 0 °C、溶離液(溶出溶劑)爲四氫呋喃、流量(流速 )1 •OmL/min ’標準試料爲聚苯乙烯(昭和電工股份公司製) 之條件進行。 ❹ 烷氧基矽烷基或醯氧基矽烷基之水解中,相對於水解 性每1莫耳使用0.5至100莫耳,較佳爲1至10莫耳之 水。 又,相對於水解性基每1莫耳使用0.001至10莫耳 ’較佳爲0.001至1莫耳之水解觸媒。 進行水解及縮合時之反應溫度一般爲20至8〇t:。 水解可爲完全水解’或部分水解。即’水解縮合物中 可殘存水解物及單體。 -38- 200941145 水解縮合時可使用觸媒。 水解觸媒如,金屬螯合化合物、有機酸、無機酸、有 機鹼、無機鹼。 水解觸媒用之金屬螯合化合物如,三乙氧基•一(乙 醯丙酮酸鹽)鈦、三-η-丙氧基•一(乙醯丙酮酸鹽)鈦、三-i-丙氧基.一(乙醯丙酮酸鹽)鈦、三-η-丁氧基•一(乙醯 丙酮酸鹽)鈦、三- sec-丁氧基•一(乙醯丙酮酸鹽)鈦、三-t-丁氧基•一(乙醯丙酮酸鹽)鈦、二乙氧基•雙J·乙醯丙酮 酸鹽)鈦、二-η-丙氧基•雙(乙醯丙酮酸鹽)鈦、二-i-丙氧 基•雙(乙醯丙酮酸鹽)鈦、二-η-丁氧基•雙(乙醯丙酮酸 鹽)鈦、二-sec-丁氧基.雙(乙醯丙酮酸鹽)鈦、二-t-丁氧 基•雙(乙醯丙酮酸鹽)鈦、一乙氧基•三(乙醢丙酮酸鹽) 鈦、一 -η-丙氧基.三(乙醯丙酮酸鹽)鈦、一 -i-丙氧基· 三(乙醯丙酮酸鹽)鈦、一 -η-丁氧基•三(乙醯丙酮酸鹽)鈦 、一 - sec-丁氧基•三(乙醯丙酮酸鹽')鈦、一 -t-丁氧基•三 (乙醯丙酮酸鹽)鈦、四(乙醯丙酮酸鹽)鈦、三乙氧基•一( 乙基乙醯乙酸鹽)鈦、三-η-丙氧基•一(乙基乙醯乙酸鹽) 鈦、三-i-丙氧基•一(乙基乙醯乙酸鹽)鈦、三-η_ 丁氧基 •一(乙基乙酿乙酸鹽)鈦、三-sec-丁氧基•一(乙基乙醯 乙酸鹽)鈦、三-t-丁氧基•一(乙基乙醯乙酸鹽)鈦、二乙 氧基•雙(乙基乙醯乙酸鹽)鈦、二-η-丙氧基•雙(乙基乙 醯乙酸鹽)鈦、二-i-丙氧基•雙(乙基乙醯乙酸鹽)鈦、二-n-丁氧基•雙(乙基乙醯乙酸鹽)鈦、二-sec-丁氧基•雙( 乙基乙醯乙酸鹽)鈦、二-t-丁氧基•雙(乙基乙醯乙酸鹽) -39- 200941145 鈦、一乙氧基•三(乙基乙醯乙酸鹽)鈦、一 -η-丙氧基•三 (乙基乙醯乙酸鹽)鈦、一 -i-丙氧基•三(乙基乙醯乙酸鹽) 鈦、一 -η-丁氧基•三(乙基乙醯乙酸鹽)鈦、一 -sec-丁氧 基•三(乙基乙醯乙酸鹽)鈦、一 -t-丁氧基•三(乙基乙醯 乙酸鹽)鈦、四(乙基乙醯乙酸鹽)鈦、一(乙醯丙酮酸鹽)三 (乙基乙醯乙酸鹽)鈦、雙(乙醯丙酮酸鹽)雙(乙基乙醯乙酸 鹽)鈦、三(乙醯丙酮酸鹽)一(乙基乙醯乙酸鹽)鈦等鈦螯合 化合物;三乙氧基•一(乙醯丙酮酸鹽)鍩、三-η-丙氧基· 一(乙醯丙酮酸鹽)銷、三-i-丙氧基•一(乙醯丙酮酸鹽)鍩 、三-η-丁氧基•一(乙醯丙酮酸鹽)鍩、三- sec-丁氧基· 一(乙醯丙酮酸鹽)锆、三-t-丁氧基•一(乙醯丙酮酸鹽)鉻 、二乙氧基•雙(乙醯丙酮酸鹽)锆、二-η-丙氧基•雙(乙 醯丙酮酸鹽)锆、二-i-丙氧基•雙(乙醯丙酮酸鹽)锆、二-n-丁氧基•雙(乙醯丙酮酸鹽)锆、二- sec-丁氧基•雙(乙 醯丙酮酸鹽)锆、二-t-丁氧基•雙(乙醯丙酮酸鹽)鉻、一 乙氧基•三(乙醯丙酮酸鹽)锆、一 -η-丙氧基•三(乙醯丙 酮酸鹽)锆、一 -i-丙氧基•三(乙醯丙酮酸鹽)锆、一 - η-丁 氧基•三(乙醯丙酮酸鹽)锆、一 - sec-丁氧基•三(乙醯丙 酮酸鹽)锆、一 -t-丁氧基•三(乙醯丙酮酸鹽)銷、四(乙醯 丙酮酸鹽)鍩、三乙氧基•一(乙基乙醯乙酸鹽)銷、三-n-丙氧基•一(乙基乙醯乙酸鹽)锆、三-i-丙氧基•一(乙基 乙醯乙酸鹽)鉻、三-η-丁氧基•一(乙基乙醯乙酸鹽)銷、 三-sec-丁氧基•一(乙基乙醯乙酸鹽)锆、三-t-丁氧基•一 (乙基乙醯乙酸鹽)锆、二乙氧基•雙(乙基乙醯乙酸鹽)锆 -40- 200941145 、二-η-丙氧基.雙(乙基乙醯乙酸鹽)銷、二-i_丙氧基. 雙(乙基乙醯乙酸鹽)鍩 '二-η-丁氧基•雙(乙基乙醯乙酸 鹽)锆、二- sec-丁氧基•雙(乙基乙醯乙酸鹽)锆、二-t-丁 氧基•雙(乙基乙醯乙酸鹽)锆、一乙氧基•三(乙基乙醯 乙酸鹽)鍩、一 -η-丙氧基•三(乙基乙醯乙酸鹽)鉻、一小 丙氧基•三(乙基乙醯乙酸鹽)銷、一 -η-丁氧基•三(乙基 乙醯乙酸鹽)鉻、一 -sec-丁氧基•三(乙基乙醯乙酸鹽)鉻 φ 、一 -t-丁氧基•三(乙基乙醯乙酸鹽)锆、四(乙基乙醯乙 酸鹽)锆、一(乙醯丙酮酸鹽)三(乙基乙醯乙酸鹽)鉻、雙( 乙醯丙酮酸鹽)雙(乙基乙醯乙酸鹽)鉻、三(乙醯丙酮酸鹽) 一(乙基乙醯乙酸鹽)锆等锆螯合化合物;三(乙醯丙酮酸 鹽)鋁、三(乙基乙醯乙酸鹽)鋁等鋁螫合化合物等。 水解觸媒用之有機酸如,乙酸、丙酸、丁酸、戊酸、 己酸、庚酸、辛酸、壬酸、癸酸、草酸、馬來酸、甲基丙 二酸、己二酸、癸二酸、沒食子酸、丁酸、苯六酸、花生 Q 浸烯酸、莽草酸、2-乙基己酸、油酸、硬脂酸、亞油酸、 亞麻酸、水楊酸、安息香酸、p -胺基安息香酸、p -甲苯磺 酸、苯磺酸、一氯乙酸、二氯乙酸、三氯乙酸、三氟乙酸 、甲酸、丙二酸、磺酸、酞酸、富馬酸、檸檬酸、酒石酸 等。 水解觸媒用之無機酸如,鹽酸、硝酸、硫酸、氫氟酸 、磷酸等。 水解觸媒用之有機鹼如,吡啶、吡咯、哌嗪、吡咯院 、哌啶、皮考啉、三甲基胺、三乙基胺、—乙醇胺、二乙 -41 - 200941145 醇胺、二甲基一乙醇胺、一甲基二乙醇胺、三乙醇胺、二 氮雜二環辛烷、二氮雜二環壬烷、二氮雜二環十一烯、四 甲基銨氫氧化物等。無機鹼如,氨、氫氧化鈉、氫氧化鉀 、氫氧化鋇、氫氧化鈣等。此等觸媒內較佳爲金屬螯合化 合物、有機酸、無機酸,又此等可1種或2種以上同時使 用。 水解所使用的有機溶劑如,η-戊烷、i-戊烷、η-己烷 、i-己烷、η-庚烷、i-庚烷、2,2,4-三甲基戊烷、η-辛烷、 ❿ i-辛烷、環己烷、甲基環己烷等脂肪族碳化氫系溶劑;苯 、甲苯、二甲苯、乙基苯、三甲基苯、甲基乙基苯、η-丙 基苯、i-丙基苯、二乙基苯、i-丁基苯、三乙基苯、二 丙基苯、η-戊基萘、三甲基苯等芳香族碳化氫系溶劑;甲 醇、乙醇、η-丙醇、i-丙醇、η-丁醇、i-丁醇、sec-丁醇、 t-丁醇、η-戊醇、i-戊醇、2-甲基丁醇、sec-戊醇、t-戊醇 、3-甲氧基丁醇、η-己醇、2-甲基戊醇、sec-己醇、2-乙 基丁醇、sec-庚醇、庚醇-3、η-辛醇、2-乙基己醇、sec- Q 辛醇、η-壬基醇、2,6-二甲基庚醇-4、η-癸醇、Sec-十一烷 基醇、三甲基壬基醇、sec-十四烷基醇、sec-十七烷基醇 、苯酚、環己醇、甲基環己醇、3,3,5-三甲基環己醇、苄 基醇、苯基甲基卡必醇、二丙酮醇、甲酚等單醇系溶劑; 乙二醇、丙二醇、1,3-丁二醇、戊二醇- 2,4、2-甲基戊二 醇-2,4、己二醇-2,5、庚二醇-2,4、2-乙基己二醇-1,3、二 乙二醇、二丙二醇、三乙二醇、三丙二醇、甘油等多價醇 系溶劑;丙酮、甲基乙基酮、甲基-η -丙基酮、甲基-n-丁 -42- 200941145 基酮、二乙基酮、甲基-i-丁基酮、甲基-η-戊基嗣、乙基-η-丁基酮、甲基- η-己基酮、二小丁基酮、三甲基壬酮、 環己酮、甲基環己酮、2,4-戊二酮、丙酮基丙酮、二丙酮 醇、乙醯苯、葑酮等酮系溶劑;乙基醚、丨_丙基醚、n-丁 基醚、η-己基醚、2-乙基己基醚、環氧乙烷、丨,2_環氧丙 烷、二氧雜環戊烷、4 -甲基二氧雜環戊烷、二噁烷、二甲 基二噁烷、乙二醇一甲基醚、乙二醇一乙基醚、乙二醇二 H 乙基醚、乙二醇一 _n-丁基醚、乙二醇一 -η-己基醚、乙二 醇一苯基醚、乙二醇一-2-乙基丁基醚、乙二醇丁基醚、 二乙二醇一甲基醚、二乙二醇一乙基醚、二乙二醇二乙基 醚、二乙二醇一 - η-丁基醚、二乙二醇二-η-丁基醚、二乙 二醇一 -η-己基醚、乙氧基三甘醇、四乙二醇二_η_ 丁基醚 、丙二醇一甲基醚、丙二醇一乙基醚、丙二醇一丙基醚、 丙二醇一丁基醚、二丙二醇—甲基醚、二丙二醇一乙基醚 、二丙二醇一丙基醚、二丙二醇一 丁基醚、三丙二醇一甲 〇 基醚、四氫呋喃、2-甲基四氫呋喃等醚系溶劑;二乙基碳 酸酯、乙酸甲酯、乙酸乙酯、丁內酯、r_戊內酯、乙 酸η-丙酯、乙酸i-丙酯、乙酸n-丁酯、乙酸丨_丁醋、乙 酸sec-丁醋、乙酸η-戊醋、乙酸sec_戊酯、乙酸3·甲氧 基丁酯、乙酸甲基戊酯、乙酸2-乙基丁酯、乙酸2_乙基 己酯、乙酸苄酯、乙酸環己酯、乙酸甲基環己酯、乙酸 η-壬酯、乙醯乙酸甲酯、乙醯乙酸乙酯、乙酸乙二醇—甲 基醚、乙酸乙二醇一乙基醚、乙酸二乙二醇—甲基酸、乙 酸二乙二醇一乙基醚、乙酸二乙二醇一 -η_ 丁基醚、乙酸 -43- 200941145 丙二醇一甲基醚、乙酸丙二醇一乙基醚、乙酸丙二醇—丙 基醚、乙酸丙二醇一丁基醚、乙酸二丙二醇一甲基醚、乙 酸二丙二醇一乙基醚、二乙酸甘醇、乙酸甲氧基三甘醇、 丙酸乙酯、丙酸η-丁酯、丙酸i·戊酯、草酸二乙酯、草酸 二-η-丁酯、乳酸甲酯、乳酸乙酯、乳酸n-丁酯、乳酸n_ 戊酯、丙二酸二乙酯、酞酸二甲酯、酞酸二乙酯等酯系溶 劑;N-甲基甲醯胺、N,N-二甲基甲醯胺、Ν,Ν·二乙基甲醯 胺、乙醯胺、Ν-甲基乙醯胺、Ν,Ν-二甲基乙醯胺、Ν-甲基 · © 丙醯胺、Ν-甲基吡咯烷酮等含氮系溶劑;硫化二甲酯、硫 化二乙酯、噻吩、四氫噻吩、二甲基亞楓、環丁颯、1,3-丙烷磺內酯等含硫系溶劑等。此等溶劑可1種或2種以上 組合使用。 就溶液之保存安定性特性爲丙二醇一甲基醚、丙二醇 一乙基醚、丙二醇一丙基醚、丙二醇一 丁基醚、丙二醇二 甲基醚、丙二醇二乙基醚、丙二醇一甲基醚乙酸酯、丙二 醇一乙基醚乙酸酯、丙二醇一丙基醚乙酸酯、。 © 本發明之光阻下層形成組成物可含有硬化觸媒,硬化 觸媒可作爲,將含有由水解縮合物形成之聚有機矽氧烷的 塗佈膜加熱,而使聚有機矽氧烷於矽烷醇基間,或異氰酸 酯基間,或矽烷醇基間與異氰酸酯基間形成交聯鍵時之交 聯觸媒用。 所使用的硬化觸媒可爲銨鹽、膦類、錢鹽。 銨鹽如,具有式(D-1): -44- 200941145 [化 23]'NH-CN a hydrolysis condensate of a hydrolyzable organodecane of the formula (1) (polyorganosiloxane), or a hydrolyzable organodecane of the formula (1) and a hydrazine of the formula (4) and/or the formula (5) The hydrolysis condensate of the compound (polyorganosiloxane) may be a condensate having a weight average molecular weight of 〇1 000 to 1,000,000 or 1 000 to 100,000. These molecular quantities are molecular weights obtained by GPC analysis of styrene. The measurement conditions of the GPC are, for example, a GPC apparatus (trade name: HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (trade name: Shodex KF803L, KF802, KF801, manufactured by Showa Denko), and the column temperature is 40 ° C. The eluent (dissolved solvent) was tetrahydrofuran, and the flow rate (flow rate) was 1 •OmL/min. The standard sample was prepared under the conditions of polystyrene (manufactured by Showa Denko Co., Ltd.). In the hydrolysis of the decyloxyalkylene group or the decyloxyalkylene group, 0.5 to 100 moles, preferably 1 to 10 moles of water per mole of the hydrolyzable property is used. Further, 0.001 to 10 moles, preferably 0.001 to 1 mole of a hydrolysis catalyst is used per 1 mole of the hydrolyzable group. The reaction temperature at the time of hydrolysis and condensation is generally 20 to 8 Torr:. The hydrolysis can be complete hydrolysis or partial hydrolysis. That is, the hydrolyzate and the monomer may remain in the hydrolysis condensate. -38- 200941145 Catalyst can be used in the hydrolysis condensation. The hydrolysis catalyst is, for example, a metal chelate compound, an organic acid, an inorganic acid, an organic base, or an inorganic base. a metal chelate compound for hydrolyzing a catalyst such as triethoxyl-(ethylpyruvate) titanium, tris-n-propoxy-mono(ethylpyruvate) titanium, tri-i-propoxy I. (Ethylpyruvate) Titanium, Tri-n-butoxy-I (Ethylpyruvate) Titanium, Tris-Butoxy-I-(Ethylpyruvate) Titanium, Tri- T-butoxy•mono(acetate pyruvate) titanium, diethoxy•bisJ·acetamidine pyruvate) titanium, di-n-propoxy bis(ethylpyruvate) titanium, Di-i-propoxy bis(ethyl acetonate) titanium, di-n-butoxy bis(acetyl acetonate) titanium, di-sec-butoxy. bis(acetyl acetonate) Salt) titanium, di-t-butoxy bis(acetyl acetonate) titanium, monoethoxy bis (acetyl acetonate) titanium, mono-η-propoxy. tris(acetonitrile Acid salt) titanium, mono-i-propoxy-tris(acetate pyruvate) titanium, mono-n-butoxy•tris(acetate pyruvate) titanium, mono-sec-butoxy•three (acetamidine pyruvate') titanium, mono-t-butoxy•tris(acetylpyruvate) titanium, tetrakis(acetate pyruvate) Titanium, triethoxy, mono(ethylacetamidine acetate) titanium, tri-n-propoxy-mono(ethylacetamidine acetate) titanium, tri-i-propoxy-one (ethyl ethyl)醯acetate) titanium, tri-n-butoxy-one (ethyl ethyl acetate) titanium, tris-butoxy-mono(ethylacetamidine acetate) titanium, tri-t-butoxy • One (ethyl acetoacetate) titanium, diethoxy bis (ethyl acetonitrile acetate) titanium, di-η-propoxy bis (ethyl acetonitrile acetate) titanium, two-i -propoxy bis(ethyl acetamidine acetate) titanium, di-n-butoxy bis(ethyl acetamidine acetate) titanium, di-sec-butoxy bis (ethyl acetoacetate) Salt) titanium, di-t-butoxy bis(ethylacetamidine acetate) -39- 200941145 Titanium, monoethoxy-3 (ethyl acetamidine acetate) titanium, mono-n-propoxy • Tris(ethylacetamidine acetate) titanium, mono-i-propoxy•tris(ethylacetamidine acetate) titanium, mono-n-butoxy•tris(ethylacetamidine acetate) titanium, 1-sec-butoxy•tris(ethylacetamidineacetate) titanium, mono-t-butoxy•tris(ethylacetamidine B) Salt) titanium, tetrakis(ethylacetamidineacetate) titanium, mono(acetate pyruvate) tris(ethylacetamidine acetate) titanium, bis(acetamidinepyruvate) bis(ethylacetamidine acetate) a titanium chelate compound such as titanium, tris(acetate pyruvate)-(ethylacetamidine acetate) titanium; triethoxyl-(ethylpyruvate) ruthenium, tri-n-propoxy group One (acetylpyruvate) pin, tri-i-propoxy-mono(ethylpyruvate) oxime, tri-n-butoxy-one (acetylacetonate) ruthenium, three-sec- Butoxyl-(ethylpyruvate)zirconium, tris-t-butoxy-mono(ethylpyruvate)chromium, diethoxybis(acetylpyruvate)zirconium, di-n -propoxy bis(acetyl acetonate) zirconium, di-i-propoxy bis(acetyl acetonate) zirconium, di-n-butoxy bis(acetyl acetonate) zirconium , bis- sec-butoxy bis (acetyl acetonate) zirconium, di-t-butoxy bis (ethyl acetonate) chromium, monoethoxy bis (acetyl acetonate) Zirconium, mono-n-propoxy-tris(acetate pyruvate) zirconium, mono-i-propoxy Tris(acetate pyruvate) zirconium, mono-n-butoxy•tris(acetate pyruvate) zirconium, mono-sec-butoxy•tris(acetate pyruvate) zirconium, one-t- Butoxy-tris(acetate pyruvate) pin, tetrakis(acetate pyruvate) ruthenium, triethoxyl-mono(ethylacetamidine acetate) pin, tri-n-propoxy-one ( Ethylacetamidine acetate) zirconium, tri-i-propoxy-mono(ethylacetamidine acetate) chromium, tri-n-butoxy-mono(ethylacetamidine acetate) pin, three-sec -butoxy-mono(ethylacetamidine acetate) zirconium, tris-t-butoxy-mono(ethylacetamidine acetate) zirconium, diethoxy bis(ethylacetamidine acetate) zirconium -40- 200941145, bis-η-propoxy. bis(ethylacetamidine acetate) pin, di-i-propoxy group. bis(ethylacetamidine acetate) 鍩'bis-η-butoxy • bis(ethylacetamidine acetate) zirconium, di-sec-butoxy•bis(ethylacetamidine acetate) zirconium, di-t-butoxy•bis(ethylacetamethylene acetate) zirconium, Monoethoxy-tris(ethylacetamidine acetate) hydrazine, mono-n-propoxy-tris(ethyl acetamidine acetate) , a small propoxy-tris(ethylacetamidine acetate) pin, 1-n-butoxy-tris(ethylacetamidine acetate) chromium, mono-sec-butoxy•three (ethyl ethyl醯acetate) chromium φ, mono-t-butoxy•tris(ethylacetamidine acetate) zirconium, tetrakis(ethylacetamidine acetate) zirconium, mono(ethylpyruvate) tris(ethyl b醯acetate) chromium, bis(acetamidinepyruvate) bis(ethylacetamidine acetate) chromium, tris(acetylpyruvate), zirconium chelate compound such as zirconium (ethylacetate acetate); (Ethylpyruvate) aluminum chelating compound such as aluminum or tris(ethylacetamidine acetate) aluminum. An organic acid for hydrolysis of a catalyst such as acetic acid, propionic acid, butyric acid, valeric acid, caproic acid, heptanoic acid, caprylic acid, capric acid, capric acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, Azelaic acid, gallic acid, butyric acid, mellitic acid, peanut Q epenoic acid, shikimic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linolenic acid, salicylic acid, Benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, citric acid, Fumar Acid, citric acid, tartaric acid, etc. The inorganic acid used for the hydrolysis catalyst is hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid or the like. Organic base for hydrolysis catalyst, such as pyridine, pyrrole, piperazine, pyrrole, piperidine, picoline, trimethylamine, triethylamine, ethanolamine, di-41-200941145 alkanolamine, dimethyl Base monoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, and the like. Inorganic bases such as ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide, and the like. The metal chelating compound, the organic acid, and the inorganic acid are preferably used in the catalyst, and one type or two or more types may be used at the same time. The organic solvent used for the hydrolysis is, for example, η-pentane, i-pentane, η-hexane, i-hexane, η-heptane, i-heptane, 2,2,4-trimethylpentane, An aliphatic hydrocarbon solvent such as η-octane, ❿ i-octane, cyclohexane or methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, An aromatic hydrocarbon-based solvent such as η-propylbenzene, i-propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene, dipropylbenzene, η-pentylnaphthalene or trimethylbenzene ; methanol, ethanol, η-propanol, i-propanol, η-butanol, i-butanol, sec-butanol, t-butanol, η-pentanol, i-pentanol, 2-methylbutyl Alcohol, sec-pentanol, t-pentanol, 3-methoxybutanol, η-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, g Alcohol-3, η-octanol, 2-ethylhexanol, sec-Q octanol, η-mercaptool, 2,6-dimethylheptanol-4, η-nonanol, Sec-undecane Alcohol, trimethyldecyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol Benzyl alcohol, phenylmethyl carbitol, diacetone alcohol Monool solvent such as cresol; ethylene glycol, propylene glycol, 1,3-butylene glycol, pentanediol-2,4, 2-methylpentanediol-2,4, hexanediol-2,5 , heptanediol-2,4,2-ethylhexanediol-1,3, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, glycerin and other polyvalent alcohol solvents; acetone, methyl ethyl Ketone, methyl-η-propyl ketone, methyl-n-butyl-42- 200941145 ketone, diethyl ketone, methyl-i-butyl ketone, methyl-η-pentyl hydrazine, ethyl- Η-butyl ketone, methyl-η-hexyl ketone, dibutyl ketone, trimethyl fluorenone, cyclohexanone, methylcyclohexanone, 2,4-pentanedione, acetonyl acetone, diacetone Ketone solvents such as alcohol, acetophenone, anthrone, etc.; ethyl ether, hydrazine-propyl ether, n-butyl ether, η-hexyl ether, 2-ethylhexyl ether, ethylene oxide, hydrazine, 2_ Propylene oxide, dioxolane, 4-methyldioxolane, dioxane, dimethyl dioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, B Diol diethyl ether, ethylene glycol mono-n-butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethylbutyl ether, Ethylene glycol butyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol diethyl ether, diethylene glycol mono- η-butyl ether, diethylene glycol Di-η-butyl ether, diethylene glycol mono-η-hexyl ether, ethoxy triethylene glycol, tetraethylene glycol di-n-butyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol Monopropyl ether, propylene glycol monobutyl ether, dipropylene glycol methyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monobutyl ether, tripropylene glycol monomethyl ether, tetrahydrofuran, 2 - an ether solvent such as methyltetrahydrofuran; diethyl carbonate, methyl acetate, ethyl acetate, butyrolactone, r-valerolactone, η-propyl acetate, i-propyl acetate, n-butyl acetate , barium acetate _ vinegar, sec-butyl vinegar, η-pentyl acetate, sec pentyl acetate, methoxy butyl acetate, methyl amyl acetate, 2-ethyl butyl acetate, acetic acid 2 _Ethylhexyl ester, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, η-decyl acetate, methyl acetate, ethyl acetate, ethylene glycol-methyl ether, Acid ethylene glycol monoethyl ether, diethylene glycol-methyl acid acetate, diethylene glycol monoethyl ether acetate, diethylene glycol mono- η butyl ether, acetic acid -43- 200941145 propylene glycol monomethyl Ether, propylene glycol monoethyl ether, propylene glycol propyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, diacetic acid glycol, methoxy triacetate Alcohol, ethyl propionate, η-butyl propionate, i. pentyl propionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, lactate n_ Ester ester solvents such as amyl ester, diethyl malonate, dimethyl decanoate and diethyl decanoate; N-methylformamide, N,N-dimethylformamide, hydrazine, hydrazine a nitrogen-containing solvent such as ethylformamide, acetamide, hydrazine-methylacetamide, hydrazine, hydrazine-dimethylacetamide, hydrazine-methyl--propylamine or hydrazine-methylpyrrolidone; A sulfur-containing solvent such as dimethyl sulfide, diethyl sulfide, thiophene, tetrahydrothiophene, dimethyl sulfoxide, cyclobutyl hydrazine or 1,3-propane sultone. These solvents may be used alone or in combination of two or more. The storage stability properties of the solution are propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, propylene glycol monomethyl ether Acid ester, propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate. The photoresist lower layer forming composition of the present invention may contain a curing catalyst, and the curing catalyst may be used to heat a coating film containing a polyorganosiloxane which is formed of a hydrolysis condensate, and to polymerize the polyorganosiloxane to decane. A cross-linking catalyst for forming a cross-linking bond between an alcohol group or an isocyanate group or between a stanol group and an isocyanate group. The hardening catalyst used may be an ammonium salt, a phosphine or a money salt. The ammonium salt has, for example, the formula (D-1): -44- 200941145 [Chem. 23]

式(D — 1) (式中,m爲2至11之整數,η爲2至3之整數,R1爲烷 基或芳基,Y_爲陰離子)所表示的構造之第4級銨鹽、具 有式(D-2): [化 24] R2R3R4R5N+ Y~ 式(d_2) (式中,R2、R3、R4及R5爲烷基或芳基,N爲氮原子, 爲陰離子,且R2、R3、R4及R5係各自以C-N鍵結合氮原 Ο 子)所表示的構造之第4級銨鹽、具有式(D-3): [化 25]a fourth-order ammonium salt of the formula represented by the formula (D-1) (wherein m is an integer of 2 to 11, η is an integer of 2 to 3, R1 is an alkyl group or an aryl group, and Y_ is an anion), Formula (D-2): [Chem. 24] R2R3R4R5N+ Y~ Formula (d_2) (wherein R2, R3, R4 and R5 are alkyl or aryl, N is a nitrogen atom, is an anion, and R2, R3, a fourth-order ammonium salt of a structure represented by a nitrogen bond of each of R4 and R5, and having a formula (D-3): [Chem. 25]

R7 丫— (式中,R6及R7爲烷基或芳基,Υ·爲陰離子)所表示的構 -45- 200941145 造之第4級銨鹽,具有式(D-4): [化 26]R7 丫— (wherein, R6 and R7 are an alkyl group or an aryl group, and Υ· is an anion) is represented by the structure -45-200941145. The fourth-order ammonium salt has the formula (D-4): [Chem. 26]

式(D-4) (式中,R8爲烷基或芳基,Y·爲陰離子)所表示的構造之第 4級銨鹽,具有式(D-5): [化 27] R10The fourth-order ammonium salt of the structure represented by the formula (D-4) (wherein R8 is an alkyl group or an aryl group, and Y is an anion) has the formula (D-5): [Chem. 27] R10

式(D — 5) (式中,R9及R1()爲烷基或芳基,爲陰離子)所表示的構 造之第4級銨鹽,具有式(D-6): [化 28]A fourth-order ammonium salt of the formula (D-5) wherein R9 and R1() are an alkyl group or an aryl group and is an anion have the formula (D-6):

(式中,m爲2至11之整數,η爲2至3之整數,Η爲氫 -46- 200941145 原子,7_爲陰離子)所表示的構造之第3級銨鹽。 又,鱗鹽如式(D-7): [化 29](In the formula, m is an integer of 2 to 11, η is an integer of 2 to 3, and hydrazine is a hydrogen-46-200941145 atom, and 7_ is an anion). Also, the scale salt is as in the formula (D-7): [Chem. 29]

RllR12R13R14p+ γ- 式(D—7) (式中,R11、R12、R13及R14爲烷基或芳基,P爲磷原子 ,Y —爲陰離子,且R11、R12、R13及R14係各自以C-P鍵 鍵結磷原子)所表示的第4級鎸鹽。 上述式(D-1)之化合物爲,由胺衍生的第4級銨鹽,m 爲2至11之整數,η爲2至3之整數。該第4級銨鹽之 R1爲碳原子數1至18,較佳爲2至10之烷基或芳基,例 如乙基、丙基、丁基等直鏈烷基,或苄基、環己基、環己 基甲基、二環戊二烯基等。又陰離子(Υ_)如,氯離子(cr) 、溴離子(B〇、碘離子(Γ)等鹵離子,或羧酸根合(-COCT) 、硫酸根合(-S03_)、醇鹽(-〇·)等酸基。 上述(D-2)之化合物爲,R2R3R4R5N + Y_所表示的第4 級銨鹽。該第4級銨鹽之R2、R3、R4及R5爲碳原子數1 至18之烷基或芳基。陰離子(Y·)如氯離子(Cl·)、溴離子 (B〇、碘離子(Γ)等鹵離子,或羧酸根合(·(:00_)、硫酸根 合(-S03_)、醇鹽(-CT)等酸基。該第4級銨鹽可由市售品 取得,例如四甲基銨乙酸鹽、四丁基銨乙酸鹽、氯化三乙 基节基銨、溴化三乙基苄基銨、氯化三辛基甲基銨、氯化 三丁基苄基銨、氯化三甲基苄基銨等。 -47- 200941145 上述式(D-3)之化合物爲,由1-取代咪唑衍生的第4 級銨鹽,R6及R7爲碳原子數1至18,R6及r7之碳原子 數總和較佳爲7以上。例如R6爲甲基、乙基、丙基、苯 基、苄基,R7爲苄基、辛基、十八烷基。陰離子(γ·)如, 氯離子(CP)、溴離子(Br_)、碘離子(Γ)等鹵離子,或殘酸 根合(-COO_)、硫酸根合(-S03·)、醇鹽(-〇·)等酸基。該化 合物可由市售品取得,例如可由卜甲基咪唑、1-苄基咪唑 等咪唑系化合物,與苄基溴、甲基溴等烷基鹵素或芳基鹵 素反應而得。 上述式(D-4)之化合物爲,由吡啶衍生的第4級銨鹽 ,R8爲碳原子數1至18,較佳爲碳原子數4至18之烷基 或芳基,例如丁基、辛基、苄基、月桂基。陰離子(Y·)如 ,氯離子(CP)、溴離子(Br_)、碘離子(Γ)等鹵離子,或羧 酸根合(-COCT)、硫酸根合(-S03_)、醇鹽(-〇_)等酸基。該 化合物可由市售品取得,又例如可由吡啶,與月桂基氯、 苄基氯、苄基溴、甲基溴、辛基溴等烷基鹵素或芳基鹵素 反應而得。該化合物如,氯化N-月桂基吡啶鎗、溴化N-苄基吡啶鎗等》 上述式(D-5)之化合物爲,由皮考啉等所代表的取代 吡啶衍生之第4級銨鹽,R9爲碳原子數1至18,較佳爲 4至18之烷基或芳基,例如甲基、辛基、月桂基、苄基 等。R1G爲碳原子數1至18之烷基或芳基,例如爲由皮考 啉衍生的第4級銨時,R1G爲甲基。陰離子(Y_)如,氯離 子(Cl_)、溴離子(Br_)、碘離子(Γ)等鹵離子’或羧酸根合 -48- 200941145 (-coo.)、硫酸根合(-so3-)、醇鹽(-〇-)等酸基。該化合物 可由市售品取得,又例如可由皮考啉等取代吡啶,與甲基 溴、辛基溴、月桂基氯、苄基氯、苄基溴等烷基鹵素或芳 基鹵素反應而得。該化合物如N-苄基皮考啉鎗氯化物、 N-苄基皮考啉鎗溴化物、N-月桂基皮考啉鎰氯化物等。 上述式(D-6)之化合物爲,由胺衍生的第3級銨鹽,m 爲2至11之整數,η爲2至3之整數。又陰離子(Υ·)如, φ 氯離子(ci_)、溴離子(ΒΟ、碘離子(Γ)等鹵離子,或羧酸 根合(-coo_)、硫酸根合(-SOT)、醇鹽(-〇_)等酸基。其可 由胺與羧酸或酚等弱酸反應而得。羧酸如甲酸或乙酸,又 使用甲酸時,陰離子(ΥΊ爲(HCOO_);使用乙酸時,陰離 子(Y·)爲(ch3coct)。使用酚時,陰離子(Y-)爲(c6h50·)。 上述式(D-7)之化合物爲,具有rHrUrUrHp + y·之構 造的第4級辚鹽。R11、R12、R13及R14爲碳原子數1至 18之烷基或芳基,較佳爲R11至R14之4個取代基內3個 〇 爲苯基或被取代的苯基’例如苯基或甲苯基,而殘留之1 個爲碳原子數1至18的烷基或芳基。又陰離子如, 氯離子(C1·)、溴離子(Br·)、碘離子(1_)等鹵離子,或羧酸 根合(-COCT)、硫酸根合(-S03·)、醇鹽(_〇·)等酸基。該化 合物可由市售品取得,例如鹵化四η-丁基鱗、鹵化四n-丙基鱗等鹵化四烷基鱗、鹵化三乙基苄基銹等鹵化三烷基 苄基鐄、鹵化三苯基甲基鱗、鹵化三苯基乙基銹等鹵化三 苯基一烷基鐵、鹵化三苯基苄基鱗、鹵化四苯基錢、鹵化 三甲苯基一芳基鱗、或鹵化三甲苯基一烷基銹(鹵原子爲 -49- 200941145 氯原子或溴原子)。特佳爲鹵化三苯基甲基鱗、鹵化三苯 基乙基鳞等鹵化三苯基一烷基鱗、鹵化三苯基苄基鱗等鹵 化三苯基一芳基鐵、鹵化三甲苯基一苯基鱗等鹵化三甲苯 基一芳基鐵、或鹵化三甲苯基一甲基鐃等鹵化三甲苯基一 烷基鱗(鹵原子爲氯原子或溴原子)。 又,膦類如甲基膦、乙基膦、丙基膦、異丙基膦、異 丁基膦、苯基膦等一代膦、二甲基膦、二乙基膦、二異丙 基膦、二異戊基鱗、二苯基膦等二代膦、三甲基膦、三乙 . 基膦、三苯基膦、甲苯二苯基麟、二甲基苯基膦等三代膦 〇 · 硬化觸媒相對於縮合物(聚有機矽氧烷Η 00質量份爲 0.01至10質量份,或0.01至5質量份,或0.01至3質 量份。 溶劑中使用硬化觸媒使水解性有機矽烷水解、縮合而 得的水解縮合物(聚合物)可利用減壓蒸餾等,同時去除副 產物之醇,所使用的水解觸媒及水。又,可利用中和或離 〇 子交換去除水解用之酸及鹼。爲了使含有該水解縮合物之 光阻下層膜形成組成物安定化。本發明之微影蝕刻用光阻 下層膜形成組成物可添加有機酸、水、醇或其組合物。 上述有機酸如,草酸、丙二酸、甲基丙二酸、琥珀酸 、馬來酸、蘋果酸、酒石酸、酞酸、檸檬酸、戊二酸、乳 酸、水楊酸等。其中較佳爲草酸、馬來酸等。添加之有機 酸相對於縮合物(聚有機矽氧烷)100質量份爲0.5至1.0 質量份。又添加之水可爲純水、超純水、離子交換水等, -50- 200941145 其添加量相對於光阻下層膜形成組成物100質量份爲1至 20質量份。 又添加之醇較佳爲,塗佈後加熱易飛散之物,例如甲 醇、乙醇、丙醇、異丙醇、丁醇等。添加之水相對於光阻 下層膜形成組成物100質量份爲1至20質量份。 本發明之微影蝕刻用光阻下層膜形成組成物除了上述 成份,必要時可含有有機聚合物化合物、光酸發生劑及表 0 面活性劑等。 使用有機聚合物化合物可調整由本發明之微影蝕刻用 下層膜形成組成物形成的光阻下層膜的乾蝕速度(每單位 時間之膜厚的減少量)、衰減係數及折射率等。 有機聚合物化合物並無特別限制,可使用各種有機聚 合物。又可使用縮聚合聚合物及加成聚合聚合物等。可使 用聚酯、聚苯乙烯、聚醯亞胺、丙烯基聚合物、甲基丙烯 基聚合物、聚乙烯醚、苯酚酚醛清漆、萘酚酚醛清漆、聚 〇 醚、聚醯胺、聚碳酸酯等加成聚合聚合物及縮聚合聚合物 。較佳爲使用具有吸光部位機能之苯環、萘環、蒽環、三 嗪環、喹啉環及喹喔啉環等芳香環構造的有機聚合物。 該類有機聚合物化合物如,含有苄基丙烯酸酯、苄基 甲基丙烯酸酯、苯基丙烯酸酯、萘基丙烯酸酯、蒽基甲基 丙烯酸酯、蒽基甲基甲基丙烯酸酯、苯乙烯、羥基苯乙烯 、苄基乙烯醚及N-苯基馬來醯胺等加成聚合性單體之構 造單位的加成聚合聚合物,或苯酚酚醛清漆、萘酚酚醛清 漆等縮聚合聚合物。 -51 - 200941145 所使用的有機聚合物爲加成聚合聚合物時,該聚合物 化合物可爲單獨聚合物或共聚物。製造加成聚合聚合物係 使用加成聚合性單體,該類加成聚合性單體如,丙烯酸、 甲基丙烯酸、丙烯酸酯化合物、甲基丙烯酸酯化合物、丙 烯醯胺化合物、甲基丙烯醯胺化合物、乙烯基化合物、苯 乙烯化合物、馬來醯亞胺化合物、馬來酸酐、丙烯腈等。 丙烯酸酯化合物如,甲基丙烯酸酯、乙基丙烯酸酯、 正己基丙烯酸酯、異丙基丙烯酸酯、環己基丙烯酸酯、苄 0 基丙嫌酸酯、苯基丙嫌酸酯、恵基甲基丙稀酸酯、2-經基 乙基丙烯酸酯、3-氯-2-羥基丙基丙烯酸酯、2-羥基丙基丙 烯酸酯、2,2,2-三氟乙基丙烯酸酯、2,2,2-三氯乙基丙烯酸 酯、2-溴乙基丙烯酸酯、4-羥基丁基丙烯酸酯' 2-甲氧基 乙基丙烯酸酯、四氫糠基丙烯酸酯、2-甲基-2-金剛基丙 烯酸酯、5-丙烯醯氧基-6·羥基降茨烯-2-羧基的-6-內酯、 3-丙烯氧基丙基三乙氧基矽烷及縮水甘油基丙烯酸酯等。 甲基丙烯酸酯化合物如,甲基甲基丙烯酸酯、乙基甲 ❹ 基丙烯酸酯、正己基甲基丙烯酸酯、異丙基甲基丙烯酸酯 、環己基甲基丙烯酸酯、苄基甲基丙烯酸酯、苯基甲基丙 烯酸酯、蒽基甲基甲基丙烯酸酯、2-羥基乙基甲基丙烯酸 酯、2-羥基丙基甲基丙烯酸酯、2,2,2-三氟乙基甲基丙烯 酸酯、2,2,2-三氯乙基甲基丙烯酸酯、2-溴乙基甲基丙烯 酸酯、4-羥基丁基甲基丙烯酸酯、2 -甲氧基乙基甲基丙烯 酸酯、四氫糠基甲基丙烯酸酯、2 -甲基-2 -金剛基甲基丙 烯酸酯、5-甲基丙烯醯氧基-6-羥基降茨烯-2-羧基的-6-內 -52- 200941145 酯、3 -甲基丙烯氧基丙基三乙氧基矽烷、縮水甘油基甲基 丙烯酸酯、2-苯基乙基甲基丙烯酸酯、羥基苯基甲基丙烯 酸酯及溴苯基甲基丙烯酸酯等。 丙烯醯胺化合物如,丙烯醯胺、N-甲基丙烯醯胺、N-乙基丙烯醯胺、N-苄基丙烯醯胺、N-苯基丙烯醯胺、Ν,Ν-二甲基丙烯醯胺及Ν-蒽基丙烯醯胺等。 甲基丙烯醯胺化合物如,甲基丙烯醯胺、Ν-甲基甲基 0 丙烯醯胺、Ν-乙基甲基丙烯醯胺、Ν-苄基甲基丙烯醯胺、 Ν-苯基甲基丙烯醯胺、Ν,Ν-二甲基甲基丙烯醯胺及Ν-蒽 基甲基丙烯醯胺等。 乙烯基化合物如,乙烯醇、2-羥基乙基乙烯醚、甲基 乙烯醚、乙基乙烯醚、苄基乙烯醚、乙烯基乙酸、乙烯基 三甲氧基矽烷、2-氯乙基乙烯醚、2-甲氧基乙基乙烯醚、 乙烯基萘及乙烯基蒽等。 苯乙烯化合物如,苯乙烯、羥基苯乙烯、氯苯乙烯、 〇 溴苯乙烯、甲氧基苯乙烯、氰基苯乙烯及乙酿基苯乙烯等 〇 馬來醯亞胺化合物如,馬來醯亞胺、Ν-甲基馬來醯亞 胺、Ν-苯基馬來醯亞胺' Ν-環己基馬來醯亞胺、Ν-苄基馬 來醯亞胺及Ν-羥基乙基馬來醯亞胺等。 所使用的聚合物爲縮聚合聚合物時,該類聚合物如, 甘醇化合物及二羧酸化合物之縮聚合聚合物。甘醇化合物 如,二乙二醇、六甲二醇、丁二醇等。二羧酸化合物如, 琥珀酸、己二酸、對苯二甲酸、馬來酸酐等。又如,聚均 -53- 200941145 苯四甲醯亞胺、聚(P-伸苯基對苯二甲醯胺)、聚對苯二甲 酸丁二醇酯、聚對苯二甲酸乙二醇酯等聚酯、聚醯胺、聚 醯亞胺。 有機聚合物化合物含有羥基時,該羥基可與聚有機矽 氧烷形成交聯反應。RllR12R13R14p+ γ- (D-7) (wherein R11, R12, R13 and R14 are alkyl or aryl, P is a phosphorus atom, Y is an anion, and R11, R12, R13 and R14 are each a CP bond The fourth-order phosphonium salt represented by the bond phosphorus atom). The compound of the above formula (D-1) is a fourth-order ammonium salt derived from an amine, m is an integer of 2 to 11, and η is an integer of 2 to 3. R1 of the fourth-order ammonium salt is an alkyl group or an aryl group having 1 to 18, preferably 2 to 10, such as a linear alkyl group such as an ethyl group, a propyl group or a butyl group, or a benzyl group or a cyclohexyl group. , cyclohexylmethyl, dicyclopentadienyl and the like. Further anion (Υ_) such as chloride ion (cr), bromide ion (B〇, iodide ion (Γ) and other halide ions, or carboxylate (-COCT), sulfate (-S03_), alkoxide (-〇 The compound of the above (D-2) is a fourth-order ammonium salt represented by R2R3R4R5N + Y_. The R4, R3, R4 and R5 of the fourth-order ammonium salt are from 1 to 18 carbon atoms. Alkyl or aryl. Anion (Y·) such as chloride ion (Cl·), bromide ion (B〇, iodide ion (Γ), etc., or carboxylate (·(:00_), sulfated ( -S03_), an acid group such as an alkoxide (-CT). The fourth-grade ammonium salt can be obtained from a commercially available product, such as tetramethylammonium acetate, tetrabutylammonium acetate, triethylammonium chloride, Triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzylammonium chloride, trimethylbenzylammonium chloride, etc. -47- 200941145 Compound of the above formula (D-3) The fourth-order ammonium salt derived from 1-substituted imidazole, R6 and R7 are a carbon number of 1 to 18, and the total number of carbon atoms of R6 and r7 is preferably 7 or more. For example, R6 is a methyl group, an ethyl group, or a C group. Base, phenyl, benzyl, R7 is benzyl, octyl, octadecyl. Ions (γ·) such as chloride ion (CP), bromide ion (Br_), iodide ion (Γ), etc., or residual acid (-COO_), sulfate (-S03·), alkoxide (- The compound is obtained from a commercially available product. For example, it can be obtained by reacting an imidazole compound such as methylimidazole or 1-benzylimidazole with an alkyl halogen or an aryl halide such as benzyl bromide or methyl bromide. The compound of the above formula (D-4) is a fourth-order ammonium salt derived from pyridine, and R8 is an alkyl group or an aryl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, such as a butyl group. Octyl, benzyl, lauryl. Anion (Y·) such as chloride ion (CP), bromide ion (Br_), iodide ion (Γ), etc., or carboxylate (-COCT), sulfated ( -S03_), an acid group such as an alkoxide (-〇-). The compound can be obtained from a commercial product, and can be, for example, pyridine, and an alkane such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide or octyl bromide. a compound obtained by reacting a halogen or an aryl halide. The compound is, for example, a N-laurylpyridine chloride gun, a N-benzylpyridine bromine gun, etc., wherein the compound of the above formula (D-5) is a picoline. The substituted pyridine-derived fourth-order ammonium salt, R9 is an alkyl or aryl group having from 1 to 18, preferably from 4 to 18, such as methyl, octyl, lauryl, benzyl, etc. R1G When it is an alkyl group or an aryl group having 1 to 18 carbon atoms, for example, a fourth-order ammonium derived from picoline, R1G is a methyl group. Anion (Y_) such as chloride ion (Cl_) or bromide ion (Br_) An acid group such as a halide ion such as an iodide ion or a carboxylate group -48-200941145 (-coo.), a sulfate group (-so3-), or an alkoxide (-〇-). This compound can be obtained from a commercially available product, for example, by substituting pyridine with picoline or the like, and reacting with an alkyl halogen or an aryl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride or benzyl bromide. Such compounds are, for example, N-benzyl picoline gun chloride, N-benzyl picoline gun bromide, N-lauryl picoline guanidine chloride, and the like. The compound of the above formula (D-6) is a third-order ammonium salt derived from an amine, m is an integer of 2 to 11, and η is an integer of 2 to 3. Further anions (Υ·) such as φ chloride ion (ci_), bromide ion (ΒΟ, iodide ion (Γ) and other halide ions, or carboxylate (-coo_), sulfate (-SOT), alkoxide (- 〇_) an acid group, which can be obtained by reacting an amine with a weak acid such as a carboxylic acid or a phenol. A carboxylic acid such as formic acid or acetic acid, and an acid for anion (ΥΊ(HCOO_); when using acetic acid, an anion (Y·) (ch3coct). When phenol is used, the anion (Y-) is (c6h50·). The compound of the above formula (D-7) is a quaternary phosphonium salt having a structure of rHrUrUrHp + y·. R11, R12, R13 And R14 is an alkyl or aryl group having 1 to 18 carbon atoms, preferably 4 of the 4 substituents of R11 to R14 are a phenyl group or a substituted phenyl group such as a phenyl group or a tolyl group, and remains. One of them is an alkyl group or an aryl group having 1 to 18 carbon atoms, and an anion such as a halide ion such as a chloride ion (C1·), a bromide ion (Br·) or an iodide ion (1_), or a carboxylate group (- Acid groups such as COCT), sulfate (-S03.), and alkoxide (_〇·). The compound can be obtained from commercially available products, such as halogenated tetra-n-butyl scales, halogenated tetra-n-propyl scales, etc. Alkyl scales, a trialkylbenzylphosphonium halide such as a triethylbenzyl ruthenium halide, a triphenylmethylsulfonium halide, a triphenylethylaluminum halide such as a triphenylethyl rust, a triphenylbenzyl halide, or a halogenated Tetraphenylene, trimethylphenylmonoaryl scale, or trimethylphenyl monoalkyl rust (halogen atom is -49-200941145 chlorine atom or bromine atom). Particularly preferred is triphenylmethyl halide halide, halogenated a triphenylmonoalkyl halide such as triphenylethyl scale, a triphenylmonoaryl halide such as a triphenylbenzyl halide, a trimethylphenyl monoaryl halide such as a trimethylphenylphosphonium halide Or a halogenated trimethylphenyl monoalkyl scale such as a trimethylphenyl monomethyl halide (the halogen atom is a chlorine atom or a bromine atom). Further, a phosphine such as methylphosphine, ethylphosphine, propylphosphine, or isopropyl a second generation phosphine such as phosphine, isobutylphosphine or phenylphosphine, dimethylphosphine, diethylphosphine, diisopropylphosphine, diisopentyl scale, diphenylphosphine, etc., trimethylphosphine, Three-generation phosphines such as triethylphosphine, triphenylphosphine, toluene diphenyl lin, dimethylphenylphosphine, hardening catalyst, and condensate (polyorganomoxime) 00 00 parts by mass is 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass, or 0.01 to 3 parts by mass. The hydrolysis condensate (polymer) obtained by hydrolyzing and condensing the hydrolyzable organodecane using a curing catalyst in a solvent may be used. By using vacuum distillation or the like, the alcohol of the by-product, the hydrolysis catalyst and the water to be used are simultaneously removed, and the acid and the base for hydrolysis can be removed by neutralization or exchange with scorpion. In order to make the light containing the hydrolysis condensate The underlayer film forming composition is stabilized. The photoresist forming underlayer film forming composition of the present invention may be added with an organic acid, water, an alcohol or a combination thereof. The above organic acid such as oxalic acid, malonic acid, methyl propyl Diacid, succinic acid, maleic acid, malic acid, tartaric acid, citric acid, citric acid, glutaric acid, lactic acid, salicylic acid and the like. Among them, oxalic acid, maleic acid and the like are preferred. The organic acid to be added is 0.5 to 1.0 part by mass based on 100 parts by mass of the condensate (polyorganosiloxane). Further, the water to be added may be pure water, ultrapure water, ion-exchanged water or the like, and -50-200941145 is added in an amount of 1 to 20 parts by mass based on 100 parts by mass of the photoresist underlayer film-forming composition. Further, the alcohol to be added is preferably a material which is easily scattered after application, such as methanol, ethanol, propanol, isopropanol or butanol. The added water is 1 to 20 parts by mass based on 100 parts by mass of the photoresist underlayer film forming composition. The photoresist underlayer film forming composition for lithography etching of the present invention may contain, in addition to the above components, an organic polymer compound, a photoacid generator, a surfactant, and the like, if necessary. The dry etching rate (reduction in film thickness per unit time), the attenuation coefficient, the refractive index, and the like of the photoresist underlayer film formed by the underlayer film forming composition for lithography etching of the present invention can be adjusted by using the organic polymer compound. The organic polymer compound is not particularly limited, and various organic polymers can be used. Further, a polycondensation polymer, an addition polymerization polymer, or the like can be used. Polyester, polystyrene, polyimine, propylene based polymer, methacryl based polymer, polyvinyl ether, phenol novolac, naphthol novolac, polydecyl ether, polyamine, polycarbonate Equal addition polymerization polymer and polycondensation polymer. Preferably, an organic polymer having an aromatic ring structure such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring or a quinoxaline ring having a function of a light absorbing site is used. Such organic polymer compounds, for example, contain benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, mercapto methacrylate, mercaptomethyl methacrylate, styrene, An addition polymerizable polymer of a structural unit of an addition polymerizable monomer such as hydroxystyrene, benzylvinyl ether or N-phenylmaleimide; or a polycondensation polymer such as a phenol novolac or a naphthol novolak. -51 - 200941145 When the organic polymer used is an addition polymerizable polymer, the polymer compound may be a single polymer or a copolymer. The addition polymerization polymer is used as an addition polymerizable monomer such as acrylic acid, methacrylic acid, acrylate compound, methacrylate compound, acrylamide compound, or methacrylic acid. An amine compound, a vinyl compound, a styrene compound, a maleimide compound, maleic anhydride, acrylonitrile or the like. Acrylate compounds such as methacrylate, ethyl acrylate, n-hexyl acrylate, isopropyl acrylate, cyclohexyl acrylate, benzyl propyl acrylate, phenyl propyl acrylate, decyl methyl Acrylate, 2-aminoethyl acrylate, 3-chloro-2-hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2 , 2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate '2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-methyl-2- Acryl acrylate, 5-propenyloxy-6-hydroxynorzene-2-carboxy-6-lactone, 3-propenyloxypropyltriethoxydecane, glycidyl acrylate, and the like. Methacrylate compounds such as methyl methacrylate, ethyl methyl methacrylate, n-hexyl methacrylate, isopropyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate , phenyl methacrylate, mercaptomethyl methacrylate, 2-hydroxyethyl methacrylate, 2-hydroxypropyl methacrylate, 2,2,2-trifluoroethyl methacrylate Ester, 2,2,2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydroanthraquinone Ethyl methacrylate, 2-methyl-2-adamantyl methacrylate, 5-methylpropenyloxy-6-hydroxynorzene-2-carboxyl-6-endo-52- 200941145 ester, 3-methylpropoxypropyltriethoxydecane, glycidyl methacrylate, 2-phenylethyl methacrylate, hydroxyphenyl methacrylate, and bromophenyl methacrylate . Acrylamide compounds such as acrylamide, N-methyl acrylamide, N-ethyl acrylamide, N-benzyl acrylamide, N-phenyl acrylamide, hydrazine, hydrazine-dimethyl propylene Amidoxime and fluorenyl-mercapto acrylamide. A methacrylamide amine compound such as methacrylamide, hydrazine-methylmethyl acrylamide, hydrazine-ethyl methacrylamide, hydrazine-benzyl methacrylamide, hydrazine-phenyl Acrylamide, hydrazine, hydrazine-dimethylmethacrylamide and fluorenyl-mercaptomethyl decylamine. Vinyl compounds such as vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetic acid, vinyl trimethoxy decane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene, vinyl anthracene, and the like. Styrene compounds such as styrene, hydroxystyrene, chlorostyrene, anthracene bromostyrene, methoxystyrene, cyanostyrene, and styrene-based styrene compounds such as malayan Imine, Ν-methyl maleimide, Ν-phenyl maleimine' Ν-cyclohexylmaleimide, Ν-benzyl maleimide and Ν-hydroxyethyl Malay醯imine and so on. When the polymer to be used is a polycondensation polymer, such a polymer is a polycondensation polymer of a glycol compound and a dicarboxylic acid compound. Glycol compounds such as diethylene glycol, hexamethyl glycol, butylene glycol and the like. The dicarboxylic acid compound is, for example, succinic acid, adipic acid, terephthalic acid, maleic anhydride or the like. Another example is poly-53- 200941145 benzotetramethylene imine, poly(P-phenylene terephthalamide), polybutylene terephthalate, polyethylene terephthalate Such as polyester, polyamine, polyimine. When the organic polymer compound contains a hydroxyl group, the hydroxyl group can form a crosslinking reaction with the polyorganosiloxane.

所使用的有機聚合物化合物可爲,重量平均分子量例 如 1000 至 1000000,或 3000 至 300000,或 5000 至 200000,或10000至100000之聚合物化合物。 U 有機聚合物可僅使用一種,或二種以上組合使用。 使用有機聚合物化合物時,其比率相對於縮合物(聚 有機矽氧烷)100質量份爲1至200質量份,或5至100 質量份,或10至50質量份,或20至30質量份。 本發明之光阻下層膜形成組成物可含有酸發生劑。 酸發生劑如,熱酸發生劑或光酸發生劑。 光酸發生劑爲,光阻曝光時會產生酸。因此可調整下 層膜之酸性度。其爲調合下層膜之酸性度與上層之光阻的 @ 酸性度方法之一。又調整下層膜之酸性度,可調製形成於 上層之光阻的圖型形狀。 本發明之光阻下層膜形成組成物所含的光酸發生劑如 ,鎗鹽化合物、硫醯亞胺化合物及二磺醯重氮甲烷化合物 等。 鎰鹽化合物如,二苯基碘鎗六氟磷酸鹽、二苯基碘鎗 三氟甲烷磺酸鹽、二苯基碘鎗九氟正丁烷磺酸鹽、二苯基 碘鎗全氟正辛烷磺酸鹽、二苯基碘鎗茨磺酸鹽、雙(4- -54- 200941145 tert-丁基苯基)碘鎗茨磺酸鹽及雙(4-tert-丁基苯基)碘鎗 三氟甲烷磺酸鹽等碘鎗鹽化合物,及三苯基銃六氟銻酸鹽 、三苯基銃九氟正丁烷磺酸鹽、三苯基銃茨磺酸鹽及三苯 基锍三氟甲烷磺酸鹽等銃鹽化合物等。 硫醯亞胺化合物如,N-(三氟甲烷磺醯氧基)琥珀醯亞 胺、N-(九氟正丁烷磺醯氧基)琥珀醯亞胺、N-(茨磺醯氧 基)琥珀醯亞胺及N-(三氟甲烷磺醯氧基)萘醯亞胺等。 φ 二磺醯重氮甲烷化合物如,雙(三氟甲基磺醯)重氮甲 烷、雙(環己基磺醯)重氮甲烷、雙(苯基磺醯)重氮甲烷、 雙(p-甲苯磺醯)重氮甲烷、雙(2,4-二甲基苯磺醯)重氮甲 烷,及甲基磺醯基-P-甲苯磺醯重氮甲烷等。 光酸發生劑可僅使用一種,或二種以上組合使用。 使用光酸發生劑時,其比率相對於縮合物(聚有機矽 氧烷)100質量份爲0.01至5質量份,或0.1至3質量份 .,或0.5至1質量份。 φ 表面活性劑可有效抑制,將本發明之微影蝕刻用光阻 下層膜形成組成物塗佈於基板時發生針孔及擴張情形等。 本發明之光阻下層膜形成組成物所含的表面活性劑如 ,聚環氧乙烷月桂醚、聚環氧乙烷硬脂醚、聚環氧乙烷十 六烷醚、聚環氧乙烷油醚等聚環氧乙烷烷基醚類、聚環氧 乙烷辛基苯酚醚、聚環氧乙烷壬基苯酚醚等聚環氧乙烷烷 基烯丙醚類、聚環氧乙烷•聚環氧丙烷嵌段共聚物類、山 梨糖醇酐一月桂酸酯、山梨糖醇酐一棕櫚酸酯、山梨糖醇 酐一硬脂酸酯、山梨糖醇酐一油酸酯、山梨糖醇酐三油酸 -55- 200941145 酯、山梨糖醇酐三硬脂酸酯等山梨糖醇酐脂肪酸酯類、聚 環氧乙烷山梨糖醇酐一月桂酸酐、聚環氧乙烷山梨糖醇酐 一棕櫚酸酯、聚環氧乙烷山梨糖醇酐一硬脂酸酯、聚環氧 乙烷山梨糖醇酐三油酸酯、聚環氧乙烷山梨糖醇酐三硬脂 酸酯等聚環氧乙烷山梨糖醇酐脂肪酸酯類等非離子系表面 活性劑、商品名耶佛特EF301、EF303、EF352(特肯姆(股 )製)、商品名美凱發F171、F173、R-08、R-30(大日本油 墨化學工業(股)製)、佛洛拉FC430、FC431(住友3M(股) @ 製)、商品名艾撒西 AG710、撒佛隆 S-3 82、SC101、 SC102、SC103、SC104、SC105、SC106 (旭硝子(股)製)等 氟系表面活性劑,及有機矽氧烷聚合物KP341(信越化學 工業(股)製)等。此等表面活性劑可單獨使用,或二種以 上組合使用。使用表面活性劑時,其比率相對於縮合物( 聚有機矽氧烷)100質量份爲0.0001至5質量份,或0.001 至1質量份或0.01至0.5質量份。 又,本發明之光阻下層膜形成組成物可添加液流調整 β 劑及接著補助劑等。液流調整劑可有效提升下層膜形成組 成物之流動性。接著輔助劑可有效提升半導體基板或光阻 與下層膜之密合性。 本發明之光阻下層膜形成組成物所使用的溶劑可爲, 能溶解前述固體成份之物’並無特別限制。該類溶劑如, 甲基溶纖劑乙酸酯、乙基溶纖劑乙酸酯、丙二醇、丙二醇 一甲基醚、丙二醇一丁基醚、丙二醇—甲基醚乙酸酯、丙 二醇一乙基醚乙酸酯、丙二醇—丙基醚乙酸酯、丙二醇一 -56- 200941145 丁基醚乙酸酯、甲苯、二甲苯、甲基乙基酮、環戊酮、環 己酮、2-羥基丙酸乙酯、2-羥基-2-甲基丙酸乙酯、乙氧基 乙酸乙酯、羥基乙酸乙酯、2-羥基-3-甲基丁酸甲酯、3-甲 氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、 3-乙氧基丙酸甲酯、丙酮酸甲酯、丙酮酸乙酯、乙二醇一 甲基醚、乙二醇一乙基醚、乙二醇一丙基醚、乙二醇一丁 基醚、乙二醇一甲基醚乙酸酯、乙二醇一乙基醚乙酸酯、 φ 乙二醇一丙基醚乙酸酯、乙二醇一丁基醚乙酸酯、二乙二 醇二甲基醚、二乙二醇二乙基醚、二乙二醇二丙基醚、二 乙二醇二丁基醚、丙二醇一甲基醚、丙二醇二甲基醚、丙 二醇二乙基醚、丙二醇二丙基醚、丙二醇二丁基醚、乳酸 乙酯、乳酸丙酯、乳酸異丙酯、乳酸丁酯、乳酸異丁酯、 甲酸甲酯、甲酸乙酯、甲酸丙酯、甲酸異丙酯、甲酸丁酯 、甲酸異丁酯、甲酸戊酯、甲酸異戊酯、乙酸甲酯、乙酸 乙酯、乙酸戊酯、乙酸異戊酯、乙酸己酯、丙酸甲酯、丙 〇 酸乙酯、丙酸丙酯、丙酸異丙酯、丙酸丁酯、丙酸異丁酯 、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸異丙酯、丁酸丁 酯、丁酸異丁酯、羥基乙酸乙酯、2-羥基-2-甲基丙酸乙 酯、3-甲氧基-2-甲基丙酸甲酯、2-羥基-3-甲基丁酸甲酯 、甲氧基乙酸乙酯、乙氧基乙酸乙酯、3-甲氧基丙酸甲酯 、3-乙氧基丙酸乙酯、3-甲氧基丙酸乙酯、3-甲氧基丁基 乙酸酯、3-甲氧基丙基乙酸酯、3-甲基-3-甲氧基丁基乙酸 酯、3-甲基-3-甲氧基丁基丙酸酯、3-甲基-3·甲氧基丁基 丁酸酯、乙醯乙酸甲酯、甲苯、二甲苯、甲基乙基酮、甲 -57- 200941145 基丙基Κ、甲基丁基酮、2-庚酮、3-庚酮、4-庚酮、環己 酮、Ν,Ν-二甲基甲醯胺、Ν_甲基乙醯胺、ν,Ν-二甲基乙醯 胺、Ν-甲基吡咯烷酮及丁內酯等。此等溶劑可單獨或 二種以上組合使用。 下面將說明本發明之光阻下層膜形成組成物的使用法 〇 使用旋塗機、塗佈器等適當塗佈方法,將本發明之光 阻下層膜形成組成物塗佈於製造半導體裝置用的基板(例 如矽回路基板、矽/二氧化矽被覆基板、氮化矽基板、ITO 基板、聚醯亞胺基板及低電容率材料(l〇w-k材料)被覆基 板等)上’再焙燒形成光阻下層膜。焙燒條件可由焙燒溫 度80 °c至250 °c、焙燒時間0.3至60分鐘中適當選擇。 較佳爲焙燒溫度1 5 0 °C至2 5 0 °C、焙燒時間0.5至2分鐘 。所形成的下層膜之膜厚如,10至lOOOnm,或20至 500nm,或 50 至 300nm,或 100 至 200nm。 其次於該光阻下層膜上形成例如光致抗蝕劑層。形成 光致抗蝕劑層時可以已知方法,即將光致抗鈾劑組成物溶 液塗佈及焙燒於下層膜上之方法進行。光致抗蝕劑之膜厚 如 50 至 lOOOOnm,或 100 至 2000nm,或 200 至 lOOOnm 本發明組成物於光阻下層膜上之光致抗蝕劑可爲,對 曝光用的光具感光性之物,並無特別限定。可使用負型光 致抗蝕劑及正型光致抗蝕劑中任何1種。其可爲由酚醛清 漆樹脂及1,2-萘醌二疊氮磺酸酯形成之正型光致抗蝕劑、 -58- 200941145 由具有藉由酸之分解可提升鹼溶解速度之基的黏合劑及光 酸發生劑形成的化學加強型光致抗蝕劑、由藉由酸之分解 可提升光致抗蝕劑之鹼溶解速度的低分子化合物及鹼可溶 性黏合劑及光酸發生劑形成的化學加強型光致抗蝕劑,及 由具有藉由酸之分解可提升鹼溶解速度的基之黏合劑及藉 由酸之分解可提升光致抗蝕劑之鹼溶解速度的低分子化合 物及光酸發生劑形成之化學加強型光致抗蝕劑等。例如, 0 西普雷公司製商品名APEX-E、住友化學工業(股)製商品 名PAR710,及信越化學工業(股)製商品名SEPR430等。又 如,Proc. SPIE,Vol· 3999,330-334(2000)、Proc. SPIE, Vol. 3999, 3 57-364 (2000)、Proc. SPIE, Vol. 3999, 365-374(2000)所記載的含氟原子聚合物系光致抗蝕劑。 其次通過一定圖罩進行曝光。曝光時可使用KrF準分 子雷射(波長248nm)、ArF準分子雷射(波長193nm)及F 準分子雷射(波長157nm)等。曝光後必要時可進行曝光後 〇 加熱(post exposure bake)。曝光後加熱可以加熱溫度70°c 至15 0°C,加熱時間0.3至10分鐘中適當選擇之條件進行 〇 又,本發明可以電子線微影蝕刻用光阻取代光致抗蝕 劑作爲光阻用。電子線光阻可爲負型、正型中任何1種。 其可爲由酸發生劑及具有藉由酸之分解可改變鹼溶解速度 的基之黏合劑形成的化學加強型光阻、由鹼可溶性黏合劑 及酸發生劑及藉由酸之分解可改變光阻的鹼溶解速度之低 分子化合物形成的化學加強型光阻、由酸發生劑及具有藉 -59- 200941145 由酸之分解可改變鹼溶解速度的基之黏合劑及藉由酸之分 解可改變光阻的鹼溶解速度之低分子化合物形成的化學加 強型光阻、由具有由電子線之分解可改變鹼溶解速度的基 之黏合劑形成的非化學加強型光阻,由具有藉由電子線切 斷可改變鹼溶解速度之部位的黏合劑形成之非化學加強型 光阻等。使用此等電子線光阻時可同照射源爲電子線之光 致抗鈾劑形成光阻圖型。 其次利用顯像液進行顯像,此時例如使用正型光致抗 蝕劑時可去除曝光部分之光致抗蝕劑,而形成光致抗蝕劑 之圖型。 顯像液如,氫氧化鉀、氫氧化鈉等鹼金屬氧化物之水 溶液、氫氧化四甲基銨、氫氧化四乙基銨、膽鹼等氫氧化 四級銨之水溶液、乙醇胺、丙基胺、伸乙基二胺等胺水溶 液等鹼性水溶液。又此等顯像液可添加表面活性劑等。顯 像條件可由溫度5至50°C、時間10至600秒中適當選擇 〇 接著以所形成的光致抗蝕劑(上層)圖型爲保護膜,去 除本發明之光阻下層膜(中間層),再以由圖型化之光致抗 蝕劑及本發明之光阻下層膜(中間層)形成的膜爲保護膜, 去除有機下層膜(下層)。最後以圖型化之本發明的光阻下 層膜(中間層)及有機下層膜(下層)爲保護膜,對半導體基 板進行加工。 首先,藉由乾蝕除去已去除光致抗蝕劑之部分的本發 明之光阻下層膜(中間層),而曝露半導體基板。本發明之 200941145 光阻下層膜的乾蝕步驟係使用四氟甲烷(cf4)、全氟環丁 烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷、一氧化碳、氬、 氧、氮、六氟化硫、二氟甲烷、三氟化氮及三氟化氯、氯 、三氯硼烷及二氯硼烷等氣體。光阻下層膜之乾蝕步驟較 佳爲使用鹵系氣體。使用鹵系氣體之乾蝕步驟,基本上不 易去除由有機物質形成之光致抗蝕劑。相對地含有較多矽 原子之本發明的光阻下層膜可快速藉由鹵系氣體去除。因 0 此可抑制隨著乾鈾光阻下層膜而減少光致抗鈾劑之膜厚。 故可使用薄膜狀光致抗蝕劑。光阻下層膜之乾蝕步驟較佳 爲使用氟系氣體,氟系氣體如,四氟甲烷(cf4)、全氟環 丁烷(C4F8)、全氟丙烷(C3F8)、三氟甲烷,及二氟甲烷 (CH2F2)等。 其後以由圖型化之光致抗蝕劑及本發明之光阻下層膜 形成的膜爲保護膜,去除有機下層膜。有機下層膜(下層) 較佳爲使用氧系氣體進行乾蝕。其因爲,含有較多矽原子 Φ 之本發明的光阻下層膜不易使用氧系氣體進行乾鈾去除。 最後對半導體基板進行加工。半導體基板加工較佳爲 使用氟系氣體進行乾蝕。 氟系氣體如,四氟甲烷(cf4)、全氟環丁烷(c4F8)、全 氟丙烷(C3F8)、三氟甲烷,及二氟甲烷(CH2F2)等。 又,本發明之光阻下層膜的上層可於形成光致抗飩劑 前形成有機系防反射膜。此時所使用的防反射膜組成物並 無特別限制,可由目前爲止微影蝕刻步驟使用之物中任意 選用,又可於慣用之方法,例如使用旋塗機、塗佈器藉由 -61 - 200941145 塗佈及焙燒形成防反射膜。 本發明可於基板上形成有機下層膜後,於其上方使本 發明之光阻下層膜成膜,再將光致抗蝕劑被覆其上方。如 此既使減少光致抗蝕劑之圖型幅寬,而爲了防止圖型倒塌 被覆較薄之光致抗蝕劑時,也可藉由適當選擇蝕刻氣體進 行基板加工。例如,以相對於光致抗蝕劑具有充分快速的 蝕刻速度之氟系氣體作爲乾蝕氣體,可加工本發明之光阻 下層膜。又以相對於本發明之光阻下層膜具有充分快速的 @ 蝕刻速度之氧系氣體作爲飩刻氣體,可加工有機下層膜, 另外以相對於有機下層膜具有充分快速的鈾刻速度之氟系 氣體作爲蝕刻氣體,可加工基板。 又,塗佈本發明之光阻下層膜形成組成物的基板可爲 ,其表面上具有以CVD法等形成的有機系或無機系防反 射膜,又其上方可形成本發明之下層膜。The organic polymer compound to be used may be a polymer compound having a weight average molecular weight of, for example, 1,000 to 1,000,000, or 3,000 to 300,000, or 5,000 to 200,000, or 10,000 to 100,000. U The organic polymer may be used singly or in combination of two or more. When the organic polymer compound is used, the ratio thereof is from 1 to 200 parts by mass, or from 5 to 100 parts by mass, or from 10 to 50 parts by mass, or from 20 to 30 parts by mass, per 100 parts by mass of the condensate (polyorganosiloxane). . The photoresist underlayer film forming composition of the present invention may contain an acid generator. An acid generator such as a thermal acid generator or a photoacid generator. The photoacid generator is such that an acid is generated when the photoresist is exposed. Therefore, the acidity of the underlayer film can be adjusted. It is one of the @acidity methods for adjusting the acidity of the underlayer film and the photoresist of the upper layer. Further, the acidity of the underlayer film is adjusted to modulate the pattern shape of the photoresist formed in the upper layer. The photo-acid generating agent contained in the composition of the photoresist underlayer film of the present invention is, for example, a gun salt compound, a sulfonium imide compound, a disulfonium diazomethane compound or the like. Bismuth salt compounds such as diphenyl iodine hexafluorophosphate, diphenyl iodine trifluoromethane sulfonate, diphenyl iodine gun nonafluoro n-butane sulfonate, diphenyl iodine gun perfluoro-n-octane Alkane sulfonate, diphenyl iodazine sulfonate, bis(4--54-200941145 tert-butylphenyl) iodine sulfonate and bis(4-tert-butylphenyl) iodine gun An iodine salt compound such as trifluoromethanesulfonate, and triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonate, and triphenylsulfonium An onium salt compound such as a fluoromethanesulfonate or the like. A thiopurine compound such as N-(trifluoromethanesulfonyloxy) succinimide, N-(nonafluoro-n-butanesulfonyloxy) succinimide, N-(sulphonyloxy) Amber quinone imine and N-(trifluoromethanesulfonyloxy)naphthyl imine. Φ Disulfonium diazomethane compound such as bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluene) Sulfonium) diazomethane, bis(2,4-dimethylbenzenesulfonate)diazomethane, and methylsulfonyl-P-toluenesulfonium diazomethane. The photoacid generator may be used singly or in combination of two or more. When the photoacid generator is used, the ratio thereof is 0.01 to 5 parts by mass, or 0.1 to 3 parts by mass, or 0.5 to 1 part by mass, per 100 parts by mass of the condensate (polyorganosiloxane). The φ surfactant can be effectively suppressed, and pinholes and expansions occur when the photoresist underlayer film forming composition for lithography etching of the present invention is applied to a substrate. The surfactant contained in the photoresist underlayer film forming composition of the present invention, such as polyethylene oxide lauryl ether, polyethylene oxide stearyl ether, polyethylene oxide hexadecane ether, polyethylene oxide Polyethylene oxide alkyl allyl ethers such as polyethylene oxide alkyl ethers such as oleyl ether, polyethylene oxide octylphenol ether, and polyethylene oxide nonyl phenol ether, polyethylene oxide • Polypropylene oxide block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbose Alkyd trioleate-55- 200941145 sorbitan fatty acid esters such as ester, sorbitan tristearate, polyethylene oxide sorbitan monolaurate, polyethylene oxide sorbitol Anhydride monopalmitate, polyethylene oxide sorbitan monostearate, polyethylene oxide sorbitan trioleate, polyethylene oxide sorbitan tristearate, etc. Nonionic surfactants such as polyethylene oxide sorbitan fatty acid esters, trade names of EF301, EF303, EF352 (made by Tekken) Names Meikaifa F171, F173, R-08, R-30 (Daily Ink Chemical Industry Co., Ltd.), Flora FC430, FC431 (Sumitomo 3M (share) @ system), trade name Isaac AG710, Fluoride-based surfactants such as Seflon S-3 82, SC101, SC102, SC103, SC104, SC105, SC106 (made by Asahi Glass Co., Ltd.) and organic alkane polymer KP341 (manufactured by Shin-Etsu Chemical Co., Ltd.) Wait. These surfactants may be used singly or in combination of two or more. When the surfactant is used, the ratio thereof is 0.0001 to 5 parts by mass, or 0.001 to 1 part by mass or 0.01 to 0.5 parts by mass relative to 100 parts by mass of the condensate (polyorganosiloxane). Further, in the photoresist underlayer film forming composition of the present invention, a liquid phase adjusting ? agent and a subsidizing agent may be added. The flow regulating agent can effectively improve the fluidity of the underlying film forming composition. The adjuvant can then effectively improve the adhesion of the semiconductor substrate or photoresist to the underlying film. The solvent used in the photoresist underlayer film forming composition of the present invention may be one in which the solid component can be dissolved, and is not particularly limited. Such solvents are, for example, methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether, propylene glycol monobutyl ether, propylene glycol-methyl ether acetate, propylene glycol monoethyl Ether acetate, propylene glycol-propyl ether acetate, propylene glycol 1-56-200941145 butyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, 2-hydroxypropyl Ethyl acetate, ethyl 2-hydroxy-2-methylpropanoate, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-3-methylbutanoate, 3-methoxypropionic acid Ester, ethyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, ethylene glycol monomethyl ether, Ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, φ ethylene glycol Monopropyl ether acetate, ethylene glycol monobutyl ether acetate, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol dipropyl ether, diethylene glycol Dibutyl ether, propylene glycol monomethyl ether, propylene glycol Methyl ether, propylene glycol diethyl ether, propylene glycol dipropyl ether, propylene glycol dibutyl ether, ethyl lactate, propyl lactate, isopropyl lactate, butyl lactate, isobutyl lactate, methyl formate, formic acid Ester, propyl formate, isopropyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl acetate, ethyl acetate, amyl acetate, isoamyl acetate, hexyl acetate, Methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, butyl propionate, isobutyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, butyric acid Isopropyl ester, butyl butyrate, isobutyl butyrate, ethyl hydroxyacetate, ethyl 2-hydroxy-2-methylpropionate, methyl 3-methoxy-2-methylpropionate, 2- Methyl hydroxy-3-methylbutanoate, ethyl methoxyacetate, ethyl ethoxyacetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, 3-methoxy Ethyl propionate, 3-methoxybutyl acetate, 3-methoxypropyl acetate, 3-methyl-3-methoxybutyl acetate, 3-methyl-3- Methoxybutyl propionate, 3-methyl-3. methoxybutyl butyrate , ethyl acetate methyl acetate, toluene, xylene, methyl ethyl ketone, methyl-57- 200941145 propyl propyl ketone, methyl butyl ketone, 2-heptanone, 3-heptanone, 4-heptanone, ring Hexanone, hydrazine, hydrazine-dimethylformamide, hydrazine-methylacetamide, ν, hydrazine-dimethylacetamide, hydrazine-methylpyrrolidone and butyrolactone. These solvents may be used alone or in combination of two or more. Hereinafter, a method of using the photoresist underlayer film forming composition of the present invention will be described. The photoresist underlayer film forming composition of the present invention is applied to a semiconductor device by a suitable coating method such as a spin coater or an applicator. Substrate (for example, a germanium circuit substrate, a tantalum/cerium oxide coated substrate, a tantalum nitride substrate, an ITO substrate, a polyimide substrate, a low-permittivity material (such as a substrate) coated substrate, etc.) is re-baked to form a photoresist Lower film. The calcination conditions can be appropriately selected from the calcination temperature of 80 ° C to 250 ° C and the calcination time of 0.3 to 60 minutes. Preferably, the calcination temperature is from 150 ° C to 250 ° C and the calcination time is from 0.5 to 2 minutes. The film thickness of the underlayer film formed is, for example, 10 to 100 nm, or 20 to 500 nm, or 50 to 300 nm, or 100 to 200 nm. Next, for example, a photoresist layer is formed on the underlayer film of the photoresist. When a photoresist layer is formed, a known method is employed in which a solution of a photo-induced uranium-repellent composition is applied and baked on an underlayer film. The film thickness of the photoresist is, for example, 50 to 1000 nm, or 100 to 2000 nm, or 200 to 100 nm. The photoresist of the composition of the present invention on the underlayer film of the photoresist may be photosensitive to the light for exposure. The substance is not particularly limited. Any of a negative type photoresist and a positive type photoresist can be used. It may be a positive photoresist formed of a novolac resin and 1,2-naphthoquinonediazide sulfonate, -58-200941145, which is bonded by a base having a base dissolution rate by decomposition of an acid. A chemically amplified photoresist formed by a reagent and a photoacid generator, formed of a low molecular compound capable of increasing the alkali dissolution rate of the photoresist by decomposition of an acid, an alkali-soluble binder, and a photoacid generator Chemically-enhanced photoresist, and a low-molecular compound and light which have a base which can increase the alkali dissolution rate by decomposition of an acid and a base which can accelerate the alkali dissolution rate of the photoresist by decomposition of an acid A chemically amplified photoresist formed by an acid generator or the like. For example, the product name APEX-E manufactured by Sipley Co., Ltd., the product name PAR710 manufactured by Sumitomo Chemical Industries Co., Ltd., and the trade name SEPR430 manufactured by Shin-Etsu Chemical Co., Ltd. Further, as described in Proc. SPIE, Vol. 3999, 330-334 (2000), Proc. SPIE, Vol. 3999, 3 57-364 (2000), Proc. SPIE, Vol. 3999, 365-374 (2000). Fluorinated atomic polymer based photoresist. Secondly, exposure is performed through a certain mask. KrF quasi-molecular laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), and F excimer laser (wavelength 157 nm) can be used for exposure. After exposure, post exposure bake can be performed if necessary. The post-exposure heating can be carried out by heating the temperature at 70 ° C to 150 ° C, and the heating time is appropriately selected in the range of 0.3 to 10 minutes. In addition, the present invention can replace the photoresist as a photoresist with photoresist for electron line micro-etching. use. The electron line resist can be either one of a negative type and a positive type. It may be a chemically-enhanced photoresist formed by an acid generator and a binder having a base which can change the rate of alkali dissolution by decomposition of an acid, an alkali-soluble binder and an acid generator, and a light which can be changed by decomposition of an acid. A chemically-enhanced photoresist formed by a low molecular compound having a retarding alkali dissolution rate, an acid generating agent and a binder having a base which can change the alkali dissolution rate by decomposition of an acid - and can be changed by decomposition of an acid a chemically-enhanced photoresist formed by a low-molecular compound having a high alkali dissolution rate of a photoresist, and a non-chemically-enhanced photoresist formed of a binder having a base which can change the alkali dissolution rate by decomposition of an electron beam, having an electron beam A non-chemically-enhanced photoresist or the like formed by a binder which cuts the portion where the alkali dissolution rate is changed is cut. When such an electron beam resist is used, a photoresist pattern can be formed with an anti-uranium agent that is an electron beam. Secondly, development is carried out using a developing solution. At this time, for example, when a positive photoresist is used, the exposed portion of the photoresist can be removed to form a pattern of the photoresist. A developing solution such as an aqueous solution of an alkali metal oxide such as potassium hydroxide or sodium hydroxide, an aqueous solution of tetramethylammonium hydroxide such as tetramethylammonium hydroxide, tetraethylammonium hydroxide or choline, ethanolamine or propylamine An aqueous alkaline solution such as an aqueous solution of an amine such as ethyl diamine. Further, a surfactant or the like may be added to these developing solutions. The developing condition can be appropriately selected from a temperature of 5 to 50 ° C and a time of 10 to 600 seconds, followed by forming a photoresist (upper layer) pattern as a protective film to remove the photoresist underlayer film of the present invention (intermediate layer) Then, a film formed of the patterned photoresist and the photoresist underlayer film (intermediate layer) of the present invention is used as a protective film to remove the organic underlayer film (lower layer). Finally, the photoresist underlayer film (intermediate layer) and the organic underlayer film (lower layer) of the present invention are patterned to form a semiconductor substrate. First, the photoresist substrate (intermediate layer) of the present invention from which the photoresist has been removed is removed by dry etching to expose the semiconductor substrate. The dry etching step of the 200941145 photoresist underlayer film of the present invention uses tetrafluoromethane (cf4), perfluorocyclobutane (C4F8), perfluoropropane (C3F8), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, and six. Sulfur fluoride, difluoromethane, nitrogen trifluoride and chlorine, chlorine, trichloroborane and dichloroborane. The dry etching step of the photoresist underlayer film is preferably a halogen-based gas. The photoresist formed by the organic substance is substantially not easily removed by the dry etching step of the halogen-based gas. The photoresist underlayer film of the present invention which relatively contains a large amount of germanium atoms can be quickly removed by a halogen-based gas. Because of this, it can suppress the film thickness of the photo-induced uranium-resistant agent along with the dry uranium photoresist underlayer film. Therefore, a film-like photoresist can be used. The dry etching step of the photoresist underlayer film is preferably a fluorine-based gas such as tetrafluoromethane (cf4), perfluorocyclobutane (C4F8), perfluoropropane (C3F8), trifluoromethane, and Fluoromethane (CH2F2) and the like. Thereafter, a film formed of the patterned photoresist and the photoresist underlayer film of the present invention is used as a protective film to remove the organic underlayer film. The organic underlayer film (lower layer) is preferably dry-etched using an oxygen-based gas. This is because the photoresist underlayer film of the present invention containing a large amount of germanium atoms Φ is not easily subjected to dry uranium removal using an oxygen-based gas. Finally, the semiconductor substrate is processed. The semiconductor substrate processing is preferably dry etching using a fluorine-based gas. Fluorine-based gases such as tetrafluoromethane (cf4), perfluorocyclobutane (c4F8), perfluoropropane (C3F8), trifluoromethane, and difluoromethane (CH2F2). Further, the upper layer of the photoresist underlayer film of the present invention can form an organic antireflection film before forming a photo-damaging agent. The anti-reflection film composition used at this time is not particularly limited, and may be arbitrarily selected from those used in the lithography etching step so far, and may be conventionally used, for example, by using a spin coater or an applicator by -61 - 200941145 Coating and baking to form an anti-reflection film. In the present invention, after the organic underlayer film is formed on the substrate, the photoresist underlayer film of the present invention is formed thereon, and the photoresist is coated thereon. Therefore, even if the pattern width of the photoresist is reduced, and in order to prevent the pattern from collapsing to coat the thin photoresist, the substrate processing can be performed by appropriately selecting the etching gas. For example, the photoresist underlayer film of the present invention can be processed by using a fluorine-based gas having a sufficiently fast etching rate with respect to the photoresist as a dry etching gas. Further, the oxygen-based gas having a sufficiently fast @etching speed as the etching gas with respect to the photoresist underlayer film of the present invention can process the organic underlayer film, and further has a fluorine system having a sufficiently fast uranium engraving speed with respect to the organic underlayer film. The gas acts as an etching gas to process the substrate. Further, the substrate on which the photoresist underlayer film forming composition of the present invention is applied may have an organic or inorganic antireflection film formed by a CVD method or the like on the surface thereof, and a lower layer film of the present invention may be formed thereon.

由本發明之光阻下層膜形成組成物形成的光阻下層膜 爲,相對於微影蝕刻步驟所使用之光波長,對該光具有吸 Q 收性。此時本發明之光阻下層膜具有,具有防止由基板反 射光之效果的防反射膜用機能。另外,本發明之下層膜可 作爲,防止基板與光致抗蝕劑之相互作用的層、具有防止 光致抗蝕劑所使用的材料或光致抗鈾劑曝光時所生成的物 質影響基板之機能的層、具有防止加熱焙燒時由基板生成 的物質擴散至上層光致抗蝕劑之機能的層、及減少因半導 體基板介電體層而造成光致抗蝕劑層中毒效果用之阻擋層 -62- 200941145 又’由本發明之光阻下層膜形成組成物形成的光阻下 層膜可作爲,適用於雙金屬嵌入製程所使用的形成通路孔 之基板,可塡充孔間隙用之塡埋劑用。又,本發明之光阻 下層膜可作爲,使凹凸狀半導體基板之表面平坦化的平坦 化劑用。 【實施方式】 下面將以實施例更具體說明本發明,但本發明非限於 該例。 實施例 (解析異氰酸酯基及團塊化劑之反應生成物) 將3-(三乙氧基矽烷基丙基)-異氰酸酯基10g及乙醇 l〇g放入200mL燒瓶中,回流2小時後減壓餾去過剩之乙 醇,得相當於式(A-50)之團塊化異氰酸酯基矽烷。測定該 〇 團塊化異氰酸酯矽烷之下述所示NMR(核磁共振)及FT-IR(紅外線吸收)光譜,確定爲相當於式(A-50)之團塊化異 氰酸酯矽烷。 NMR光譜之結果如圖1所示。NMR係使用5 00MHz W-NMRi裝置名ECP 5 00,日本電子(股)製)於重DMSO溶 劑中,以室溫測定。相當於下述構造之(a)的峰爲5.0ppm 附近存在寬幅的峰,相當於(b)之峰爲4.0至4.2ppm存在 四重線,相當於(c)之峰爲3.75至3.85ppm存在四重線, 相當於(d)之峰爲3.1至3.2ppm存在四重線,相當於(e)之 -63- 200941145 峰爲1 .55至1.62ppm存在五重線’相當於(f)及(g)之峰爲 1 .1 5至1 _30ppm存在三重線’相當於(h)之峰爲〇 6〇至 0.65ppm存在三重線。 [化 30]The photoresist underlayer film formed of the photoresist underlayer film forming composition of the present invention has a Q-absorbing property with respect to the wavelength of light used in the lithography etching step. At this time, the photoresist underlayer film of the present invention has a function of an antireflection film which prevents the effect of reflecting light from the substrate. In addition, the underlayer film of the present invention can serve as a layer for preventing interaction between the substrate and the photoresist, a material for preventing the photoresist from being used, or a substance generated by exposure of the photo-induced uranium-impregnating agent to the substrate. a functional layer, a layer having a function of preventing diffusion of a substance generated from the substrate to the upper layer photoresist during heating baking, and a barrier layer for reducing a poisoning effect of the photoresist layer due to the dielectric substrate dielectric layer - 62- 200941145 Further, the photoresist underlayer film formed of the photoresist underlayer film forming composition of the present invention can be used as a substrate for forming a via hole used in a bimetal embedding process, and can be used for a plugging agent for filling a hole gap. . Further, the photoresist lower layer film of the present invention can be used as a flattening agent for flattening the surface of the uneven semiconductor substrate. [Embodiment] Hereinafter, the present invention will be described more specifically by way of examples, but the invention is not limited thereto. EXAMPLES (Analysis of Reaction Products of Isocyanate Group and Agglomeration Agent) 10 g of 3-(triethoxydecylpropyl)-isocyanate group and 10 g of ethanol were placed in a 200 mL flask, and refluxed for 2 hours. The excess ethanol is distilled off to obtain agglomerated isocyanate decane of the formula (A-50). The NMR (nuclear magnetic resonance) and FT-IR (infrared absorption) spectra shown below of the oxime-blocked isocyanate decane were measured and determined to correspond to the agglomerated isocyanate decane of the formula (A-50). The results of the NMR spectrum are shown in Fig. 1. The NMR was measured at room temperature using a 500 MHz W-NMRi device name ECP 5 00, manufactured by Nippon Electronics Co., Ltd. in a heavy DMSO solvent. The peak corresponding to the following structure (a) has a broad peak near 5.0 ppm, and the peak corresponding to (b) has a quartet of 4.0 to 4.2 ppm, and the peak corresponding to (c) is 3.75 to 3.85 ppm. There is a quadruple line, which corresponds to a peak of (d) of 3.1 to 3.2 ppm. There is a quadruple line equivalent to (e) -63-200941145. The peak is 1.55 to 1.62ppm. There is a five-line 'equivalent' (f) And the peak of (g) is 1.15 to 1 _30 ppm, there is a triple line 'the peak corresponding to (h) is 三6〇 to 0.65ppm, there is a triple line. [化30]

FT-IR光譜係以ATR法測定。其係使用裝置名Nicolet 6700(Thermo FISHER SCIENTIFIC 公司製)裝置,以測定 波數4000至όδΟίίηΓ1、掃描次數32次、分解能8 cm·1進 行。IR光譜之測定光譜如圖2所示。 相當於N-H伸縮振動之峰存在於3340 cm·1,相當於 CH3之C-H伸縮振動的峰存在於297 5 cm·1,相當於CH2 之C-H伸縮振動的峰存在於2929 cm·1、2887 cm·1,相當 於C = 0伸縮振動(醯胺I)之峰存在於1700 cm·1,相當於 醯胺N-H變角振動(醯胺II)之峰存在於1 53 3 cm·1,相當 於CH2之C-H變角振動的峰存在於1444 cm·1,CH3之C-H變角振動存在於1390 cm·1,C-O逆對稱伸縮振動存在 於 1247 cm·1,Si-0-C 骨架振動存在於 1102 cm·1、1066 200941145 cnT1,Si-C變角振動存在於775 cnT1。 合成例1(合成ICY70) 將3-(三乙氧基矽烷基丙基)-異氰酸酯(東京化成工業( 股)製)64.64g、四乙氧基矽烷(東京化成工業(股)製)23.3 3 g 、乙醇87.98g ’放入300mL燒瓶中,溶解後以磁力攪拌 器攪拌所得混合溶液,同時加溫回流。 0 此時生成含有式(A-50)之含有團塊化異氰酸酯基的水 解性有機矽烷及四乙氧基矽烷之混合物的乙醇溶液。 其次將離子交換水22.19g中溶解鹽酸1.36g之水溶 液加入混合溶液中,反應1 2 0分鐘後,將所得的反應溶液 冷卻至室溫。其後將丙二醇一甲基醚乙酸酯200g加入反 應溶液中,減壓餾去反應副產物之乙醇、水及鹽酸後,得 水解縮合物溶液。推斷所得的聚合物具有相當於式(C-15) 之部分構造。所得的聚合物含有約70莫耳%之來自式(1) 〇 的重覆單位。 所得聚合物來自GPC之重量平均分子量以聚苯乙烯 換算爲Mw2200。 合成例2(合成ICY50) 將3-(三乙氧基矽烷基丙基)-異氰酸酯47.25g、四乙 氧基矽烷39.79g、乙醇87.04g,放入300mL燒瓶中’溶 解後以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。 此時生成含有式(A-50)之含有團塊化異氰酸酯基的水解性 -65- 200941145 有機矽烷及四乙氧基矽烷之混合物的乙醇溶液。 其次將離子交換水24.08g中溶解鹽酸1.39g之水溶 液加入混合溶液中,反應120分鐘後將所得的反應溶液冷 卻至室溫。其後將丙二醇一甲基醚乙酸酯200g加入反應 溶液中,減壓餾去反應副產物之乙醇、水及鹽酸後,得水 解縮合物溶液。推斷所得的聚合物具有相當於式(C-15)之 部分構造。所得的聚合物含有約50莫耳%之來自式(1)的 重覆單位。所得聚合物來自GPC之重量平均分子量以聚 @ 苯乙烯換算爲Mw2600。 合成例3(合成ICY30) 將3-(三乙氧基矽烷基丙基)-異氰酸酯29.15g、四乙 氧基矽烷57.29g、乙醇86.44g,放入300mL燒瓶中,溶 解後以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。 此時生成含有式(A-50)之含有團塊化異氰酸酯基的水解性 有機矽烷及四乙氧基矽烷之混合物的乙醇溶液。其次將離 u 子交換水26.18g中溶解鹽酸1.43g之水溶液加入混合溶 液中,反應120分鐘後將所得的反應溶液冷卻至室溫。其 後將丙二醇一甲基醚乙酸酯200g加入反應溶液中,減壓 餾去反應副產物之乙醇、水及鹽酸後,得水解縮合物溶液 。推斷所得的聚合物具有相當於式(C-15)之部分構造。所 得的聚合物含有約30莫耳%之來自式(1)的重覆單位。所 得聚合物來自GPC之重量平均分子量以聚苯乙烯換算爲 Mw3 1 00。 -66- 200941145 合成例4(合成ICY10) 將3-(三乙氧基矽烷基丙基)-異氰酸酯9.95g、四乙氧 基矽烷75.42g、乙醇87.04g,放入300mL燒瓶中,溶解 後以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。此 時生成含有式(A-50)之含有團塊化異氛酸酯基的水解性有 機矽烷及四乙氧基矽烷之混合物的乙醇溶液。其次將離子 φ 交換水28.25g中溶解鹽酸1.47g之水溶液加入混合溶液 中,反應120分鐘後將所得的反應溶液冷卻至室溫。其後 將丙二醇一甲基醚乙酸酯200g加入反應溶液中,減壓餾 去反應副產物之乙醇、水及鹽酸後,得水解縮合物溶液。 推斷所得的聚合物具有相當於式(C-15)之部分構造。所得 的聚合物含有約1〇莫耳%之來自式(1)的重覆單位。所得 聚合物來自 GPC之重量平均分子量以聚苯乙烯換算爲 Mw65 00 〇 ❹ 合成例5(合成MeOH) 將3-(三乙氧基矽烷基丙基)-異氰酸酯5.26g、四乙氧 基矽烷51.20g、甲基三乙氧基矽烷(東京化成工業(股)製) 22.77g、苯基三甲氧基矽烷(東京化成工業(股)製)4.22g、 甲醇8 5.4 5 g放入30 OmL燒瓶中,溶解後以磁力攪拌器攪 拌所得的混合溶液,同時加溫回流。此時生成含有式(A-49)之含有團塊化異氰酸酯基的水解性有機矽烷、四乙氧 基矽烷、甲基三乙氧基矽烷及苯基三甲氧基矽烷之混合物 -67- 200941145 的甲醇溶液。 其次將離子交換水27.59g中溶解鹽酸1.55g之水溶 液加入混合溶液中,反應120分鐘後將所得的反應溶液冷 卻至室溫。其後將丙二醇一甲基醚乙酸酯200g加入反應 溶液中,減壓餾去反應副產物之乙醇、水及鹽酸後,得水 解縮合物溶液。推斷所得的聚合物具有相當於式(C-24)之 部分構造。所得的聚合物含有約5莫耳%之來自式(1)的重 覆單位。所得聚合物來自 GPC之重量平均分子量以聚苯 乙烯換算爲Mw8000。 合成例6(合成EtOH) 將3-(三乙氧基矽烷基丙基)-異氰酸酯5.26g、四乙氧 基矽烷51.20g、甲基三乙氧基矽烷22.77g、苯基三甲氧 基矽烷4.22g、乙醇85.45g放入3 00mL燒瓶中,溶解後 以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。此時 生成含有式(A-50)之含有團塊化異氰酸酯基的水解性有機 矽烷、四乙氧基矽烷、甲基三乙氧基矽烷及苯基三甲氧基 矽烷之混合物的乙醇溶液。 其次將離子交換水27.59g中溶解鹽酸i.55g之水溶 液加入混合溶液中,反應120分鐘後將所得的反應溶液冷 卻至室溫。其後將丙二醇一甲基醚乙酸酯200g加入反應 溶液中,減壓餾去反應副產物之乙醇、水及鹽酸後,得水 解縮合物溶液。推斷所得的聚合物具有相當於式(C-25)之 部分構造。所得的聚合物含有約5莫耳%之來自式(1)的重 -68- 200941145 覆單位。所得聚合物來自GPC之重量平均分子量以聚苯 乙烯換算爲Mw6300。 合成例7(合成1-BuOH) 將3-(三乙氧基矽烷基丙基)-異氰酸酯5.26g、四乙氧 基矽烷51.20g、甲基三乙氧基矽烷22.77g、苯基三甲氧 基矽烷4.22g、1-丁醇85.45g放入3 0 0mL燒瓶中,溶解後 0 以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。此時 生成含有式(A-51)之含有團塊化異氰酸酯基的水解性有機 矽烷、四乙氧基矽烷、甲基三乙氧基矽烷及苯基三甲氧基 矽烷之混合物的1-丁醇溶液。其次將離子交換水27.59g 中溶解鹽酸1.55g之水溶液加入混合溶液中,反應120分 鐘後將所得的反應溶液冷卻至室溫。其後將丙二醇一甲基 醚乙酸酯200g加入反應溶液中,減壓餾去反應副產物之 乙醇、水及鹽酸,得水解縮合物溶液。推斷所得的聚合物 具有相當於式(C-26)之部分構造。所得聚合物含有約5莫 耳%之來自式(1)的重覆單位。所得聚合物來自GPC之重 量平均分子量以聚苯乙烯換算爲Mw2 1 000。 合成例8(合成2-BuOH) 將3-(三乙氧基矽烷基丙基)_異氰酸酯5.26g、四乙氧 基矽烷51.20g、甲基三乙氧基矽烷22.77g、苯基三甲氧 基矽烷4.22g、2 -丁醇85.45g放入300mL燒瓶中,溶解後 以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。此時 -69- 200941145 生成含有式(A-5 2)之含有團塊化異氰酸酯基的水解性有機 矽烷、四乙氧基矽烷、甲基三乙氧基矽烷及苯基三甲氧基 矽烷之混合物的2-丁醇溶液。其次將離子交換水27.59g 中溶解鹽酸l_55g之水溶液加入混合溶液中,反應120分 鐘後將所得的反應溶液冷卻至室溫。其後將丙二醇一甲基 醚乙酸酯200g加入反應溶液中,減壓餾去反應副產物之 乙醇、水及鹽酸,得水解縮合物溶液。推斷所得的聚合物 具有相當於式(C-27)之部分構造。所得聚合物含有約5莫 耳%之來自式(1)的重覆單位。所得聚合物來自 GPC之重 量平均分子量以聚苯乙嫌換算爲Mwl0500。 合成例9(合成t-BuOH) 將3-(三乙氧基矽烷基丙基)-異氰酸酯5.26g、四乙氧 基矽烷51_20g、甲基三乙氧基矽烷22.77g、苯基三甲氧 基砂院4.22g、t -丁醇85.45g放入300mL燒瓶中,溶解後 以磁力攪拌器攪拌所得的混合溶液,同時加溫回流。此時 生成含有式(A-53)之含有團塊化異氰酸酯基的水解性有機 矽烷、四乙氧基矽烷' 甲基三乙氧基矽烷及苯基三甲氧基 矽烷之混合物的2-丁醇溶液。其次將離子交換水27.59g 中溶解鹽酸1.55g之水溶液加入混合溶液中,反應120分 鐘後將所得的反應溶液冷卻至室溫。其後將丙二醇一甲基 醚乙酸酯20 0g加入反應溶液中,減壓餾去反應副產物之 乙醇、水及鹽酸,得水解縮合物溶液。推斷所得的聚合物 具有相當於式(C-2 8)之部分構造。所得聚合物含有約5莫 200941145 耳%之來自式(1)的重覆單位。所得聚合物來自GPC之重 量平均分子量以聚苯乙烯換算爲M w83 00。 合成例1 〇 將Ν-[5-(三甲氧基矽烷基)-2-氮雜-1-羰基-戊基]己內 醯胺(式(Α-83),艾吉麻(股)製)6.68g、四乙氧基矽烷 52.5Og、甲基三乙氧基矽烷22.47g、苯基三甲氧基矽烷 0 4.16g、丙酮85.82g放入300mL燒瓶中,溶解後以磁力攪 拌器攪拌所得的混合溶液,同時加溫回流。 其次將離子交換水27.23g中溶解鹽酸1.53g之水溶 液加入混合溶液中,反應120分鐘後將所得的反應溶液冷 卻至室溫。其後將丙二醇一甲基醚乙酸酯200g加入反應 溶液中,減壓餾去反應副產物之乙醇、水及鹽酸,得水解 縮合物溶液。推斷所得的聚合物具有相當於式(C-30)之部 分構造。所得聚合物含有約5莫耳%之來自式(1)的重覆單 〇 位。所得聚合物來自 GPC之重量平均分子量以聚苯乙烯 換算爲Mw5000。 合成例11 冰浴下攪拌溶解於四氫呋喃150mL之9-芴基甲基氯 甲酸酯6.7 8g之溶液,同時滴入溶解於四氫呋喃70mL之 3-胺基丙基三乙氧基矽烷5.80g及三乙基胺2.98g。結束 滴液後,將反應溶液放置於室溫下攪拌40分鐘,再濾除 三乙基胺鹽酸鹽,由濾液去除溶劑後得白色固體。以己烷 -71 - 200941145 使所1%=固體再結晶’得目的物之化合物(A_89)。 [化 31]The FT-IR spectrum was measured by the ATR method. This device was used to measure the wave number 4000 to όδΟίίηΓ1, the number of scans 32 times, and the decomposition energy of 8 cm·1 using the device name Nicolet 6700 (manufactured by Thermo FISHER SCIENTIFIC). The measured spectrum of the IR spectrum is shown in Fig. 2. The peak corresponding to the NH stretching vibration exists at 3340 cm·1, and the peak corresponding to the CH stretching vibration of CH3 exists at 297 5 cm·1, and the peak corresponding to the CH stretching vibration of CH2 exists at 2929 cm·1, 2887 cm· 1, the equivalent of C = 0 stretching vibration (melamine I) peak exists at 1700 cm · 1, equivalent to the peak of the indole NH variable angular vibration (melamine II) is present at 1 53 3 cm · 1, equivalent to CH2 The peak of the CH-angle vibration exists at 1444 cm·1, the CH-angle vibration of CH3 exists at 1390 cm·1, the CO reverse-symmetric stretching vibration exists at 1247 cm·1, and the Si-0-C skeleton vibration exists at 1102 cm. · 1, 1066 200941145 cnT1, Si-C variable angle vibration exists in 775 cnT1. Synthesis Example 1 (Synthesis of ICY 70) 64.64 g of 3-(triethoxydecylpropyl)-isocyanate (manufactured by Tokyo Chemical Industry Co., Ltd.) and tetraethoxy decane (manufactured by Tokyo Chemical Industry Co., Ltd.) 23.3 3 g, ethanol 87.98 g 'put into a 300 mL flask, dissolved, and the resulting mixed solution was stirred with a magnetic stirrer while heating and refluxing. 0 At this time, an ethanol solution containing a mixture of a hydrolyzed organodecane and a tetraethoxydecane containing agglomerated isocyanate groups of the formula (A-50) was produced. Next, an aqueous solution of 1.36 g of hydrochloric acid dissolved in 22.19 g of ion-exchanged water was added to the mixed solution, and after the reaction for 120 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-15). The resulting polymer contained about 70 mole % of the repeating unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw 2,200 in terms of polystyrene. Synthesis Example 2 (Synthesis of ICY50) 47.25 g of 3-(triethoxydecylpropyl)-isocyanate, 39.79 g of tetraethoxydecane, and 87.04 g of ethanol were placed in a 300 mL flask, which was dissolved and stirred by a magnetic stirrer. The resulting mixed solution was heated and refluxed at the same time. At this time, an ethanol solution containing a mixture of hydrolyzable -65-200941145 organodecane and tetraethoxydecane containing agglomerated isocyanate groups of the formula (A-50) was produced. Next, an aqueous solution of 1.39 g of dissolved hydrochloric acid in 24.08 g of ion-exchanged water was added to the mixed solution, and after 120 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolyzed condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-15). The resulting polymer contained about 50 mole % of the repeating unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was converted to Mw 2600 in terms of poly@styrene. Synthesis Example 3 (Synthesis ICY30) 29.15 g of 3-(triethoxydecylpropyl)-isocyanate, 57.29 g of tetraethoxydecane, and 86.44 g of ethanol were placed in a 300 mL flask, dissolved, and stirred by a magnetic stirrer. The resulting mixed solution was heated and refluxed at the same time. At this time, an ethanol solution containing a mixture of the hydrolyzable organic decane and the tetraethoxy decane containing the agglomerated isocyanate group of the formula (A-50) was produced. Next, an aqueous solution of 1.43 g of dissolved hydrochloric acid in 26.18 g of u-exchanged water was added to the mixed solution, and after 120 minutes of reaction, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-15). The resulting polymer contained about 30 mole % of the repeating unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw3 00 in terms of polystyrene. -66-200941145 Synthesis Example 4 (Synthesis ICY10) 9.95 g of 3-(triethoxydecylpropyl)-isocyanate, 75.42 g of tetraethoxydecane, and 87.04 g of ethanol were placed in a 300 mL flask, and dissolved. The resulting mixed solution was stirred by a magnetic stirrer while heating and refluxing. At this time, an ethanol solution containing a mixture of the hydrolyzable organic decane and tetraethoxy decane containing the agglomerated isocyanate group of the formula (A-50) is produced. Next, an aqueous solution of 1.27 g of dissolved hydrochloric acid in 28.25 g of ion φ exchanged water was added to the mixed solution, and after 120 minutes of reaction, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-15). The resulting polymer contained about 1 mole % of the repeat unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw65 00 in terms of polystyrene. Synthesis Example 5 (synthetic MeOH) 3-(triethoxydecylpropyl)-isocyanate 5.26 g, tetraethoxydecane 51.20 g, methyl triethoxy decane (manufactured by Tokyo Chemical Industry Co., Ltd.) 22.77 g, phenyltrimethoxydecane (manufactured by Tokyo Chemical Industry Co., Ltd.) 4.22 g, methanol 8 5.4 5 g in a 30 OmL flask After dissolving, the resulting mixed solution was stirred with a magnetic stirrer while heating and refluxing. At this time, a mixture of hydrolyzable organodecane, tetraethoxydecane, methyltriethoxydecane and phenyltrimethoxydecane containing agglomerated isocyanate group of formula (A-49) is produced -67-200941145 Methanol solution. Next, an aqueous solution of 1.55 g of dissolved hydrochloric acid in 27.59 g of ion-exchanged water was added to the mixed solution, and after 120 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolyzed condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-24). The resulting polymer contained about 5 mole % of the repeating unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw 8000 in terms of polystyrene. Synthesis Example 6 (Synthesis of EtOH) 5.26 g of 3-(triethoxydecylpropyl)-isocyanate, 51.20 g of tetraethoxydecane, 22.77 g of methyltriethoxydecane, and phenyltrimethoxydecane 4.22 g. 85.45 g of ethanol was placed in a 300 mL flask, dissolved, and the resulting mixed solution was stirred with a magnetic stirrer while heating and refluxing. At this time, an ethanol solution containing a mixture of the hydrolyzable organic decane, tetraethoxy decane, methyl triethoxy decane and phenyltrimethoxy decane containing the agglomerated isocyanate group of the formula (A-50) was produced. Next, 27.59 g of ion-exchanged water was dissolved in i.55 g of an aqueous solution of hydrochloric acid, and the resulting reaction solution was cooled to room temperature after reacting for 120 minutes. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolyzed condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-25). The resulting polymer contained about 5 mole % of the heavy -68-200941145 coating unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw 6300 in terms of polystyrene. Synthesis Example 7 (Synthesis of 1-BuOH) 5.26 g of 3-(triethoxydecylpropyl)-isocyanate, 51.20 g of tetraethoxydecane, 22.77 g of methyltriethoxydecane, and phenyltrimethoxy group. 4.22 g of decane and 85.45 g of 1-butanol were placed in a 300 mL flask, and after dissolution, the resulting mixed solution was stirred with a magnetic stirrer while heating and refluxing. At this time, 1-butanol containing a mixture of the hydrolyzable organodecane, tetraethoxydecane, methyltriethoxydecane and phenyltrimethoxydecane containing the agglomerated isocyanate group of the formula (A-51) is produced. Solution. Next, an aqueous solution of 1.55 g of dissolved hydrochloric acid in 27.59 g of ion-exchanged water was added to the mixed solution, and after 120 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-26). The resulting polymer contained about 5 mole % of the repeat unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw 2 1,000 in terms of polystyrene. Synthesis Example 8 (Synthesis of 2-BuOH) 5.26 g of 3-(triethoxydecylpropyl)-isocyanate, 51.20 g of tetraethoxydecane, 22.77 g of methyltriethoxydecane, and phenyltrimethoxy group. 4.22 g of decane and 85.45 g of 2-butanol were placed in a 300 mL flask, dissolved, and the resulting mixed solution was stirred with a magnetic stirrer while heating and refluxing. At this time, -69- 200941145 produces a mixture of hydrolyzable organodecane, tetraethoxydecane, methyltriethoxydecane and phenyltrimethoxydecane containing agglomerated isocyanate groups of formula (A-5 2). 2-butanol solution. Next, an aqueous solution of dissolved hydrochloric acid (1, 55 g) in 27.59 g of ion-exchanged water was added to the mixed solution, and the resulting reaction solution was cooled to room temperature after the reaction for 120 minutes. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-27). The resulting polymer contained about 5 mole % of the repeat unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was converted to Mw10500 in terms of polystyrene. Synthesis Example 9 (Synthesis of t-BuOH) 5.26 g of 3-(triethoxydecylpropyl)-isocyanate, 51_20 g of tetraethoxydecane, 22.77 g of methyltriethoxydecane, and phenyltrimethoxy sand 4.22 g of the house and 85.45 g of t-butanol were placed in a 300 mL flask, and after dissolution, the resulting mixed solution was stirred with a magnetic stirrer while heating and refluxing. At this time, 2-butanol containing a mixture of the hydrolyzable organodecane having agglomerated isocyanate group of the formula (A-53), tetraethoxydecane 'methyltriethoxydecane and phenyltrimethoxydecane is produced. Solution. Next, an aqueous solution of 1.55 g of dissolved hydrochloric acid in 27.59 g of ion-exchanged water was added to the mixed solution, and after 120 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 20 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-2 8). The resulting polymer contained about 5 moles of 200941145% of the repeat unit from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw83 00 in terms of polystyrene. Synthesis Example 1 Ν-[5-(Trimethoxydecyl)-2-aza-1-carbonyl-pentyl]caprolactam (formula (Α-83), manufactured by Aijima Co., Ltd.) 6.68g, tetraethoxy decane 52.5Og, methyl triethoxy decane 22.47g, phenyl trimethoxy decane 0 4.16g, acetone 85.82g placed in a 300mL flask, dissolved and mixed with a magnetic stirrer The solution is heated and refluxed at the same time. Next, an aqueous solution of 1.53 g of hydrochloric acid dissolved in ion-exchanged water was added to the mixed solution, and after 120 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolyzed condensate solution. It is inferred that the obtained polymer has a partial structure equivalent to the formula (C-30). The resulting polymer contained about 5 mole % of the repeating monomist from formula (1). The weight average molecular weight of the obtained polymer derived from GPC was Mw 5000 in terms of polystyrene. Synthesis Example 11 A solution of 6.7 8 g of 9-mercaptomethylchloroformate dissolved in 150 mL of tetrahydrofuran was stirred under ice-cooling, and 5.80 g of 3-aminopropyltriethoxydecane dissolved in tetrahydrofuran (70 mL) and three were added dropwise. Ethylamine 2.98 g. After the completion of the dropwise addition, the reaction solution was stirred at room temperature for 40 minutes, and triethylamine hydrochloride was filtered off, and the solvent was evaporated to give a white solid. The compound (A_89) obtained by recrystallizing 1% = solid with hexane -71 - 200941145. [化31]

以1H-NMR測定確認所得化合物(A_89)。 測定時係以試料管(5 m m)、溶劑(重氫化氯仿)、測定 溫度(室溫)、脈衝間隔(5秒)、積算次數(16次)、基準試 料(四氫矽烷:TMS)進行。 h-NMRHOOMHz)之測定結果爲,〇.64ppm(t,2H), 1.23ppm(t,9 Η ),1.6 5 p p m (q u i nt,2H) ’ 3.2 1 ppm(q , 2 H) ’ 3.82ppm(q > 3.82H),4.22ppm(t,1H),4.39ppm(d > 2H) ❹ ’ 5.06ppm(s,1H),7 · 3 0 〜7.42ppm(m,4H),7.59 〜 7.77ppm(m,4H)。 其次將化合物(八-89)2.008、苯基三甲氧基矽烷0.898 、四乙氧基矽烷11.25g、甲基三乙氧基矽烷4.81g、丙酮 28.43g放入lOOmL 3 口燒瓶中,溶解後以磁力攪拌器攪 拌所得的混合溶液,同時加溫回流。其次將離子交換水 5.83g中溶解鹽酸O.Olg之水溶液加入混合溶液中’反應 2 40分鐘後將所得的反應溶液冷卻至室溫。其後將丙二醇 -72- 200941145 一甲基醚乙酸酯20.00g加入反應溶液中,減壓餾去反應 副產物之甲醇、乙醇、水及鹽酸,得水解縮合物溶液。 推斷所得聚合物具有式(C-3 1)之部分構造。 [化 32] 小 严 了小 —(Si02.〇) 一(SiO^s) 一(SiO^s)-(S1O1.5)The obtained compound (A_89) was confirmed by 1 H-NMR measurement. The measurement was carried out by using a sample tube (5 m m), a solvent (rehydrogenated chloroform), a measurement temperature (room temperature), a pulse interval (5 seconds), an integrated count (16 times), and a reference sample (tetrahydrosilane: TMS). The result of h-NMRHOOMHz) was 〇.64 ppm (t, 2H), 1.23 ppm (t, 9 Η ), 1.6 5 ppm (qui nt, 2H) ' 3.2 1 ppm(q , 2 H) ' 3.82 ppm ( q > 3.82H), 4.22 ppm (t, 1H), 4.39 ppm (d > 2H) ❹ ' 5.06 ppm (s, 1H), 7 · 3 0 to 7.42 ppm (m, 4H), 7.59 to 7.77 ppm (m, 4H). Next, the compound (octa-89) 2.008, phenyltrimethoxydecane 0.898, tetraethoxy decane 11.25 g, methyl triethoxy decane 4.81 g, and acetone 28.43 g were placed in a 100 mL 3-neck flask, and dissolved. The resulting mixed solution was stirred by a magnetic stirrer while heating and refluxing. Next, 5.83 g of an aqueous solution of dissolved O.Olg in hydrochloric acid was added to the mixed solution. After the reaction 2 for 40 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 20.00 g of propylene glycol-72-200941145 monomethyl ether acetate was added to the reaction solution, and methanol, ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. It is inferred that the obtained polymer has a partial configuration of the formula (C-3 1). [化32] 小严了小—(Si02.〇) 一(SiO^s) 一(SiO^s)-(S1O1.5)

ψ Ψ Ψ <ψ Ψ Ψ <

所得聚合物來自 GPC之重量平均分子量以聚苯乙烯 換算爲Mw2100。 比較合成例1(合成ICYO(TEOSIOO)) 將四乙氧基矽烷84.63g、乙醇84.63g放入3 0 0mL燒 φ 瓶中,溶解後以磁力攪拌器攪拌所得的混合溶液,同時加 溫回流。其次將離子交換水29.26g中溶解鹽酸1.48g之 水溶液加入混合溶液中,反應60分鐘後將所得的反應溶 液冷卻至室溫。其後將丙二醇一甲基醚乙酸酯200g加入 反應溶液中,減壓餾去反應副產物之乙醇、水及鹽酸後, 得水解縮合物溶液。所得聚合物來自 GPC之重量平均分 子量以聚苯乙烯換算爲Mw6200。 實施例1 -73- 200941145 將丙二醇一甲基醚乙酸酯25.0g加入含有合成例1所 得的聚合物之溶液(聚合物濃度爲15質量% )5.0g中,調製 光阻下層膜形成組成物。 實施例2 將丙二醇一甲基醚乙酸酯25.0g加入含有合成例2所 得的聚合物之溶液(聚合物濃度爲15質量%)5.〇g中,調製 光阻下層膜形成組成物。 實施例3 將丙二醇一甲基醚乙酸酯25.0g加入含有合成例3所 得的聚合物之溶液(聚合物濃度爲15質量%)5.〇g中,調製 光阻下層膜形成組成物。 實施例4 將丙二醇一甲基醚乙酸酯25.0g加入含有合成例4所 得的聚合物之溶液(聚合物濃度爲15質量%)5.0g中,調製 光阻下層膜形成組成物。 實施例5 將丙二醇一甲基醚乙酸酯25.0g加入含有合成例5所 得的聚合物之溶液(聚合物濃度爲15質量%)5.0g中,調製 光阻下層膜形成組成物。 200941145 , 實施例6 將丙二醇一甲基醚乙酸酯25 _0g加入含有合成例6所 得的聚合物之溶液(聚合物濃度爲15質量%) 5. 〇g中,調製 光阻下層膜形成組成物。 實施例7 將丙二醇一甲基醚乙酸酯2 5.0g加入含有合成例7所 φ 得的聚合物之溶液(聚合物濃度爲15質量%)5.0g中,調製 光阻下層膜形成組成物。 實施例8 將丙二醇一甲基醚乙酸酯25.Og加入含有合成例8所 得的聚合物之溶液(聚合物濃度爲15質量% )5.〇g中,調製 光阻下層膜形成組成物。 φ 實施例9 將丙二醇一甲基醚乙酸酯25.0g加入含有合成例9所 得的聚合物之溶液(聚合物濃度爲15質量%)5.0g中,調製 光阻下層膜形成組成物。 實施例1 0 將丙二醇一甲基酸25.〇g加入含有合成例1〇所得的 聚合物之溶液(聚合物濃度爲15質量%)5.〇g中,調製光阻 下層膜形成組成物。 -75- 200941145 實施例11 將丙二醇一甲基醚2 5.0g加入含有合成例11所得的 聚合物之溶液(聚合物濃度爲15質量%)5.0g中,調製光阻 下層膜形成組成物。 比較例1 將丙二醇一甲基醚乙酸醋25.Og加入含有比較合成例 1所得的聚合物之溶液(聚合物濃度爲1 5質量%) 5.0g中, 調製光阻下層膜形成組成物。 (耐溶劑性試驗) 以旋塗法將光阻下層膜形成組成物塗佈於矽回路板上 ,置於熱板上以240°C焙燒1分鐘後形成光阻下層膜。其 次將其浸漬於上層塗佈於光阻組成物中溶劑用的丙二醇一 甲基醚乙酸酯中一分鐘後,將浸漬前後光阻下層膜之膜厚 變化爲2nm以下者判斷爲良好,記號爲「〇」。結果如 表1所示。 (光學定數) 使用旋塗機將光阻下層膜形成組成物塗佈於矽晶圓板 上。置於熱板上以240°C焙燒1分鐘後,形成光阻下層膜 (膜厚〇.〇9μιη)。其次使用分光橢圓計(J.A.Woollam公司製 ,VUV-VASE VU-3 02)測定波長1 9 3 nm之折射率(η値)及 200941145 光學吸光係數(k値,也稱爲衰減係數)。。結果如表1所 示。 (測定乾蝕速度) 所使用的測定乾蝕速度用之蝕刻器及蝕刻氣體如下所 述。 ES401(日本山燕提製):CF4 RIE-10NR(撒姆可製):〇2 使用旋塗機將實施例1至11及比較例1所調製的光 阻下層膜形成組成物溶液,各自塗佈於矽回路板上。置於 熱板上以240 °C加熱1分鐘後,形成光阻下層膜,再各自 以CF4氣體、〇2氣體爲蝕刻氣體測定飩刻速度。 又,使用旋塗機同樣使光致抗蝕劑溶液(西普雷公司 製,商品名UV 113)於矽回路板上各自形成0.20 μιη之光阻 膜。各自以CF4氣體及〇2氣體爲轉刻氣體測定乾蝕速度 ,再比較光阻下層膜及光阻膜之乾蝕速度。結果如表1所 示。蝕刻速度比爲(光阻下層膜)/(光阻)之乾蝕速度比。 [表1] 耐溶劑性 折射率η 光學吸光係數k 蝕刻速度比 (波長 193nm) (波長 193nm) cf4 〇2 實施例1 〇 1.68 0.04 2.30 0.06 實施例2 〇 1.68 0.03 2.15 0.05 實施例3 〇 1.56 0.03 2.11 0.04 實施例4 〇 1.46 0.03 1.79 0.02 比較例1 〇 1.48 0.00 1.32 0.01 -77- 200941145 由表1得知,聚合物中含有較多的以乙醇團塊化之異 氰酸酯基量,可提升CF4乾蝕速度。 (最低硬化溫度) 以旋塗法各自將實施例5至11之光阻下層膜形成組 成物塗佈於矽回路板上,熱板上以每刻度20 °C之條件以 100°C至3 00 °C焙燒1分鐘形成光阻下層膜。其次將其浸 漬於上方塗佈之光阻組成物中溶劑用的丙二醇一甲基醚乙 酸酯中一分鐘後,再將浸漬前後光阻下層膜之膜厚變化爲 2nm以下的溫度定義爲最低硬化溫度(表2)。 [表2] 最低硬 化溫度 折射率n (波長 193nm) 光學吸光係數k (波長 193nm) 鈾刻速度比 CF4 〇2 實施例5 180°C 1.60 0.11 1.83 0.02 實施例6 160。。 1.61 0.12 1.77 0.02 實施例7 260〇C 1.61 0.11 1.94 0.03 實施例8 160°C 1.61 0.10 1.91 0.02 實施例9 100°C 1.61 0.10 1.92 0.02 實施例10 160°C 1.59 0.11 2.00 0.02 實施例11 140°C 1.60 0.16 1.89 0.02 變更團塊異氰酸酯之團塊化劑種類時,會使脫團塊化 之溫度不同,而使發生交聯之溫度不同,因此可控制硬化 性。 本發明由光阻下層膜形成組成物而得的光阻下層膜, 相對於光致抗飩劑具有充分之高乾蝕速度。 -78- 200941145 所得的水解縮合物爲聚合物,該聚合物之全部重覆單 位中可含有1莫耳°/。至100莫耳%之來自式(1)的重覆單位 。如實施例所示,聚合物中含有5莫耳%以上之來自式(1) 的重覆單位時可賦予耐溶劑性。又,聚合物中含有80莫 耳%之來自式(1)的重覆單位時可得提升蝕刻速度之膜。 脫團塊化劑種類不同時會使脫團塊化溫度不同,因此 選擇適當脫團塊化劑可決定硬化溫度。脫團塊化劑可使用 φ 1種或混合使用複數種。 產業上利用可能性 本發明由光阻下層膜形成組成物而得的光阻下層膜具 有高乾蝕速度。因此既使爲了防止隨著圖型尺寸微細化而 圖型倒塌,而使光阻膜厚薄化時,也可因光阻下層膜有$ 分之高蝕刻速度,而可將光阻圖型複製於下層上。 Φ 【圖式簡單說明】 圖1爲,3-(三乙氧基砂院基丙基)-異氰酸酯與乙醇反 應而得的團塊化異氰酸酯矽烷之NMR光譜。 圖2爲,3-(三乙氧基矽烷基丙基)-異氰酸酯與乙醇反 應而得的團塊化異氰酸酯矽烷之IR光譜。 -79-The weight average molecular weight of the obtained polymer derived from GPC was Mw 2100 in terms of polystyrene. Comparative Synthesis Example 1 (Synthesis of ICYO (TEOSIOO)) 84.63 g of tetraethoxydecane and 84.63 g of ethanol were placed in a 300 mL φ flask, dissolved, and the resulting mixed solution was stirred with a magnetic stirrer while refluxing. Next, an aqueous solution of 1.28 g of hydrochloric acid dissolved in 29.26 g of ion-exchanged water was added to the mixed solution, and after 60 minutes, the resulting reaction solution was cooled to room temperature. Thereafter, 200 g of propylene glycol monomethyl ether acetate was added to the reaction solution, and ethanol, water and hydrochloric acid as reaction by-products were distilled off under reduced pressure to obtain a hydrolysis condensate solution. The weight average molecular weight of the obtained polymer derived from GPC was Mw 6200 in terms of polystyrene. Example 1 -73-200941145 25.0 g of propylene glycol monomethyl ether acetate was added to 5.0 g of a solution containing the polymer obtained in Synthesis Example 1 (polymer concentration: 15% by mass) to prepare a photoresist underlayer film forming composition. . Example 2 25.0 g of propylene glycol monomethyl ether acetate was added to a solution containing a polymer obtained in Synthesis Example 2 (polymer concentration: 15% by mass) in 〇g to prepare a photoresist underlayer film forming composition. Example 3 25.0 g of propylene glycol monomethyl ether acetate was added to a solution containing the polymer obtained in Synthesis Example 3 (polymer concentration: 15% by mass) in 〇g to prepare a photoresist underlayer film forming composition. Example 4 25.0 g of propylene glycol monomethyl ether acetate was added to 5.0 g of a solution (polymer concentration: 15% by mass) containing the polymer obtained in Synthesis Example 4 to prepare a photoresist underlayer film-forming composition. Example 5 25.0 g of propylene glycol monomethyl ether acetate was added to 5.0 g of a solution (polymer concentration: 15% by mass) containing the polymer obtained in Synthesis Example 5 to prepare a photoresist underlayer film-forming composition. 200941145, Example 6 Adding propylene glycol monomethyl ether acetate 25 _0g to a solution containing the polymer obtained in Synthesis Example 6 (polymer concentration: 15% by mass) 5. 光g, preparing a photoresist underlayer film forming composition . (Example 7) 5.0 g of propylene glycol monomethyl ether acetate was added to 5.0 g of a solution (polymer concentration: 15% by mass) containing the polymer of φ of Synthesis Example 7 to prepare a photoresist underlayer film forming composition. Example 8 A propylene glycol monomethyl ether acetate (2.5 g) was added to a solution containing the polymer obtained in Synthesis Example 8 (polymer concentration: 15% by mass) in 〇g, and a photoresist underlayer film-forming composition was prepared. φ Example 9 25.0 g of propylene glycol monomethyl ether acetate was added to 5.0 g of a solution containing the polymer obtained in Synthesis Example 9 (polymer concentration: 15% by mass) to prepare a photoresist underlayer film forming composition. Example 1 A propylene glycol monomethyl acid 25. 〇g was added to a solution containing the polymer obtained in Synthesis Example 1 (polymer concentration: 15% by mass) in 〇g, and a photoresist underlayer film-forming composition was prepared. -75-200941145 Example 11 5.0 g of propylene glycol monomethyl ether was added to 5.0 g of a solution (polymer concentration: 15% by mass) containing the polymer obtained in Synthesis Example 11 to prepare a photoresist underlayer film-forming composition. Comparative Example 1 5.2 g of propylene glycol monomethyl ether acetate vinegar was added to 5.0 g of a solution (polymer concentration: 15% by mass) containing the polymer obtained in Comparative Synthesis Example 1, and a photoresist underlayer film-forming composition was prepared. (Solvent resistance test) The photoresist underlayer film forming composition was applied onto a circuit board by spin coating, and baked on a hot plate at 240 ° C for 1 minute to form a photoresist underlayer film. Then, it was immersed in the propylene glycol monomethyl ether acetate for the solvent of the photoresist composition in the upper layer for one minute, and it was judged that the film thickness of the photoresist film before and after the immersion was changed to 2 nm or less, and the mark was good. It is "〇". The results are shown in Table 1. (Optical constant) A photoresist underlayer film forming composition was applied onto a tantalum wafer using a spin coater. After baking on a hot plate at 240 ° C for 1 minute, a photoresist underlayer film (film thickness 〇. 9 μιη) was formed. Next, a refractive index ellipsometer (manufactured by J.A. Woollam Co., Ltd., VUV-VASE VU-3 02) was used to measure the refractive index (η値) at a wavelength of 193 nm and the optical absorption coefficient (k値, also referred to as an attenuation coefficient) of 200941145. . The results are shown in Table 1. (Measurement of dry etching rate) The etcher and etching gas used for measuring the dry etching rate were as follows. ES401 (manufactured by Yamatake, Japan): CF4 RIE-10NR (manufactured by Samuel): 〇2 The photoresist underlayer films prepared in Examples 1 to 11 and Comparative Example 1 were formed into a composition solution by a spin coater, and each was coated. On the circuit board. After heating at 240 ° C for 1 minute on a hot plate, a photoresist underlayer film was formed, and the etching rate was measured using CF 4 gas and helium 2 gas as etching gases, respectively. Further, a photoresist solution (trade name: UV 113) manufactured by Sipley Co., Ltd. was used to form a 0.20 μm photoresist film on a circuit board using a spin coater. The dry etching rate was measured by using CF4 gas and 〇2 gas as the engraving gas, and then the dry etching rate of the underlying film and the photoresist film was compared. The results are shown in Table 1. The etching rate ratio is the ratio of the dry etching rate of the (underlying photoresist film) / (resistance). [Table 1] Solvent resistance refractive index η Optical absorption coefficient k Etching speed ratio (wavelength 193 nm) (wavelength 193 nm) cf4 〇 2 Example 1 〇 1.68 0.04 2.30 0.06 Example 2 〇 1.68 0.03 2.15 0.05 Example 3 〇 1.56 0.03 2.11 0.04 Example 4 〇 1.46 0.03 1.79 0.02 Comparative Example 1 〇 1.48 0.00 1.32 0.01 -77- 200941145 It is known from Table 1 that the polymer contains a large amount of isocyanate groups agglomerated with ethanol, which can improve the dry etching of CF4. speed. (Minimum hardening temperature) The photoresist film underlayer forming compositions of Examples 5 to 11 were each applied to a circuit board by spin coating, and the hot plate was subjected to a temperature of 20 ° C to 300 ° C at a temperature of 20 ° C per square. The film was calcined at ° C for 1 minute to form a photoresist underlayer film. Next, it was immersed in the propylene glycol monomethyl ether acetate for solvent in the photoresist composition coated above, and the temperature at which the film thickness of the photoresist film before and after immersion was changed to 2 nm or less was defined as the lowest. Hardening temperature (Table 2). [Table 2] Minimum hardening temperature Refractive index n (wavelength 193 nm) Optical absorption coefficient k (wavelength 193 nm) Urinary engraving speed ratio CF4 〇 2 Example 5 180 ° C 1.60 0.11 1.83 0.02 Example 6 160. . 1.61 0.12 1.77 0.02 Example 7 260〇C 1.61 0.11 1.94 0.03 Example 8 160°C 1.61 0.10 1.91 0.02 Example 9 100°C 1.61 0.10 1.92 0.02 Example 10 160°C 1.59 0.11 2.00 0.02 Example 11 140°C 1.60 0.16 1.89 0.02 When the type of the agglomerating agent of the agglomerate is changed, the temperature at which the agglomerate is formed is different, and the temperature at which the crosslinking occurs is different, so that the hardenability can be controlled. The photoresist underlayer film obtained by forming a composition of the photoresist underlayer film has a sufficiently high dry etching rate with respect to the photo-damping agent. -78- 200941145 The resulting hydrolysis condensate is a polymer which may contain 1 mole per gram of all repeating units. Up to 100% of the repeat unit from formula (1). As shown in the examples, when the polymer contains 5 mol% or more of the repeating unit derived from the formula (1), solvent resistance can be imparted. Further, when the polymer contains 80 mol% of the repeating unit of the formula (1), a film which increases the etching rate can be obtained. When the type of the de-blocking agent is different, the de-blocking temperature is different. Therefore, the curing temperature can be determined by selecting an appropriate de-blocking agent. As the de-blocking agent, one type of φ or a plurality of types may be used in combination. Industrial Applicability The photoresist underlayer film obtained by forming a composition of a photoresist underlayer film has a high dry etching rate. Therefore, even in order to prevent the pattern from collapsing as the size of the pattern is reduced, and the thickness of the photoresist film is reduced, the photoresist pattern can be reproduced by the etching speed of the photoresist under the film. On the lower floor. Φ [Simplified description of the drawing] Fig. 1 is an NMR spectrum of agglomerated isocyanate decane obtained by reacting 3-(triethoxysilane-based propyl)-isocyanate with ethanol. Fig. 2 is an IR spectrum of agglomerated isocyanate decane obtained by reacting 3-(triethoxydecylpropyl)-isocyanate with ethanol. -79-

Claims (1)

200941145 十、申請專利範圍 1. 一種微影蝕刻用光阻下層膜形成組成物,其特徵爲 含有,含有異氰酸酯基或團塊化異氰酸酯基之水解性有機 矽烷,其水解物,或其水解縮合物。 2. 如申請專利範圍第1項之光阻下層膜形成組成物, 其中前述水解性有機矽烷如式(1): [化1] R^R^SiCR^^a+b) 式⑴ (式中,R1爲異氰酸酯基、團塊化異氰酸酯基,或含其之 有機基,且末端之N原子或C原子鍵結Si原子形成Si-N 鍵或Si-C鍵, R2爲烷基、芳基、鹵化烷基、鹵化芳基、鏈烯基、或具 有環氧基、丙烯醯基、甲基丙烯醯基、锍基、胺基或氰基 之有機基,且末端之C原子鍵結Si原子形成Si-C鍵, Ο R3爲院氧基、酿氧基或國原子, a爲1或2之整數, b爲〇或1之整數, a + b爲1或2之整數)所示。 3 ·如申請專利範圍第1或2項之光阻下層膜形成組成 物,其中前述異氰酸酯基如式(2): -80- 200941145 [化2] —r4—N=C=0 式(2) (式中,R4爲單鍵、伸烷基、環伸烷基或伸芳基)所示。 4.如申請專利範圍第1或2項之光阻下層膜形成組成 物,其中前述團塊化異氰酸酯基如式(3):200941145 X. Patent Application No. 1. A photoresist forming underlayer film forming composition for lithography, characterized in that it contains a hydrolyzable organic decane containing an isocyanate group or agglomerated isocyanate group, a hydrolyzate thereof, or a hydrolysis condensate thereof . 2. The photoresist underlayer film forming composition according to claim 1, wherein the hydrolyzable organic decane is as defined in the formula (1): [Chemical Formula 1] R^R^SiCR^^a+b) Formula (1) R1 is an isocyanate group, a agglomerated isocyanate group, or an organic group containing the same, and the terminal N atom or C atom is bonded to the Si atom to form a Si-N bond or a Si-C bond, and R2 is an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkenyl group, or an organic group having an epoxy group, an acryl fluorenyl group, a methacryl fluorenyl group, a fluorenyl group, an amine group or a cyano group, and a terminal C atom-bonded Si atom is formed. Si-C bond, Ο R3 is a hospitaloxy group, a methoxy group or a national atom, a is an integer of 1 or 2, b is an integer of 〇 or 1, and a + b is an integer of 1 or 2. 3. The photoresist underlayer film forming composition according to claim 1 or 2, wherein the aforementioned isocyanate group is represented by the formula (2): -80-200941145 [Chemical 2] - r4 - N = C = 0 (2) (wherein R4 is a single bond, an alkylene group, a cycloalkylene group or an extended aryl group). 4. The photoresist underlayer film forming composition according to claim 1 or 2, wherein the agglomerated isocyanate group is represented by the formula (3): [化3] Ο 式(3) —r4-nh-c-r5 (式中,R4爲單鍵、伸烷基、環伸烷基或伸芳基, R5爲含有活性氫之化合物殘基)所示。 5. 如申請專利範圍第4項之光阻下層膜形成組成物, 其中前述含有活性氫之化合物殘基爲醇殘基、酚殘基、酚 衍生物殘基、多環酚殘基、醯胺殘基、醯亞胺殘基、亞胺 殘基、硫醇殘基、肟殘基、內醯胺殘基、含有活性氫之雜 環殘基或含有活性甲烯之化合物殘基。 6. 如申請專利範圍第2至5項中任何!項之光阻下層 膜形成組成物,其中含有式(4): [化4] 式(4) R6aSi(R7)4-a 200941145 (式中,R6爲烷基、芳基、鹵化烷基、鹵化芳基、鏈烯基 ,或具有環氧基、丙烯醯基、甲基丙烯醯基、毓基、胺基 或氰基之有機基,且末端之c原子鍵結Si原子形成Si-C 鍵, R7爲院氧基、酸氧基或鹵原子, a爲〇至3之整數)及式(5): [化5]化 Formula (3) —r4-nh-c-r5 (wherein R4 is a single bond, an alkylene group, a cycloalkylene group or an extended aryl group, and R5 is a residue of a compound containing an active hydrogen) Show. 5. The photoresist underlayer film forming composition according to claim 4, wherein the active hydrogen-containing compound residue is an alcohol residue, a phenol residue, a phenol derivative residue, a polycyclic phenol residue, a guanamine Residue, quinone imine residue, imine residue, thiol residue, hydrazine residue, intrinsic amine residue, heterocyclic residue containing active hydrogen or residue of compound containing active olefin. 6. If you apply for any of items 2 to 5 of the patent scope! The underlying film forming composition containing the formula (4): [Chemical Formula 4] Formula (4) R6aSi(R7)4-a 200941145 (wherein R6 is an alkyl group, an aryl group, an alkyl halide group, a halogenated group) An aryl group, an alkenyl group, or an organic group having an epoxy group, an acryl fluorenyl group, a methacryl fluorenyl group, a fluorenyl group, an amine group or a cyano group, and the terminal c atom is bonded to the Si atom to form a Si—C bond, R7 is a hospitaloxy group, an acidoxy group or a halogen atom, a is an integer from 〇 to 3) and formula (5): [Chemical 5] CR8cSi(R9)3.c) 2Yb 式⑸ (式中,R8爲烷基, R9爲烷氧基、醯氧基或鹵原子, Y爲伸烷基或伸芳基, b爲〇或1之整數, c爲〇或1之整數)所述群中所選出至少1種之有機矽化合 物與上述式(1)之水解性有機矽烷的組合物、該等水解物 © 或該等水解縮合物。 7. —種微影蝕刻用光阻下層膜形成組成物,其特徵爲 含有聚合物用的如申請專利範圍第2至6項中任何1項之 式(1)之化合物,或式(1)與式(4)之化合物的水解縮合物。 8. 如申請專利範圍第1至7項中任何1項之光阻下層 膜形成組成物,其中另含有硬化觸媒。 9. 一種光阻下層膜,其特徵爲將如申請專利範圍第1 至8項中任何1項之光阻下層膜形成組成物塗佈於半導體 -82- 200941145 基板上焙燒而得。 10. —種半導體裝置之製造方法,其特徵爲包含將如 申請專利範圍第1至8項中任何1項之光阻下層膜形成組 成物塗佈於半導體基板上,焙燒形成光阻下層膜之步驟, 將光阻用組成物塗佈於前述下層膜上,形成光阻膜之步驟 ,將前述光阻膜曝光之步驟,曝光後將光阻顯像,得光阻 圖型之步驟,藉由光阻圖型蝕刻光阻下層膜之步驟,及藉 由圖型化之光阻與光阻下層膜加工半導體基板之步驟。 11. 一種半導體裝置之製造方法,其特徵爲包含於半 導體基板上形成有機下層膜之步驟,將如申請專利範圍第 1至8項中任何1項之光阻下層膜形成組成物塗佈於前述 有機下層膜上,焙燒形成光阻下層膜之步驟,將光阻用組 成物塗佈於前述光阻下層膜上,形成光阻膜之步驟,將前 述光阻膜曝光之步驟,曝光後將光阻顯像,得光阻圖型之 步驟,藉由光阻圖型蝕刻光阻下層膜之步驟,藉由圖型化 之光阻下層膜蝕刻有機下層膜之步驟,及藉由圖型化之有 機下層膜加工半導體基板之步驟。 -83-CR8cSi(R9)3.c) 2Yb Formula (5) (wherein R8 is an alkyl group, R9 is an alkoxy group, a decyloxy group or a halogen atom, Y is an alkylene group or an extended aryl group, and b is an integer of 〇 or 1 And c is an integer of 1 or a combination of at least one organic hydrazine compound selected from the above group and the hydrolyzable organodecane of the above formula (1), the hydrolyzate or the hydrolyzed condensate. 7. A photoresist-forming underlayer film forming composition for lithography etching, characterized by a compound containing a formula (1) according to any one of claims 2 to 6 of the polymer, or a formula (1) A hydrolysis condensate of the compound of formula (4). 8. The photoresist underlayer film forming composition according to any one of claims 1 to 7, which additionally contains a hardening catalyst. A photoresist underlayer film obtained by coating a photoresist underlayer film forming composition according to any one of claims 1 to 8 on a semiconductor-82-200941145 substrate. 10. A method of manufacturing a semiconductor device, comprising: coating a photoresist underlayer film forming composition according to any one of claims 1 to 8 on a semiconductor substrate, and baking to form a photoresist underlayer film; a step of applying a photoresist composition on the underlayer film to form a photoresist film, exposing the photoresist film to a step of exposing the photoresist to a photo resist pattern, and obtaining a photoresist pattern by using the step of exposing the photoresist film The step of etching the photoresist underlayer film by a photoresist pattern, and the step of processing the semiconductor substrate by patterning the photoresist and the photoresist underlayer film. A method of manufacturing a semiconductor device, comprising the step of forming an organic underlayer film on a semiconductor substrate, and applying the photoresist underlayer film forming composition according to any one of claims 1 to 8 to the foregoing a step of baking a photoresist underlayer film on the organic underlayer film, applying a photoresist composition to the photoresist underlayer film to form a photoresist film, exposing the photoresist film to a step of exposing the light The step of resisting the image, the step of obtaining the photoresist pattern, the step of etching the photoresist underlayer film by the photoresist pattern, the step of etching the organic underlayer film by the patterned photoresist underlayer film, and by patterning The step of processing the semiconductor substrate with an organic underlayer film. -83-
TW097146378A 2007-11-30 2008-11-28 Resist underlayer coating forming composition containing silicon having blocked isocyanate group TWI450042B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007311268 2007-11-30
JP2008011115 2008-01-22

Publications (2)

Publication Number Publication Date
TW200941145A true TW200941145A (en) 2009-10-01
TWI450042B TWI450042B (en) 2014-08-21

Family

ID=40678604

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097146378A TWI450042B (en) 2007-11-30 2008-11-28 Resist underlayer coating forming composition containing silicon having blocked isocyanate group

Country Status (5)

Country Link
JP (1) JP5252234B2 (en)
KR (1) KR101524712B1 (en)
CN (1) CN101878451B (en)
TW (1) TWI450042B (en)
WO (1) WO2009069712A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7833692B2 (en) * 2007-03-12 2010-11-16 Brewer Science Inc. Amine-arresting additives for materials used in photolithographic processes
US8557877B2 (en) * 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5818026B2 (en) * 2011-01-24 2015-11-18 日産化学工業株式会社 Silicon-containing resist underlayer film forming composition containing diketone structure-containing organic group
EP2735904A4 (en) * 2011-07-20 2014-11-26 Nissan Chemical Ind Ltd Thin film formation composition for lithography which contains titanium and silicon
US9011591B2 (en) * 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
JP6510161B2 (en) * 2011-12-21 2019-05-08 ダウ グローバル テクノロジーズ エルエルシー Composition for antireflective coating
KR102092659B1 (en) * 2012-06-12 2020-03-24 가부시키가이샤 아데카 Photosensitive composition
JPWO2014034688A1 (en) * 2012-08-30 2016-08-08 東京応化工業株式会社 Substrate surface modification method, modified film, and coating solution used for substrate surface modification
KR102099712B1 (en) 2013-01-15 2020-04-10 삼성전자주식회사 Method of forming a pattern and method of manufacturing a semiconductor device using the same
JP5830044B2 (en) * 2013-02-15 2015-12-09 信越化学工業株式会社 Resist underlayer film forming composition and pattern forming method
CN106233206B (en) * 2014-05-22 2020-01-03 日产化学工业株式会社 Resist underlayer film forming composition for lithography containing polymer having blocked isocyanate structure
SG11201703607RA (en) * 2014-11-19 2017-06-29 Nissan Chemical Ind Ltd Composition for forming silicon-containing resist underlayer film removable by wet process
WO2016093172A1 (en) * 2014-12-08 2016-06-16 日産化学工業株式会社 Resist underlayer film forming composition for lithography containing hydrolyzable silane having halogen-containing carboxylic acid amide group
US10359701B2 (en) * 2015-04-07 2019-07-23 Mitsubishi Gas Chemical Company, Inc. Material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography and pattern forming method
KR102557875B1 (en) * 2017-02-03 2023-07-20 닛산 가가쿠 가부시키가이샤 A composition for forming a resist underlayer film containing a polymer having a structural unit having a urea bond
US20210054231A1 (en) * 2017-12-20 2021-02-25 Nissan Chemical Corporation Composition for forming photocurable silicon-containing coating film
CN109111124A (en) * 2018-09-12 2019-01-01 江苏世泰实验器材有限公司 One kind prevents adhesion coverslip and preparation method thereof
JP6981945B2 (en) * 2018-09-13 2021-12-17 信越化学工業株式会社 Pattern formation method
JPWO2021193030A1 (en) * 2020-03-27 2021-09-30

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW457403B (en) * 1998-07-03 2001-10-01 Clariant Int Ltd Composition for forming a radiation absorbing coating containing blocked isocyanate compound and anti-reflective coating formed therefrom
JP3871029B2 (en) * 2001-10-18 2007-01-24 信越化学工業株式会社 Surface treatment agent for chemically amplified resist pattern and pattern forming method
TWI388876B (en) * 2003-12-26 2013-03-11 Fujifilm Corp Antireflection film, polarizing plate, method for producing them, liquid crystal display element, liquid crystal display device, and image display device
JP4491283B2 (en) * 2004-06-10 2010-06-30 信越化学工業株式会社 Pattern formation method using antireflection film-forming composition
JP2007178455A (en) * 2005-12-26 2007-07-12 Tokyo Ohka Kogyo Co Ltd Composition for resist underlay film, and resist underlay film and method for forming pattern on substrate both using composition
JP2007192875A (en) * 2006-01-17 2007-08-02 Tokyo Ohka Kogyo Co Ltd Underlay film forming material, layered body and pattern forming method

Also Published As

Publication number Publication date
JP5252234B2 (en) 2013-07-31
KR20100099240A (en) 2010-09-10
TWI450042B (en) 2014-08-21
CN101878451A (en) 2010-11-03
WO2009069712A1 (en) 2009-06-04
KR101524712B1 (en) 2015-06-01
JPWO2009069712A1 (en) 2011-04-14
CN101878451B (en) 2013-04-24

Similar Documents

Publication Publication Date Title
TW200941145A (en) Resist underlayer coating forming composition containing silicon having blocked isocyanate group
KR101579266B1 (en) Composition Having Urea Group For Forming Silicon-Containing Resist Underlying Film
TWI585536B (en) Resist underlayer film forming composition containing silicone having sulfide bond
JP5534230B2 (en) Silicon-containing resist underlayer film forming composition having an anionic group
TWI503353B (en) Resist underlayer film forming composition containing silicone having sulfonamide group
TWI450041B (en) Resist underlayer coating forming composition comprising polymer containing nitrogen-containing silyl group
KR101655251B1 (en) Silicon-Containing Resist Underlayer Film-Forming Composition Containing Cyclic Amino Group
TWI712659B (en) Crosslinking reactive silicon-containing film forming composition
TWI691560B (en) Wet removable silicon-containing resist underlayer film forming composition
TWI617889B (en) Resist underlayer film forming composition containing silicon having cyclic diester group
TWI723956B (en) Silicon-containing resist underlayer film forming composition having aliphatic polycyclic structure-containing organic group
TWI793388B (en) Silane
TWI583724B (en) Resist underlayer film forming composition containing silicon having sulfone structure and amine structure
KR20190072515A (en) A silicon-containing resist lower layer film-forming composition comprising an organic group having a dihydroxy group
TWI665525B (en) Composition for forming resist underlayer film containing silicon that bears cyclic organic group having hetero atom
TW201906898A (en) Underlayer film forming composition containing an alkali developer soluble enthalpy
CN115362413A (en) Film-forming composition