TW200931174A - Porous template and imprinting stack for nano-imprint lithography - Google Patents

Porous template and imprinting stack for nano-imprint lithography Download PDF

Info

Publication number
TW200931174A
TW200931174A TW97146748A TW97146748A TW200931174A TW 200931174 A TW200931174 A TW 200931174A TW 97146748 A TW97146748 A TW 97146748A TW 97146748 A TW97146748 A TW 97146748A TW 200931174 A TW200931174 A TW 200931174A
Authority
TW
Taiwan
Prior art keywords
template
porous
layer
stack
embossed
Prior art date
Application number
TW97146748A
Other languages
Chinese (zh)
Other versions
TWI409583B (en
Inventor
Frank Y Xu
wei-jun Liu
Edward B Fletcher
Sidlgata V Sreenivasan
Byung-Jin Choi
Niyaz Khusnatdinov
Anshuman Cherala
Kosta S Selinidis
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/275,998 external-priority patent/US9778562B2/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW200931174A publication Critical patent/TW200931174A/en
Application granted granted Critical
Publication of TWI409583B publication Critical patent/TWI409583B/en

Links

Landscapes

  • Shaping Of Tube Ends By Bending Or Straightening (AREA)

Abstract

An imprint lithography template or imprinting stack includes a porous material defining a multiplicity of pores with an average pore size of at least about 0. 4 nm. A porosity of the porous material is at least about 10%. The porous template, the porous imprinting stack, or both may be used in an imprint lithography process to facilitate diffusion of gas trapped between the template and the imprinting stack into the template, the imprinting stack or both, such that polymerizable material between the imprinting stack and the template rapidly forms a substantially continuous layer between the imprinting stack and the template.

Description

200931174 六、發明說明: 【發明所屬技術領域】 交互參照相關申請案 【0001】本申請案基於35 U.S.C.宣告於2007年12月3 5 10 15 20 曰以及2008年11月21日申請之美國臨時專利申請案的優先 權,上述兩個臨時專利申請案係以參考方式併入本文令。 有關聯邦政府贊助研究發展之聲明 發明領域 【0002】如美國國家標準暨技術協會先進技術計畫獎 (NIST ATP AWARD) 70ΝΑΝΒ4Η3012之條款所提供,美國 政府對於本發明具有一贊助執照,以及在有限情況下要求 專利擁有者授權他人適當條款的權力。 發明背景 【0003】奈米製造包括製造非常微小的構造,該構造 具有100奈米等級或更小之特徵。奈米製造對於積體電路之 加工已經產生一調整尺寸方面的衝擊。半導體加工業持續 尋求更大的產量,同時增加形成在—基板上每單位面積的 電路,因此奈米製造逐漸變得重要。奈米製造提供更大的 加工控制,同時能夠持續減少所形成之構造的最小特徵尺 寸。已經使用奈米製造進行發展之領域包括生物科技、光 學技術、機械系統以及類似技術。 【發明内容2 發明概要 3 200931174 【0004】在—樣態中’―壓印微影術模板或壓印堆叠 體包括一多孔性材料,該多孔性材料界定為具有多個平均 孔隙尺寸至少約為0.4奈米之孔隙。多孔材料之孔隙率至少 係為約10%。 5 【咖5】在某些實施方式巾,平均孔隙尺寸至少係為 約0.5奈米或者至少約為i.o奈米。多孔材料之孔隙率至少係 為約20%。在某些案例中,多孔材料係為有機低以介電值) 材料。多孔材料對於熔融矽石之相對孔隙率至少係為約 20%。在某些案例中,該多孔材料具有至少約2 GPa,至少 10 約5 GPa,或者至少約1〇 GPa之楊氏係數。 【0006】在某些模板實施方式中’多孔材料係佈置在 一基底層以及一覆蓋層之間。該基底層能夠包括熔融矽 石。在某些案例中,該基底層包括凹處,且多孔材料係佈 置在該等凹處中。在某些案例中,覆蓋曾包括Si〇x,且 15 χ$2。覆蓋層之厚度能夠小於約1〇〇奈米,小於約50奈米, 或者小於約20奈米。多數突出物能夠由覆蓋層延伸出來。 【0007】在某些壓印堆疊體之實行方式中,多孔材料 係佈置在一基材以及一覆蓋層之間。該基材可包括矽。 【0008】在另一樣態中,形成一壓印微影術模板包括 20 在一基底層上形成一多孔層’以及在該多孔層上形成一覆 蓋層。在另一樣態中,形成一壓印微影術模板包括在一基 底中形成多個凹處,將一多孔材料沈積於該等凹處中,以 及在該基底層上形成一覆蓋層。在另一樣態中,形成一壓 印微影術堆疊體包括在一基材上形成一多孔層,以及在該 200931174 多孔層上形成一覆蓋層。多孔層界定多個平均孔隙至少約 為0.4奈米之孔隙’且該多孔層之孔隙率至少係為約1〇%。 【0009】在另一樣態中,一壓印微影術方法包括將一 可聚合材料之液滴施加到一壓印堆疊體,以一模板接觸該 5 可聚合材料,使可聚合材料硬化,以及使模板與經過硬化 之材料分離。在某些案例中,該模板包括一多孔材料。在 某些案例中,該壓印堆疊體包括一多孔材料,在某些案例 中’該模板以及壓印堆疊體二者皆包括一多孔材料。該多 孔材料界定多個平均孔隙尺寸具有至少約為〇·4奈米之孔 10 隙’且該多孔材料之孔隙率至少係為約〖〇〇/〇。 【0010】在另一樣態中,一種壓印微影術方法包括將 一可聚合材料分配在一壓印堆疊體之一表面上,使該可聚 合材料與一模板相接觸,以及使該可聚合材料能夠擴散, 以便在壓印堆疊體之表面上形成一實質連續層。模板、壓 15印堆疊體或二者能夠包括一多孔材料,該多孔材料界定多 個平均孔隙尺寸具有至少約為〇4奈米之孔隙,且該多孔材 料之孔隙率至少係為約1〇%。喷壤可聚合材料,以形成實 質連續層係發生在將_類似可聚合材料類似地分佈以在一 第-模板以及-第二壓印堆疊體之間形成一實質連續層之 2〇所需要時間的約80%或更少,5〇%或更少,或者是2〇%或更 少,其中該第二模板以及第二壓印堆疊體具有一少於約〇4 奈米之平均孔隙尺寸。 圖式簡單說明 【〇11】為了更為詳細瞭解本發明,參考所附圖式中 5 200931174 所顯示之實施例提供本發明之實施例的說明。然而,注意 到的是,該等所附圖式僅顯示本發明之典型實施例,且因 此並非視為發明範嗨之限制。 【0012】第1圖顯示一微影術系統之一簡化側視圖; 5 【0013】第2圖顯示第1圖中所示之基板的一簡化側視 圖,該基板上佈置有一圖案層。 【0014】第3圖顯示多孔模板。 【0015】第4圖顯示一多孔壓印堆疊體。 【0016】第5圖顯示在多個凹處中具有多孔材料之一層 10 的一部份。 【0017】第6圖顯示使用一多孔模板以及一多孔壓印堆 疊體之一壓印微影術程序。 【0018】第7圖顯示使用一均勻多孔模板以及一多孔壓 印堆疊體之一壓印微影術程序。 15 【⑻19】第8圖係為一分配在一基板上之壓印阻抗劑液 滴的照片。 【0020】第9A〜D圖係為喷灑在一壓印堆疊體上之壓印 阻抗劑液滴的照片。 【0021】第10A〜D圖係為喷灑在一多孔性壓印堆疊體 2〇 上之壓印阻抗劑液滴的照片。 較佳實施例之詳細說明 【0022】一種今日所使用之示範性奈米製造技術係普 遍稱之為壓印微影術。示範壓印微影術程序係描述於一些 200931174 公開案中’諸如美國專利申請公開案2004/0065976號,美 國專利申請公開案2004/0065252號,以及美國專利案第 6,936,194號,所有案例係以參考方式併入本文之中。 5 參 10 15 ❹ 【0023】上述各個美國專利申請公開案以及專利案當 中所描述之一種壓印微影術技術包括在一可形成(可聚合 化)層中形成一浮雕圖案,並將對應該浮雕圖案之一圖案轉 印進入一佈置於下方之基板。該基板能夠耦合到一運動 檯’以便有助於用於圖案成形程序之定位。圖案形成程序 使用一與基板隔開之模板,且可形成液體施加在模板與基 板之間。該可成形液體經過硬化,以形成一堅硬層,其具 有一對應模板接觸可形成液體之表面的一形狀之圖案。經 過硬化之後,便使模板與堅硬層分離,以致於使模板與基 板分離。模板與硬化層接著係進行另外的加工,以便將一 浮雕影像轉印到對應硬化層中之圖案的基板。 【0024】參考第1圖,圖中顯示一用以在基板12上形成 一浮雕圖案之微影術系統1〇。一壓印堆疊體能夠包括基板 12以及一個或更多黏附到基板的層(例如一黏著層)。基板12 能夠相合到基板夾塊14。如圖所示,基板夾塊14係為一真 空夾塊。然而,基板夾塊14可為任何類型之夾塊,包括但 未限定於真空、插銷式、溝槽式、電磁式以及類似種類, 或者是任何的組合。示範性夾塊係描述於美國專利第 6,873,087號中,其係以參考方式併入本文之中。 【0025】基板12以及基板夾塊14能夠進一步藉由檯件 16加以支撐。檯件16能夠提供繞著χ_,y_,以及z軸之運動。 20 200931174 檯件16、基板12 ,以及基板夾塊14亦能夠佈置在一基底(未 顯示)上。 【0026】模板18係與基板12隔開。模板18能夠包括— 從該模板朝向基板12延伸之凸形件2〇,其上具有一圖案成 5 形表面22。此外,凸形件20可稱之為模件20。模板18且/或 模件20能夠由此等材料所形成,包括但未限定於:熔態矽 晶、石英、矽、有機聚合物、矽氧烷聚合物、硼矽酸鹽破 璃、氟碳聚合物、金屬、硬化藍寶石與類似物,或者為其 任何組合。如圖所示,表面22包含藉由多個隔開的凹處24 1〇以及突出物26加以界定之特徵,本發明之實施例並非限定 於此構造。使表面22形成圖案能夠界定任何的原始圖案, 其开>成欲形成在基板12之表面上的圖案之基礎。 【0027】模板18能夠耦合到失件28。夾件28能夠加以 構造成(但非限定於)真空式、插銷式、溝槽式、電磁式且/ 15或其他夾件形式。示範性夾件係進一步描述於美國專利第 6,873,087號案中’該專利案係以參考方式併入本文。此外, 爽件28能_合到壓印頭3〇,以致於使夹件^且/或壓印頭 30能夠構造成有助於模板18之移動。 【0028】系統1G能夠進_步包含—流體分配系統32。 20流體分配系統32能夠用以將可聚合材料34沈積在基板12 上。能夠使用數種技術將可聚合材料M佈置在基板^上, 諸如液滴分配、旋轉塗佈、沈浸塗佈、化學蒸汽沈積(cvp)、 物理蒸汽沈積(PVD)、薄贱積、厚觀積以及類似技術或 疋其任何組口。可聚合材料34能夠在模件與基板η之間 200931174 界疋出-所需的體積之前且/或之後配置在基板12上,根據 »又。十考里而疋。可聚合材料34能夠包括如同美國專利第 7,157’〇365虎以及美國專利中請公開案細5/Gl87339號中所 描述之成分,二者係以參考方式併入本文中。 5 e 10 15 ❹ 20 【0029】參考第1圖與第2圖,系統職夠進一步包含 麵合-能量來源38 ’以便沿著路徑42導引能量4()。壓印頭 30與檯件16能夠加以構造,以便疊合路徑42佈置模板18與 基板12。系統10能夠藉由一連接檯件16、壓印頭3〇、流體 分配系統32、能量來源38或是其組合之處理器54加以控 制,且能夠以一儲存在記憶體56中之電腦可讀取程式加以 執行。 【0030】壓印頭30、檯件16或二者皆能夠改變模件2〇 與基板12之間的距離’以便在其間界定出一大體上藉由可 聚合材料34加以充填之體積。例如,壓印頭3〇能夠施加一 力量到模板18,以便使模件18接觸可聚合材料34。在所需 體積大體上係以可聚合材料34加以充填之後,能量來源38 便產生能量40,例如寬帶紫外線輻射,使得可聚合材料34 以符合基板12之一表面44以及圖案形成表面22的形狀之方 式進行硬化且/或交聯,在基板12上界定出一圖案形成層 46。圖案形成層46能夠包括一保留層48以及複數個顯示為 突出物50以及凹處52的特徵,且突出物50具有一厚度h,而 凹處52則具有一厚度t2。 【0031】上述系統與程序能夠進一步實行在參考美國 專利案第6,932,934號、美國專利申請公開案2004/0124566 9 200931174 號、美國專利申請公開案2004/〇188381號,以及美國專利 申請公開案2004/0211754號,上述各案係以參考方式併入 本文中。 【0032】在可聚合材料係藉由液滴分配或旋轉塗佈法 5 施加到基板12之奈米壓印程序中,於模板接觸到可聚合材 料之後氣體可能會困在凹處内。在可聚合材料係藉由液滴 分配法施加到一基板之奈米壓印程序中,氣體亦可能困在 分配於一基板或是一壓印堆疊體上的可聚合材料與壓印阻 抗劑的液滴之間。也就是說,氣體可能會隨著液滴分散而 © 10 困在液滴的區域之間。 【0033】氣體排散與分解率能夠限制可聚合材料能夠 在一基板(或是一壓印堆疊體)上形成一連續層的速率或者 是可聚合材料能夠在模板接觸到可聚合材料之後充填觀 — 特徵的速率,從而限制整個奈米壓印程序。例如,—基板 - 15或一模板對於困在基板與模板之間的氣體而言大體上^不 可參透在某些案例中,能夠使一黏附到基板或模板之聚 合物層氣趙飽和,以致於使壓印堆疊體與模板之間的氣體 〇 大體上無法進入該飽和之聚合物層,並保持困在基板與基 板或壓p堆疊體之間。仍然困在基板或壓印堆疊體以及模 20板之間的氣體可能導致聚合物層中的充填瑕疫。 ^ 】在壓印微影術程序中,困在基板/壓印堆疊 體與模板之間的氣體能夠透過可聚合材料、基板邊印堆疊 f t板或疋其任何組合加以排散。透過任何媒介排散之 氣體量能夠藉由受困氣體與媒介之間的接觸面積加以影 10 200931174 響又困氣體與可聚合材料之間的接觸面積能夠小於受困 氣體與基板/壓印堆疊體之_接觸Φ積,並小於受困氣體 與模板之間的接觸面積。例如,位於__基板/壓印堆疊體上 之可聚合材料的厚度能夠小於約1微米,或者小於約100奈 5 ❹ 10 15 ❹ 20 米。在某些案例中,可聚合材料能夠吸收足夠的氣體,而 在壓印之則成為氣體飽和,以致於使受困氣體大體上無法 進入可聚合材料。相反地,受困氣體與基板或壓印堆疊體 之間的接觸面積’或者是受困氣體與模板之_接觸面積 則可能相當大。 【0035】在某些案例中,基板/壓印堆疊體或模板能夠 包括一界定出多個帶有一平均孔隙以及孔隙密度或是相對 孔隙率之凹處的多孔性材料,選擇該多孔性材料,用以有 助於氣體分別擴散進入基板/壓印堆疊體或模板。在某些情 況中,基板/壓印堆疊體或模板可能會包括一多孔材料之一 個或更多層或區域,其係設計成分別有助於以一離開位在 基板/壓印堆疊體與基板之間的可聚合材料,並且朝向該基 板7壓印堆疊體或模板之方向運送困在基板/壓印堆疊體以 及模板之間的氣體。 【0036】一媒介物之氣體滲透性能夠表示為p=DxS, 其中P係為滲透性,D係為擴散係數,且s則為溶解度。在 一氣體傳送過程中,一氣體吸收到媒介物之一表面上,並 在該媒介物中建立一濃度梯度。該濃度梯度能夠作為用以 使氣體擴散通過媒介物之驅動力。氣體溶解度以及擴散係 數月b夠基於例如媒介物之堆積密度(packing density)加以變 11 200931174 化。調整媒介物之堆積密度能夠改變擴散係數,從而改變 媒介物之滲透性。 【0037】一氣體能夠想像成具有一相關動力學直徑。 動力學直徑提供一種氣體原子或分子用於氣體運送性質之 5 尺寸的觀念。D.W. Breck所著之「沸石分子篩_構造、化學 及應用(Zeolite Molecular Sieves)」(紐約 john Wiley & s〇ns 出版社1974年出版,第636頁,其係以參考方式併入本文中) 列出之氦(0.256奈米)、氬(0.341奈米)、氧(〇 346奈米)、氮 (0.364奈米)以及其他常見氣體之動力學直徑。 ® 10 【0038】在某些壓印微影術程序中係使用一氦清洗, 用以氣氣大體上取代模板以及基板或壓印堆疊體之間的空 氣。欲簡化一氦氣環境以及一空氣環境在壓印微影術程序 中之間的比較,空氣中之氧氣與矽石之間的極性相互反應 能夠藉著以純氬改造空氣而忽略不計。氦氣與氬氣二者皆 — 15 為惰性氣體,且氬氣具有一類似於氧氣之動力學直徑。然 而,與氧氣不同的是,氦氣與氬氣並不會與熔融矽石或是 石英產生反應。 〇 【0039】内部凹處(可溶解處)與連接可溶解處之結構通 道使得氣體能夠滲透通過一媒介物。該氣體可能會保留在 20 可溶解處中。内部凹處以及通道直徑相對於氣體之尺寸(或 是動力學直徑)的尺寸會影響氣體滲入該媒介物之速率。 【0040】熔融矽石之個別間質可溶解處的尺寸展現出 符合J,F. Shackelford在J. Non-Cryst. Solids 253,1999年,23 中 所示之對數常態分佈,其係以參考方式併入本文之中。如 12 200931174 =81奈米;平均侧6奈米)以及氦 與鼠之動力學缝卿,㈣可轉處的數 量係超過對於氩之可溶解處的數量。間f處之總數量預估 為每立方未2.2 X 1〇28個,其中帶有每立方米2.3 X 10、的 乱可溶解處以及每立方米U X⑻6個的氬可溶解處。氦可 溶解處之間的平均距離係考量狀94奈米而氬的可溶解 處之間的平均輯鱗量為2.6奈米。連接料可溶解處之200931174 VI. Description of the Invention: [Technical Field of the Invention] Cross-Reference Related Application [0001] This application is based on 35 USC's US Provisional Patent, which was filed on December 3, 5, 10, 15, 20, 20, and November 21, 2008. Priority of the application, the above two provisional patent applications are hereby incorporated by reference. STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH DEVELOPMENT FIELD OF THE INVENTION [0002] As provided by the provisions of the National Institute of Standards and Technology (NIST ATP AWARD) 70ΝΑΝΒ4Η3012, the US government has a sponsorship license for the invention and, in limited circumstances The power to require the patent owner to authorize the appropriate terms. BACKGROUND OF THE INVENTION Nanofabrication involves the fabrication of very minute constructions having features of the order of 100 nanometers or less. Nanofabrication has produced an impact on the size of the integrated circuit. The semiconductor processing industry continues to seek greater yields while increasing the number of circuits per unit area formed on the substrate, so nanofabrication is becoming increasingly important. Nanomanufacturing provides greater processing control while continuously reducing the minimum feature size of the resulting construction. Areas that have been developed using nanofabrication include biotechnology, optical technology, mechanical systems, and the like. SUMMARY OF THE INVENTION 2 SUMMARY OF THE INVENTION 3 200931174 [0004] In the modality, the embossed lithography template or embossed stack comprises a porous material defined as having a plurality of average pore sizes of at least about It is a pore of 0.4 nm. The porosity of the porous material is at least about 10%. 5 [Caf 5] In certain embodiments, the average pore size is at least about 0.5 nm or at least about i.o. The porosity of the porous material is at least about 20%. In some cases, the porous material is an organic low dielectric value material. The relative porosity of the porous material to the molten vermiculite is at least about 20%. In some cases, the porous material has a Young's modulus of at least about 2 GPa, at least 10 about 5 GPa, or at least about 1 〇 GPa. [0006] In certain template embodiments, the 'porous material is disposed between a substrate layer and a cover layer. The substrate layer can comprise molten vermiculite. In some cases, the substrate layer includes recesses and the porous material is disposed in the recesses. In some cases, the coverage included Si〇x and 15 χ$2. The thickness of the cover layer can be less than about 1 nanometer, less than about 50 nanometers, or less than about 20 nanometers. Most of the protrusions can be extended by the cover layer. In some embodiments of the embossed stack, the porous material is disposed between a substrate and a cover layer. The substrate can include ruthenium. In another aspect, forming an imprint lithography template includes 20 forming a porous layer on a substrate layer and forming a cap layer on the porous layer. In another aspect, forming an imprint lithography template includes forming a plurality of recesses in a substrate, depositing a porous material in the recesses, and forming a cover layer on the substrate layer. In another aspect, forming an imprint lithography stack includes forming a porous layer on a substrate and forming a cap layer on the 200931174 porous layer. The porous layer defines a plurality of pores having an average pore size of at least about 0.4 nm and the porosity of the porous layer is at least about 1%. [0009] In another aspect, an imprint lithography method includes applying a droplet of a polymerizable material to an imprint stack, contacting the 5 polymerizable material with a template to harden the polymerizable material, and The template is separated from the hardened material. In some cases, the template includes a porous material. In some cases, the embossed stack comprises a porous material, and in some cases the stencil and the embossed stack both comprise a porous material. The porous material defines a plurality of pores having an average pore size of at least about 〇·4 nm and the porosity of the porous material is at least about 〇〇/〇. [0010] In another aspect, an imprint lithography method includes dispensing a polymerizable material onto a surface of an imprint stack, contacting the polymerizable material with a template, and allowing the polymerizable material to be polymerized. The material is capable of diffusing to form a substantially continuous layer on the surface of the embossed stack. The template, the 15print stack or both can comprise a porous material defining a plurality of pores having an average pore size of at least about 4 nanometers and having a porosity of at least about 1 inch. %. Spraying the polymerizable material to form a substantially continuous layer occurs when the similar polymerizable material is similarly distributed to form a substantially continuous layer between a first template and a second imprint stack. About 80% or less, 5% or less, or 2% or less, wherein the second template and the second embossed stack have an average pore size of less than about 〇4 nm. BRIEF DESCRIPTION OF THE DRAWINGS [0011] For a more detailed understanding of the present invention, a description of embodiments of the present invention is provided with reference to the embodiments shown in FIG. 5 200931174. However, it is to be understood that the appended claims are not intended to [0012] Figure 1 shows a simplified side view of a lithography system; 5 [0013] Figure 2 shows a simplified side view of the substrate shown in Figure 1, with a patterned layer disposed on the substrate. [0014] Figure 3 shows a porous template. [0015] Figure 4 shows a porous imprint stack. [0016] Figure 5 shows a portion of layer 10 having a porous material in a plurality of recesses. [0017] Figure 6 shows an imprint lithography procedure using a porous template and a porous embossed stack. [0018] Figure 7 shows an imprint lithography procedure using a uniform porous template and a porous imprint stack. 15 [(8)19] Figure 8 is a photograph of an embossed resist droplet dispensed on a substrate. [0020] Figures 9A-D are photographs of embossed resist droplets sprayed onto an embossed stack. [0021] Figures 10A-D are photographs of droplets of imprinting resist sprayed onto a porous imprint stack 2〇. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0022] An exemplary nanofabrication technique used today is commonly referred to as imprint lithography. Exemplary embossing lithography procedures are described in some of the 200931174 publications, such as U.S. Patent Application Publication No. 2004/0065976, U.S. Patent Application Publication No. 2004/0065252, and U.S. Patent No. 6,936,194, all of which are incorporated herein by reference. This is incorporated herein by reference. [0023] An imprint lithography technique as described in each of the above-mentioned U.S. Patent Application Publications and patents, which is incorporated herein by reference in its entire entire entire entire entire entire disclosure One of the relief patterns is transferred into a substrate disposed below. The substrate can be coupled to a motion stage' to facilitate positioning for the patterning process. The patterning process uses a template spaced from the substrate and a liquid is formed between the template and the substrate. The formable liquid is hardened to form a hard layer having a pattern corresponding to the shape of the template contacting the surface from which the liquid can be formed. After hardening, the template is separated from the hard layer so that the template is separated from the substrate. The stencil and the hardened layer are then further processed to transfer a embossed image to the substrate corresponding to the pattern in the hardened layer. Referring to Fig. 1, there is shown a lithography system 1 for forming a relief pattern on a substrate 12. An embossed stack can include a substrate 12 and one or more layers (e.g., an adhesive layer) adhered to the substrate. The substrate 12 can be joined to the substrate holder 14. As shown, the substrate holder 14 is a vacuum block. However, the substrate holder 14 can be any type of clamp, including but not limited to vacuum, plug, grooved, electromagnetic, and the like, or any combination. An exemplary clip is described in U.S. Patent No. 6,873,087, incorporated herein by reference. The substrate 12 and the substrate holder 14 can be further supported by the table member 16. The table member 16 is capable of providing motion about the χ_, y_, and z axes. 20 200931174 The table member 16, the substrate 12, and the substrate holder 14 can also be disposed on a substrate (not shown). The template 18 is spaced from the substrate 12. The stencil 18 can include a male member 2 延伸 extending from the stencil toward the substrate 12 having a patterned surface 22 thereon. Further, the male member 20 can be referred to as a module 20. Template 18 and/or module 20 can be formed from such materials, including but not limited to: molten twins, quartz, tantalum, organic polymers, siloxane polymers, borosilicate glass, fluorocarbon Polymer, metal, hardened sapphire and the like, or any combination thereof. As shown, the surface 22 includes features defined by a plurality of spaced apart recesses 24 1 〇 and protrusions 26, and embodiments of the present invention are not limited to this configuration. Patterning the surface 22 can define any of the original patterns that open the basis of the pattern to be formed on the surface of the substrate 12. The template 18 can be coupled to the lost piece 28. The clip 28 can be constructed, but not limited to, in the form of a vacuum, plug, groove, electromagnetic, and/or other clip. An exemplary clip is further described in U.S. Patent No. 6,873,087, the disclosure of which is incorporated herein by reference. In addition, the squeegee 28 can be coupled to the embossing head 3 〇 such that the clip and/or the embossing head 30 can be configured to facilitate movement of the stencil 18. [0028] System 1G can include a fluid dispensing system 32. The 20 fluid dispensing system 32 can be used to deposit the polymerizable material 34 on the substrate 12. The polymerizable material M can be disposed on the substrate using several techniques, such as droplet dispensing, spin coating, immersion coating, chemical vapor deposition (cvp), physical vapor deposition (PVD), thin deposition, thick product And similar technology or any group of mouths. The polymerizable material 34 can be disposed on the substrate 12 before and/or after the required volume between the module and the substrate η 200931174, according to » again. Ten exams and squatting. The polymerizable material 34 can include the components described in U.S. Patent No. 7,157, 365, the entire disclosure of which is incorporated herein by reference. 5 e 10 15 ❹ 20 [0029] Referring to Figures 1 and 2, the system further includes a face-energy source 38' to direct energy 4() along path 42. The embossing head 30 and the table member 16 can be constructed such that the splicing path 42 arranges the stencil 18 and the substrate 12. The system 10 can be controlled by a processor 54 that connects the table member 16, the embossing head 3, the fluid dispensing system 32, the energy source 38, or a combination thereof, and can be readable by a computer stored in the memory 56. Take the program and execute it. [0030] The stamping head 30, the table member 16, or both can vary the distance between the module 2 and the substrate 12 to define a volume therebetween that is substantially filled by the polymerizable material 34. For example, the stamping head 3 can apply a force to the template 18 to contact the module 18 with the polymerizable material 34. After the desired volume is substantially filled with the polymerizable material 34, the energy source 38 produces energy 40, such as broadband ultraviolet radiation, such that the polymerizable material 34 conforms to the surface 44 of one of the substrates 12 and the shape of the patterning surface 22. A patterning layer 46 is defined on the substrate 12 by way of hardening and/or crosslinking. The patterning layer 46 can include a retention layer 48 and a plurality of features shown as protrusions 50 and recesses 52, and the protrusions 50 have a thickness h and the recesses 52 have a thickness t2. [0031] The above-described systems and procedures can be further implemented in reference to U.S. Patent No. 6,932,934, U.S. Patent Application Publication No. 2004/0124566, No. 2009 2009 174, U.S. Patent Application Publication No. 2004/ No. 188,381, and U.S. Patent Application Publication No. 2004/ No. 0211754, each of which is incorporated herein by reference. [0032] In a nanoimprint procedure in which the polymerizable material is applied to the substrate 12 by droplet dispensing or spin coating, the gas may be trapped in the recess after the template contacts the polymerizable material. In a nanoimprint process in which a polymerizable material is applied to a substrate by a droplet dispensing method, the gas may also be trapped in a polymerizable material and an imprinting resist disposed on a substrate or an imprint stack. Between the droplets. That is, the gas may trap between the droplets as the droplets are dispersed. [0033] The rate of gas diffusion and decomposition can limit the rate at which the polymerizable material can form a continuous layer on a substrate (or an embossed stack) or the polymerizable material can fill the stencil after it contacts the polymerizable material. – the rate of the feature, thereby limiting the entire nanoimprint procedure. For example, the substrate 15 or a template is substantially inaccessible to the gas trapped between the substrate and the template. In some cases, a polymer layer adhered to the substrate or template is saturated, so that The gas enthalpy between the embossed stack and the stencil is substantially inaccessible to the saturated polymer layer and remains trapped between the substrate and the substrate or p-stack. The gas still trapped between the substrate or the embossed stack and the dies 20 may cause a plague in the polymer layer. ^] In the embossing lithography procedure, the gas trapped between the substrate/imprint stack and the stencil can be vented through the polymerizable material, the substrate edge-stacked stack, or any combination thereof. The amount of gas dissipated through any medium can be affected by the contact area between the trapped gas and the medium. 10 200931174 The contact area between the trapped gas and the polymerizable material can be smaller than that of the trapped gas and the substrate/imprint stack. The contact Φ product is less than the contact area between the trapped gas and the template. For example, the thickness of the polymerizable material on the __substrate/embossed stack can be less than about 1 micron, or less than about 100 nanometers 5 ❹ 10 15 ❹ 20 meters. In some cases, the polymerizable material is capable of absorbing sufficient gas and, upon imprinting, becomes gas saturated such that the trapped gas is substantially inaccessible to the polymerizable material. Conversely, the contact area between the trapped gas and the substrate or imprint stack or the contact area of the trapped gas with the template may be quite large. [0035] In some cases, the substrate/imprint stack or template can include a plurality of porous materials defining a plurality of recesses having an average porosity and a pore density or a relative porosity, the porous material being selected, Used to help the gas diffuse into the substrate/imprint stack or template, respectively. In some cases, the substrate/embossed stack or stencil may include one or more layers or regions of a porous material that are designed to facilitate separation from the substrate/imprint stack, respectively. The polymerizable material between the substrates, and the gas trapped between the substrate/imprint stack and the template is carried toward the substrate 7 in the direction of imprinting the stack or template. [0036] The gas permeability of a vehicle can be expressed as p = DxS, where P is permeability, D is diffusion coefficient, and s is solubility. During a gas transfer, a gas is absorbed onto one of the surfaces of the vehicle and a concentration gradient is established in the medium. This concentration gradient can act as a driving force for diffusing gas through the medium. The gas solubility and the diffusion coefficient month b are sufficient to be based on, for example, the packing density of the medium. Adjusting the bulk density of the medium can change the diffusion coefficient, thereby changing the permeability of the medium. [0037] A gas can be imagined to have an associated kinetic diameter. The kinetic diameter provides a concept of a gas atom or molecule for the size of a gas transport. "Zeolite Molecular Sieves" by DW Breck (New York, john Wiley & s〇ns, 1974, p. 636, incorporated herein by reference) The kinetic diameters of 常见 (0.256 nm), argon (0.341 nm), oxygen (〇346 nm), nitrogen (0.364 nm), and other common gases. ® 10 [0038] In some embossing lithography procedures, a cleaning is used to substantially replace the air between the stencil and the substrate or embossed stack. To simplify the comparison between a helium environment and an air environment in an imprint lithography procedure, the polarity of the interaction between oxygen and vermiculite in the air can be neglected by modifying the air with pure argon. Both helium and argon are 15 inert gases, and argon has a kinetic diameter similar to oxygen. However, unlike oxygen, helium and argon do not react with molten vermiculite or quartz. 〇 [0039] The internal recess (dissolvable) and the structural channel connecting the soluble sites allow gas to permeate through a vehicle. This gas may remain in the 20 soluble place. The internal recess and the size of the passage diameter relative to the size of the gas (or the dynamic diameter) affect the rate at which the gas penetrates into the medium. [0040] The size of the individual interstitial soluble sites of the molten vermiculite exhibits a lognormal distribution as shown by J. F. Shackelford in J. Non-Cryst. Solids 253, 1999, 23, which is incorporated by reference. Incorporated into this article. For example, 12 200931174 = 81 nm; average side 6 nm) and 动力学 and mouse dynamics, (4) The number of transferable systems exceeds the number of soluble points for argon. The total number of inter-f is estimated to be 2.2 X 1〇28 per cubic, with 2.3 X 10 per cubic meter of dissolvable and argon soluble at U x (8) per cubic meter. The average distance between the soluble sites of 氦 is 94 nm and the average volume between the soluble points of argon is 2.6 nm. The binder can be dissolved

構造通道係構想為類似於6貴氧切環(6__ber ΙΟ πη㈣’並具有約桃3奈米之一直徑。表〗概述某些影響氨 與氬在熔融矽石中之滲透性的參數。The structural channel is conceived to be similar to the 6 noble oxygen cut ring (6__ber ΙΟ πη(4)' and has a diameter of about 3 nanometers. Tables summarize some parameters that affect the permeability of ammonia and argon in the molten vermiculite.

1010

【0041】 Boiko(G.G. Boiko等人發表’「玻璃物理與化 學」第29冊,2003年第〗號,42〜48頁,其係以參考方式併 入本文中)描述氦在非結晶或玻璃體矽石令的行為。在一可 15溶解處中,氦原子以間質體積所能提供的振幅進行振動。 原子透過通道從間質之間通過,其直徑可能較該等間質為 /J\ 〇 【0042】表1中所列之參數指出氬在炼融石夕石中之滲透 性會非常低或者是在室溫能夠加以忽略(亦即,氬之動力學 20 直徑超過熔融矽石的通道尺寸)。由於氧以及氮之動力學直 13 200931174 徑係大於氬的動力學直徑,故空氣大體上無法滲透熔融矽 石。另一方面,氦能夠擴散進入並滲透熔融矽石。因此, 當使用一氦氣環境而非一大氣環境進行一奈米壓印程序 時,困在模板與基板或壓印堆疊體之間的氦氣便能夠滲透 5 一熔融矽石模板。 【0043】第3圖係為位於基板12與多孔性模板300之間 的可聚合材料34的一侧視圖,以及用於奈米壓印微影術中 之各種多孔模板實施例的放大橫剖面圖。箭頭符號顯示氣 體運送進入模板300之方向。 10 【0044】模板3〇〇A包括位於基底層304與覆蓋層306之 間的一多孔層302。多孔層302能夠藉由化學蒸汽沈積 (CVD)、旋轉塗佈、熱生長法或者類似方法形成在基底層3〇4 上。多孔層302之厚度至少可為約10奈米。例如,多孔層3〇2 之厚度能夠在約10奈米到約1〇〇微米的一範圍内,或者是在 15約100奈米到約10微米的一範圍内。在某些案例中,較厚的 多孔層302能夠提供較高的有效滲透性,而不會顯著地降低 有關於例如UV透光性、熱膨脹等等之性能。 【0045】多孔層3〇2可由包括但非限定於陽極電鍍^^ 氧化鋁、有機矽烷、有機矽石、有機矽酸鹽材料、有機聚 σ物、無機聚合物以及其任何組合所製成。在某些實施例 中,多孔性材料可為一低让多孔性低1^或是超低k介電質薄 膜’諸如用於電子以及半導體應用之旋塗玻璃(SOG)。多孔 材料此夠加以選擇為承受重複使用包括生程序 之不米壓印程序。將多孔層302黏附到基底層304以及覆蓋 14 200931174 層306可能需要的力量係至少為例如使模板與在1印微 影術程序_形成的圖案形成層分離所需要之力量的三件。 在某些實施例中,多孔性材料能夠大體上對MUv輻射透 光。多孔性材料之拉伸模數可為例如至少約2(jpa,至少約 5 5 Gpa,或者至少約1〇 GPa。 【謝6】藉著改變程序條件與材料,便能夠生產出帶 有不同孔隙尺寸以及孔隙密度(孔隙率或相對孔隙率)之孔 〇 隙率材料。在某些案例甲例如能夠使用離子轟炸,以便在 1 —材料中形成孔隙。多孔層搬能夠具有孔隙扇,其與炼 10融矽石相比帶有一較大的孔隙尺寸以及較高之孔隙率。至 於文中所使用之「孔隙率」表示在一實體中藉由通道以及 - 敞開空間所佔用之總體積的百分比部分。多孔層302之孔隙 率範圍可為約0.1%到約60%,或者是約5%到約45%。在某 些案例中,多孔層302之孔隙率可至少約為1〇%或至少約2〇 15 %。類似材料之相對孔隙率能夠加以界定為材料之密度方 〇 自的相對差異。例如,⑽(密度PsQG=1.4g/em3)對於二 矽石(密度!〇 *** w =2.2 g/cm3)之一相對孔隙率能夠計算為 l〇〇%x (p溶㈣石㈣石,或是36%。熔融矽石能夠 用以作為用於其他包括氧矽鍵之材料的一參考材料。在 2〇 '、 些實施例中,一包括氧矽鍵之多孔性材料對於熔融矽石的 相對孔隙率至少係約為10%,至少係約為20%,或者至少約 為 30%。 【0047】位於多孔性材料中之孔隙的尺寸能夠良好地 控制(例如,大體上均勻,或者具有所需的分佈)。在某些案 15 200931174 例中,孔隙尺寸或是平均孔隙尺寸至少係約為〇4奈米至 少約為0.5奈米或更大。也就是說,孔隙尺寸或平均孔隙尺 寸能夠夠大,以提供足夠數量之氣體可溶解處,以致於在 氣體困在基板/壓印堆疊體與模板300A之間時,使氣體能夠 5 擴散進入模板之多孔層302。 【0048】倍半矽氧烷聚合物係為示範性多孔性材料。 在Zhang等人所著之「高度多孔性多面體倍半矽氧烷聚合物 -合成物與特性」j.Am. Chem. Soc.,1998,120,8389-8391(其係 以參考方式併入本文中)之論文中描述位於倍半矽氧烷聚 10 合物内的微小内方塊(intracube)孔隙的以及較大的間方塊 (mtercube)孔隙。内方塊孔隙係約為一 〇3〜〇4奈米之球 體,間方塊孔隙係為一〇·5〜0.6奈米直徑以及長度為1〇〜 1.2奈米的橢圓。如同文中所述,具有至少約為〇 4奈米直徑 之孔隙’諸如倍半矽氧烧聚合物係認為能夠提供一種尺寸 15 與形狀之可溶解處,其適合吸收一動力學直徑小於該等可 溶解處之尺寸的氣體。在某些案例中,一多孔性材料之可 溶解處的構造能夠容許經吸收氣體大體上保持在可溶解處 中,而不是擴散離開該材料。 【0049】能夠將成孔劑(porogen)添加到材料,用以形 20 成多孔層302,以增加該多孔層之孔隙率與孔隙尺寸。成孔 劑包括例如能夠蒸發之有機化合物’諸如降莰烯、α-萜品 烯、聚氧乙烯、以及聚氧乙烯/聚氧丙烯共聚物與類似物, 以及其任何組合。成孔劑可為例如直線或星形。成孔劑與 程序條件能夠加以選擇,以便形成一微多孔性低_k多孔 200931174 層,例如具有小於約2奈米之平均孔隙直徑,從而增加用於 一氣體範圍之可溶解處的數量。此外,引入成孔劑以及增 加孔隙率能夠擴大連接氣體可溶解處之構造通道。對於尺 寸約為0.4奈米或更大之孔隙尺寸而言,一低_k薄膜之氦滲 5 ❹ 10 15 ❹ 20 透性可能會超過玻璃體熔融矽石的氦渗透性。 【0050】基底層304以及覆蓋層306能夠由相同或不同 材料所製成。在某些實施例中,基底層304可為熔融矽石, 且覆盍層306則能夠包括SiOx,且1 g x$ 2,其透過一蒸汽 沈積法生長。覆蓋層306之厚度與成分能夠加以選擇,以提 供機械強度與選定的表面性質,以及對於在一壓印微影術 程序中會困在一基板/壓印堆疊體與一模板之間的氣體之 滲透性。在某些實施例中,覆蓋層3〇6之厚度係小於約1〇〇 奈米,小於約50奈米,或者小於約2〇奈米。在一範例中, 覆蓋層306係約為1〇奈米厚。覆蓋層3〇6能夠藉著選擇用以 在一壓印微影術程序期間達成所需的沾濕與釋放性能之材 料所形成。覆蓋層306亦能夠抑制可聚合材料314滲透進入 多孔層,同時容許氣體擴散通過該覆蓋層,並進入多孔層 302。 【0051】對於一多層薄膜而言,有效滲透性能夠由一 I5力模^^加以叶算而得’諸如由F. peng等人在j. Membrane SC1. 222(2003年)225-234以及A. Ranjit Prakash等人在感應 器與引動器(Sensors and Actuators)Bl 13(2006年)398-409 中 所描述之一電子電路的類比計,其二者皆以參考方式併入 本文中。一村料對於一蒸汽之滲透的阻力係界定為滲透阻 17 200931174 力Rp °對於一種雙層複合薄膜而言,其層厚度為丨丨與丨2,且 對應的渗紐為卜熟,滲透阻力_界定為: ηρ^=1ψ7ϊΰ Ο) 5 其中Δρ係為跨過薄膜之壓力差異,】係為通量,且Α係為面 積。阻力模型預測[0041] Boiko (GG Boiko et al., ed., "Glass Physics and Chemistry", Vol. 29, No. 2003, pp. 42-48, incorporated herein by reference)) Stone's behavior. In a soluble region, the germanium atoms vibrate at an amplitude that the interstitial volume can provide. The passage of atoms through the channel from the interstitial may be smaller than the interstitial /J\ 〇 [0042] The parameters listed in Table 1 indicate that the permeability of argon in the smelting stone is very low or It can be ignored at room temperature (i.e., the kinetics of argon 20 diameter exceeds the channel size of the molten vermiculite). Due to the kinetics of oxygen and nitrogen, the diameter of the system is greater than the kinetic diameter of argon, so air is generally incapable of penetrating molten vermiculite. On the other hand, helium can diffuse into and penetrate the molten vermiculite. Therefore, when a nano-imprinting process is performed using a helium atmosphere instead of an atmospheric environment, helium gas trapped between the template and the substrate or the imprinted stack can penetrate the five molten vermiculite template. [0043] Figure 3 is a side elevational view of the polymerizable material 34 between the substrate 12 and the porous template 300, and an enlarged cross-sectional view of various porous template embodiments for use in nanoimprint lithography. The arrow symbol indicates the direction in which the gas is transported into the template 300. 10 [0044] The template 3A includes a porous layer 302 between the base layer 304 and the cover layer 306. The porous layer 302 can be formed on the base layer 3〇4 by chemical vapor deposition (CVD), spin coating, thermal growth, or the like. The porous layer 302 can have a thickness of at least about 10 nm. For example, the thickness of the porous layer 3〇2 can range from about 10 nm to about 1 μm, or from about 15 nm to about 10 μm. In some cases, the thicker porous layer 302 is capable of providing higher effective permeability without significantly degrading performance with respect to, for example, UV light transmission, thermal expansion, and the like. The porous layer 3〇2 may be made of, but not limited to, anodized aluminum oxide, organic decane, organic vermiculite, an organic phthalate material, an organic poly σ, an inorganic polymer, and any combination thereof. In some embodiments, the porous material can be a low porosity or ultra low k dielectric film such as spin on glass (SOG) for electronic and semiconductor applications. The porous material is chosen to withstand the re-use of the embossing process including the raw process. Adhesion of the porous layer 302 to the base layer 304 and covering the layers of the 200931174 layer 306 may be at least three pieces of force required to separate the template from the pattern forming layer formed in the 1 lithography procedure. In certain embodiments, the porous material is capable of being substantially transparent to MUv radiation. The tensile modulus of the porous material can be, for example, at least about 2 (jpa, at least about 5 5 Gpa, or at least about 1 〇 GPa. [Xie 6] by varying the program conditions and materials, it is possible to produce different pores. Size and pore density (porosity or relative porosity) of the pore gap rate material. In some cases, for example, ion bombardment can be used to form pores in the material. The porous layer can have pore fans, which are The 10 fused vermiculite has a larger pore size and a higher porosity. As used herein, "porosity" means the percentage of the total volume occupied by the passageway and the open space in an entity. The porosity of the porous layer 302 can range from about 0.1% to about 60%, or from about 5% to about 45%. In some cases, the porosity of the porous layer 302 can be at least about 1% or at least about 2 〇 15 %. The relative porosity of similar materials can be defined as the relative difference in density of the material. For example, (10) (density PsQG = 1.4g / em3) for dioxite (density! 〇 *** w = 2.2 One of g/cm3) relative porosity can be calculated It is l〇〇%x (p(tetra) stone (tetra) stone, or 36%. The molten vermiculite can be used as a reference material for other materials including oxygen bond. In some embodiments, A porous material comprising an oxonium bond has a relative porosity of at least about 10%, at least about 20%, or at least about 30% for the molten vermiculite. [0047] The size of the pores in the porous material Can be well controlled (eg, substantially uniform, or have a desired distribution). In some cases, in 200931174, the pore size or average pore size is at least about 奈4 nm at least about 0.5 nm or That is, the pore size or average pore size can be large enough to provide a sufficient amount of gas to be so soluble that the gas can be made 5 when the gas is trapped between the substrate/imprint stack and the template 300A. Diffusion into the porous layer 302 of the template. [0048] The sesquioxane polymer is an exemplary porous material. "Highly porous polyhedral sesquiterpene polymer-composites and properties" by Zhang et al. J. Am. Chem. Soc., 1998, 120, The intracube pores and the larger mtercube pores within the sesquiterpene oxide poly10 complex are described in the paper by 8389-8391, which is incorporated herein by reference. The square pore system is about 〇3~〇4 nanometer spheres, and the inter-matrix pore system is an 椭圆·5~0.6 nm diameter and an ellipse having a length of 1〇~1.2 nm. As described in the text, it has at least about A pore having a diameter of 4 nanometers, such as a sesquiterpene oxide polymer, is believed to provide a soluble size of 15 and shape suitable for absorbing a gas having a kinetic diameter smaller than the size of the soluble sites. In some cases, the configuration of a porous material that is soluble allows the absorbed gas to remain substantially in the soluble state rather than diffusing away from the material. [0049] A porogen can be added to the material to form a porous layer 302 to increase the porosity and pore size of the porous layer. The porogen includes, for example, an organic compound capable of evaporating such as norbornene, ?-terpinene, polyoxyethylene, and polyoxyethylene/polyoxypropylene copolymers and the like, and any combination thereof. The porogen can be, for example, a straight line or a star. The porogen and program conditions can be selected to form a microporous low _k porous 200931174 layer, for example having an average pore diameter of less than about 2 nanometers, thereby increasing the amount of soluble point for a range of gases. In addition, the introduction of a porogen and an increase in porosity can expand the structural passage where the connecting gas is soluble. For pore sizes of about 0.4 nm or more, a low _k film may penetrate 5 ❹ 10 15 ❹ 20 permeability may exceed the 氦 permeability of the vitreous fused vermiculite. [0050] Base layer 304 and cover layer 306 can be made of the same or different materials. In some embodiments, the base layer 304 can be a molten vermiculite, and the cover layer 306 can comprise SiOx, and 1 g x $2, which is grown by a vapor deposition process. The thickness and composition of the cover layer 306 can be selected to provide mechanical strength and selected surface properties, as well as gas trapped between a substrate/embossed stack and a template in an imprint lithography procedure. Permeability. In certain embodiments, the cover layer 3〇6 has a thickness of less than about 1 奈 nanometer, less than about 50 nanometers, or less than about 2 nanometers. In one example, the cover layer 306 is about 1 nanometer thick. The cover layer 3〇6 can be formed by selecting materials for achieving the desired wetting and release properties during an embossing lithography procedure. The cover layer 306 is also capable of inhibiting the penetration of the polymerizable material 314 into the porous layer while allowing gas to diffuse through the cover layer and into the porous layer 302. [0051] For a multilayer film, the effective permeability can be calculated from an I5 force model, such as by F. Peng et al. at j. Membrane SC1. 222 (2003) 225-234 and A. Ranjit Prakash et al., Analog Devices and Actuators, Bl 13 (2006) 398-409, an analogy of electronic circuits, both of which are incorporated herein by reference. The resistance of a village material to the penetration of a steam is defined as the permeability resistance. 17 200931174 Force Rp ° For a two-layer composite film, the layer thickness is 丨丨 and 丨2, and the corresponding osmosis is immersed, and the osmotic resistance _ is defined as: ηρ^=1ψ7ϊΰ Ο) 5 where Δρ is the pressure difference across the film, 】 is the flux, and the lanthanum is the area. Resistance model prediction

Rp = Ri + R_2 备橫剖面面積與材料1與2相同時 (2) 方程式(2)能夠重新表示Rp = Ri + R_2 When the cross-sectional area is the same as that of materials 1 and 2 (2) Equation (2) can be re-displayed

10 【0052】對於具有厚度約為1〇奈米以及滲透性為?!之 Si〇x覆蓋層306的模板3〇〇A而言,模板滲透性能夠藉著選擇 多孔層3G2之孔隙率與孔隙尺寸加以調整。多孔層3〇2之渗 透性與厚度對於—厚度為310奈米的多層複合壓印堆叠體 之有效滲透性的效果係顯示於表2中。 1510 [0052] For a thickness of about 1 〇 nanometer and permeability? For the template 3A of the Si〇x cover layer 306, the template permeability can be adjusted by selecting the porosity and pore size of the porous layer 3G2. The effect of the permeability and thickness of the porous layer 3 〇 2 on the effective permeability of the multilayer composite embossed stack having a thickness of 310 nm is shown in Table 2. 15

JgiOx)涿诱性p, 1〇奈米 10奈米 10奈米 10奈米 表2JgiOx) 涿 性 p, 1 〇 nano 10 nm 10 nm 10 nm Table 2

米 ^〇〇ϊ5Γm ^〇〇ϊ5Γ

滲透性比率 基底層厚度 阁〇2涵处 0 100奈米 Ρ2=1000Ρι 4000Ρ! 301Ρ^~~~· ~2jp:Permeability ratio Basement thickness 〇2 culverts 0 100nm Ρ2=1000Ρι 4000Ρ! 301Ρ^~~~· ~2jp:

【0〇53】表2建議單獨增加多孔層之厚度能夠較單獨择 加多孔層的渗透性產生—較高的有效滲透性。也就是說, 對於厚度為奈米之—多孔層以及厚度為叫米的—覆 18 200931174 5 ❹ 10 15 20 蓋層而言’將多孔層之滲透性從1〇〇p〖到1〇〇〇Ρι增加十倍會 使有效渗透性從23.8P!增加到SO.lPt。對於具有厚度為100 奈米、200奈米與3〇〇奈米之一多孔層以及厚度為1〇奈米的 覆蓋層之複合壓印堆疊體而言,將多孔層厚度增加2〇〇奈米 可以使有效滲透性增加2〇倍,分別從1.5P!到2.8P!到30.1P!。 【0054】在另一實施例中,突出物31〇能夠由覆蓋層3〇6 延伸。在一範例中,模板300B能夠藉著在一基底層(例如石 英)上沈積一 500奈米厚之多孔層(例如一有機矽酸鹽低-k薄 膜)’並且在該多孔層之頂部上生長出一厚度為1〇〇奈米的 覆蓋層(例如SiOx)所形成。覆蓋層係進行回蝕,以形成高度 為90奈米之突出物。至於文中所使用一覆蓋層之厚度係視 為與突出物310的高度無關。因此,覆蓋層在此範例中係考 慮為10奈米厚,且突出物從該覆蓋層延伸9〇奈米的高度。 至少約50°/°之模板表面具有覆蓋厚度10奈米的SiOx(亦即, 約5〇%之模板表面積係以突出物覆蓋),且下方具有一5〇〇 奈米厚的多孔層。氦氣可能會更快速地擴散通過覆蓋層沒 有犬出物之部分,達成氦氣滲透性之整體增加,該滲透性 至少部分地依照多孔層之厚度,覆蓋層之厚度以及模板沒 有突出物的表面積之部分而定。 【0055】一模板能夠形成一均勻構造,選擇能夠擴散 一氣體之一孔隙率以及平均孔隙尺寸。模板係由例如有機 聚合物、無機材料(例如碳化;g夕、摻雜矽石、VYC〇R(g))與 類似物,或者是其任何組合所製成,能夠具有一較低的包 裝密度,且因此具有較玻螭體熔融矽石具有更高的氣體(例 19 200931174 如氦氣)滲透性。第3圖顯示模板300C。模板300C基本上由 一單獨多孔層302所構成。多孔層302並非黏附到一基底 層。該多孔層能夠具有至少約為0.4奈米之一平均孔隙尺寸 以及至少約10%的孔隙率。 L uudo j 10 15 20 〇 vp ^ 1文m /百jvu〜7扎乃 302。覆蓋層306可為例如si〇x。與模板3〇〇c相同,多孔^ 並未黏基底層。覆蓋層3G6_抑制可聚合材料和 進入多孔材料。該覆蓋層306亦能夠賦予模板所需的表面十 質、機械性質以及類似物。 【0057】-壓印堆疊體能夠包括—基板以及一黏_ 該基板之層。多層壓㈣疊舰夠包括—個或更多額外自 層黏附在-起’以便形成—多層複合物。基板可為例如-石夕晶圓…黏_該基板之層能夠包括例如有機聚合ί 料、無機聚合材料’料是其任何組合。純、層或是; 任何組合之鶴:尺切及孔__加以選擇,以容許-氣趙擴散通過壓印㈣體,從而有助於降低在—壓印㈣ 術程序期狀受.體,並充賴板中的特徵。 門的圖顯示位於模板18以及壓印堆疊體· =Γ:Γ4。箭頭符號表示氣體運送進入壓_ 增加壓_體之—厚度能夠改;==:: 力,並降録1洗_產生㈣細=體及收氛 【_】在某些實施例中,如同壓印堆J一[0〇53] Table 2 suggests that increasing the thickness of the porous layer alone can result in a higher permeability than the permeability of the porous layer alone. That is to say, for the thickness of the nano-porous layer and the thickness of the meter - covering 18 200931174 5 ❹ 10 15 20 cap layer, the permeability of the porous layer is from 1〇〇p to 1〇〇〇. A tenfold increase in Ρι increases the effective permeability from 23.8P! to SO.lPt. For a composite embossed stack having a porous layer of 100 nm, 200 nm and 3 Å nanometers and a cover layer having a thickness of 1 〇 nanometer, the thickness of the porous layer is increased by 2 〇〇 The meter can increase the effective permeability by 2 times, from 1.5P! to 2.8P! to 30.1P! [0054] In another embodiment, the protrusion 31A can be extended by the cover layer 3〇6. In one example, the template 300B can deposit a 500 nm thick porous layer (eg, an organic bismuth low-k film) on a substrate layer (eg, quartz) and grow on top of the porous layer. A cover layer having a thickness of 1 nanometer (for example, SiOx) is formed. The cover layer is etched back to form a protrusion having a height of 90 nm. As regards the thickness of a cover layer used herein, it is considered to be independent of the height of the protrusion 310. Thus, the cover layer is considered to be 10 nanometers thick in this example, and the protrusions extend from the cover layer by a height of 9 nanometers. The templating surface of at least about 50°/° has a SiOx covering a thickness of 10 nm (i.e., about 5% of the templating surface area is covered by the protrusions) and has a 5 Å thick layer of porous layer underneath. Helium may diffuse more rapidly through the cover layer without a portion of the canine, achieving an overall increase in helium permeability that depends, at least in part, on the thickness of the porous layer, the thickness of the cover layer, and the surface area of the template without protrusions. Part depends. [0055] A template is capable of forming a uniform configuration that is capable of diffusing a porosity of one gas and an average pore size. The template is made of, for example, an organic polymer, an inorganic material (e.g., carbonized; gadoline, doped vermiculite, VYC〇R(g)) and the like, or any combination thereof, capable of having a lower packing density. And therefore has a higher gas permeability than the vitreous fused vermiculite (Example 19 200931174 such as helium). Figure 3 shows the template 300C. Template 300C consists essentially of a single porous layer 302. The porous layer 302 does not adhere to a base layer. The porous layer can have an average pore size of at least about 0.4 nanometers and a porosity of at least about 10%. Luudo j 10 15 20 〇 vp ^ 1 text m / hundred jvu ~ 7 Zha is 302. Cover layer 306 can be, for example, si〇x. Like the template 3〇〇c, the porous ^ does not adhere to the base layer. The cover layer 3G6_ inhibits the polymerizable material and enters the porous material. The cover layer 306 can also impart the desired surface properties, mechanical properties, and the like to the template. [0057] The embossed stack can comprise a substrate and a layer of the substrate. Multi-laminated (four) stack ships include one or more additional self-layers adhered to form a multi-layer composite. The substrate can be, for example, a lithographic wafer. The layer of the substrate can comprise, for example, an organic polymeric material, an inorganic polymeric material, in any combination thereof. Pure, layer or; any combination of cranes: ruler cuts and holes __ to choose to allow the gas to diffuse through the imprinted (four) body, thereby helping to reduce the in-imprint (four) process of the body, And it is full of features in the board. The diagram of the door is shown in the template 18 and the imprint stack ·=Γ:Γ4. The arrow symbol indicates that the gas is transported into the pressure _ increasing pressure _ body - thickness can be changed; ==:: force, and down 1 wash _ produce (four) fine = body and atmosphere [_] in some embodiments, like pressure Imprint J

❹ 20 200931174 5 Ο 10 15 ❹ 橫剖面圖所示’該壓印堆疊體能夠包括一形成在基板12上 之多孔層402。多孔層402能夠具有孔隙408,且可為例如一 有機矽酸鹽低-k薄膜。覆蓋層4〇6能夠形成在多孔層402 上。多孔層402之一厚度範圍能夠在約50奈米到數微米之 内’依照預計的使用目的而定。多孔層中之孔隙尺寸能夠 進行良好控制(例如大體上均勻或者是帶有一已知的分佈)。 【0060】在某些實施例中,多孔層4〇2之一孔隙尺寸或 平均孔隙尺寸係小於約1〇奈米,小於約3奈米,或者小於約 1奈米。在某些案例中,該孔隙尺寸或平均孔隙尺寸至少係 約為0.4奈米,至少約為ο』奈米或更大。也就是說,孔隙尺 寸或平均孔隙尺寸能夠夠大,以便提供足夠數量之用於氣 體(例如氦乳)的可溶解處,以致於使困在基板丨2與一模板18 之間的氣體能夠擴散進入壓印堆疊體4〇〇的多孔層4〇2。在 某些實施例中’多孔層之—孔隙率至少係約為跳或者至 少為20%。 20 。【0G61】在某些案例中,來自於—多孔模板之基底層 或者是-多孔壓印堆叠體的基板之應變能夠透過多孔層傳 遞到覆蓋層。多孔層能夠具有—較基底層或基板為低的揚 氏模數。在某些實施财,錢之傳魏_著將多孔材 料内嵌在基板中加崎低。例如,多個凹處或溝槽能夠加 以Γ進人—基板或是基底層,多孔材料能夠沈積入該等 ::或溝槽中。在某些案例中,多孔材料能夠大體上充填 ^凹處或制。接著…覆蓋m域在基底層或基 板上’以便大體上覆蓋該基底層或基板與多孔材料, 以致 21 200931174 於使覆蓋層與基底層或基板相接觸,並降低應變傳遞。凹 處或溝槽之面積與空間,以及多孔材料的體積能夠足以在 壓印微影術程序期間使氣體脫散進入多孔材料。 【0062】第5圖顯示具有凹處502之層500的一爆炸立體 5 圖。層500可為例如一基板。凹處502能夠包括例如任何規 則或不規則,均勻或非均勻的形狀或尺寸之溝槽或是凹 陷。在某些實施例中,凹處502能夠形成一帶有均勻或非均 勻間隔之格柵圖案。格柵間隔α可為例如約0.25微米。凹 處502能夠大體上以多孔材料504加以充填一覆蓋層506能 © 10 夠形成在層500以及位於凹處502中的多孔材料504上,以致 於使應變能夠直接地從層500傳遞到覆蓋層506,同時仍然 能夠增加氣體透過模板500之擴散。覆蓋層506能夠藉著包 括例如化學蒸汽沈積法與類似方法加以形成。 ' 【0063】對於具有一多孔模板之壓印微影術程序而 ' 15 言,當第二模板之孔隙較該多孔性模板為少,或者具有一 較低孔隙率時(例如,當第二模板係由熔融矽石,石英或是 普通的模板材料所製成時),一可聚合材料之液滴在壓印堆 ® 疊體/基板以及模板之間形成一實質連續層所需的時間可 能為相同可聚合材料的液滴在一類似壓印堆疊體/基板以 2〇 及一第二模板之間形成一實質連續層所需要時間的至多約 80%,至多約為50%,或者至多約為20%。對於具有一多孔 性壓印堆疊體/基板之壓印微影術程序而言,當第二壓印堆 疊體/基板之孔隙較多孔性壓印堆疊體/基板為少’或者是具 有較低孔隙率時(例如,當該第二壓印堆疊體/基板本質上由 22 200931174 位於一矽晶圓上之一黏附層所構成時),一可聚合材料之液 滴在壓印堆疊體/基板與模板之間形成一實質連續層所需 的時間可能為相同可聚合材料之液滴的相同佈置,以便在 一第二壓印堆疊體/基板以及—類似模板之間形成一實質 5 Ο 10 15 ❹ 20 連續層所需要時間的至多約80%,至多約為5〇%,或者至多 約為20 %。 【0064】如同第6圖中所示,在某些實施例中,一多孔 性模板以及一多孔性壓印堆疊體能夠一起使用。例如,一 多孔層302能夠包括於模板3〇〇中,且多孔層4〇2則能夠包括 於一壓印堆疊體400中。如果例如覆蓋層夠薄,則將一多孔 層導入模板以及壓印堆疊體能夠使透過多孔層脫散之氣體 (例如,氦氣、氮氣、乳氣專)量增加。在某些實施例中,如 第7圖中所示,一均勻多孔性模板3〇〇以及一帶有一多孔層 之壓印堆疊體能夠一起使用。 範例 【0065】多孔性壓印堆疊體製備。s〇g (Honeywell電 子材料公司所販售之旋塗玻璃,ACCUGLASS®512B)係在 預先清潔的8吋雙側拋光矽晶圓基板上旋轉》晶圓接著分別 在80°C、150°C以及250°C之各個溫度下烘烤60〜120秒。該 SOG塗佈晶圓接著係在425°C〜45(TC之氮氣環境中固化1小 時。該SOG層約為1.7微米厚,所產生之疏水性SOG表面係 以氧氣電衆處理經過5〜20秒,以產生一疏水性表面。 【0066】一成分為包括約77公克IsoRad 501 (紐約州, Schenectady市,Schenectady國際有限公司所販售之一種多 23 200931174 功能反應性化合物)、22公克之Cymel 303ULF (紐澤西州,❹ 20 200931174 5 Ο 10 15 ❹ The cross-sectional view shows that the embossed stack can include a porous layer 402 formed on the substrate 12. The porous layer 402 can have pores 408 and can be, for example, an organic bismuth low-k film. A cover layer 4〇6 can be formed on the porous layer 402. One of the porous layers 402 can range in thickness from about 50 nanometers to a few micrometers, depending on the intended use. The pore size in the porous layer can be well controlled (e.g., substantially uniform or with a known distribution). [0060] In certain embodiments, one of the porous layers 4'2 has a pore size or average pore size of less than about 1 nanometer, less than about 3 nanometers, or less than about 1 nanometer. In some cases, the pore size or average pore size is at least about 0.4 nanometers, at least about ο” nanometers or more. That is, the pore size or average pore size can be large enough to provide a sufficient amount of solubles for the gas (e.g., mash) so that the gas trapped between the substrate 丨2 and a template 18 can diffuse. It enters the porous layer 4〇2 of the imprint stack 4〇〇. In some embodiments, the porosity of the porous layer is at least about hops or at least 20%. 20 . [0G61] In some cases, the strain from the substrate layer of the porous template or the substrate of the porous imprinted stack can be transmitted through the porous layer to the cover layer. The porous layer can have a Young's modulus that is lower than the base layer or substrate. In some implementations, Qian Zhiwei Wei-embeds the porous material in the substrate and adds low. For example, a plurality of recesses or grooves can be inserted into the substrate-substrate or substrate layer into which the porous material can be deposited. In some cases, the porous material can be substantially filled or recessed. Next, the m-domain is overlaid on the substrate or substrate to substantially cover the substrate or substrate and the porous material such that the cover layer contacts the substrate or substrate and reduces strain transfer. The area and space of the recess or groove, as well as the volume of the porous material, can be sufficient to dissipate the gas into the porous material during the embossing lithography procedure. [0062] Figure 5 shows an exploded perspective view of layer 500 having a recess 502. Layer 500 can be, for example, a substrate. The recess 502 can include, for example, any regular or irregular, uniform or non-uniform shape or size of grooves or depressions. In some embodiments, the recess 502 can form a grid pattern with uniform or non-uniform spacing. The grid spacing a can be, for example, about 0.25 microns. The recess 502 can be substantially filled with a porous material 504. A cover layer 506 can be formed over the layer 500 and the porous material 504 located in the recess 502 such that strain can be transferred directly from the layer 500 to the cover layer. 506, while still increasing the diffusion of gas through the template 500. The cover layer 506 can be formed by, for example, chemical vapor deposition and the like. [0063] For an embossing lithography procedure with a porous template, when the pores of the second template are less than the porous template, or have a lower porosity (for example, when the second When the template is made of molten vermiculite, quartz or a common templating material, the time required for a droplet of polymerizable material to form a substantially continuous layer between the embossed stack® substrate/template and the template may The time required for droplets of the same polymerizable material to form a substantially continuous layer between 2 Å and a second template in a similar embossed stack/substrate, up to about 50%, up to about 50%, or up to about It is 20%. For an embossing lithography procedure with a porous embossed stack/substrate, when the second embossed stack/substrate has less porosity than the porous embossed stack/substrate, or has a lower At the time of porosity (for example, when the second embossed stack/substrate is essentially composed of 22 200931174 on one of the adhesion layers on a wafer), a droplet of polymerizable material is in the embossed stack/substrate The time required to form a substantially continuous layer with the template may be the same arrangement of droplets of the same polymerizable material to form a substantial 5 Ο 10 15 between a second embossed stack/substrate and a similar template. ❹ 20 The time required for the continuous layer is up to about 80%, up to about 5%, or up to about 20%. [0064] As shown in Figure 6, in some embodiments, a porous template and a porous imprint stack can be used together. For example, a porous layer 302 can be included in the template 3, and the porous layer 4 can be included in an imprint stack 400. If, for example, the cover layer is thin enough, the introduction of a porous layer into the template and the imprinted stack can increase the amount of gas (e.g., helium, nitrogen, or helium) that is dissipated through the porous layer. In some embodiments, as shown in Figure 7, a uniform porous template 3 〇〇 and an embossed stack with a porous layer can be used together. EXAMPLES [0065] Preparation of a porous imprint stack. S〇g (Rotary coated glass sold by Honeywell Electronic Materials, ACCUGLASS® 512B) is rotated on a pre-cleaned 8 吋 double-sided polished 矽 wafer substrate. The wafers are then at 80 ° C, 150 ° C and Bake at temperatures of 250 ° C for 60 to 120 seconds. The SOG coated wafer is then cured in a nitrogen atmosphere of 425 ° C to 45 (TC for 1 hour. The SOG layer is about 1.7 μm thick, and the resulting hydrophobic SOG surface is treated with oxygen electricity through 5 to 20 Seconds to produce a hydrophobic surface. [0066] One component is about 77 grams of IsoRad 501 (a multi- 23 200931174 functional reactive compound sold by Schenectady, Schenectady, NY), 22 grams of Cymel 303ULF (New Jersey,

West Patterson市’ Cytec工業有限公司所販售的一種包括 六甲氧基曱基蜜胺(HMMM)之交聯劑),以及1公克的Cycat 4040 (Cytec工業有限公司所販售的一種觸媒),還有大約 5 39.9公斤的PM醋酸鹽(田納西州,Kingsport市,Eastman 化學公司所販售的一種包括丙二醇甲醚醋酸酯 (2-(l-meth〇xy)pr〇pyl acetate)溶劑)之黏附層係形成在該 SOG表面上。該成分係旋塗在s〇G層上,並在i6(TC固化60 秒,以形成一厚度約為7奈米之黏附層。 ® 1〇 【0067】多孔性模板製備。s〇G (Honeywell電子材料 公司所販售之旋塗玻璃,ACCUGLASS® 512B)係在一預先 清洗之熔融矽石模板上旋轉。該模板接著係分別在8(rc、 150°C以及250°C之各個溫度下烘烤6〇〜120秒。該s〇G塗佈 晶圓接著係在425°C〜450。(:之氮氣環境中固化1小時。該 15 層約為650微米厚。模板係以標準濕式清潔程序進行清 潔。接著使用電漿增強化學蒸汽沈積(PEVCD)沈積一氧化 矽覆蓋層。該覆蓋層係約為8奈米厚。 ® 【0068】類似於美國專利第7,3〇7118號(其係以參考方 式併入本文中)所描述之塊材A8的壓印阻抗劑(例如,黏性 20約為10 CP)係加以使用,以測試如以上所述之方式加以製 造的多孔性壓印堆疊體之充填速度。如第8圖中所示,壓印 阻抗劑係以格栅方式分配在壓印堆疊體上,並使壓印阻抗 劑之液滴800中心相隔340微米,且使一液滴體積約為12皮 升(PL)。液滴800之間可見到間質區域8〇2。實行一氦氣清 24 200931174 洗,以便大體上以氦氣取代位於壓印堆疊體與模板之間的 空氣。各個案射固化阻抗劑之殘留層厚度係約為9〇奈米。 5 ❹ 10 15 20 【0069】比較範例:不使用多孔性壓印堆疊體並使 用空白熔融矽石模板之壓印阻抗劑散佈。第9a圖係為在一 壓印堆疊體以及熔财石模板之_壓印阻抗劑之 液滴900於模板接觸到壓印阻抗劑時的—照片。間質區域 902較液滴9GG覆蓋更多的表面積。第9Bg[料在壓印阻抗 劑接觸到模板經過1秒以後所拍攝的―照片。攝影機之視野 已經經過調整,以致於可以看到四個間f區域。第9c 圖係為在料阻抗顯模板減觸_7秒以後所拍攝的 一照片,可以見到兩個間質區域9〇2。第犯圖顯示在模板與 壓印阻抗觸8秒之後,壓印阻㈣完全散佈,以便在模 板與壓印堆疊體之間形成一實質連續層9〇4。 【0070】範例:使用纽性壓印堆叠體,並使用空白 熔融碎石模板之壓印阻抗劑散佈。第1GA_為位於―多孔 !生壓印堆疊體以及—空自炼财石模板之間的壓印阻抗劑 的液滴1_在模板與壓印阻抗劑相接觸時之—照片。如先 前所述,該多孔性壓印維叠體包括一塗佈有厚度約為17微 米之SOG層’以及-位於物G上之8奈米的細層之石夕晶 圓。第1剛係為壓印阻抗劑與模板相接觸0.5秒之後所拍 攝的-照片。攝影機之視野經過調整,以致於可以見到四 個間質區域職。第1GC圖係為壓印阻抗難難相接觸經 過0.75秒以後所拍攝的—照片,可以見到間質區域職。 第·圖赫讀板錢印堆疊體相接_社冰以後, 25 200931174 壓印阻抗劑完全散佈,以便在模板與多孔性壓印堆疊體之 間形成一實質連續層丨〇〇4。 【0071】因此,比較壓印阻抗劑之散佈以達成完整充 填或是在一空白熔融矽石模板以及一壓印堆疊體之間形成 5 一實質連續層(亦即,沒有可見的受困氣體或是間質區域) 所需要的時間’顯示出多孔性壓印堆疊體對於習用壓印堆 疊體的充填時間縮短產生戲劇化效果。在此案例中,達成 完整充填之時間係降低超過75%。因此,散佈壓印阻抗劑 以便在一具有多孔材料之壓印堆疊體上形成一實質連續層 © 10的時間較壓印阻抗劑在不具有一多孔材料之壓印堆疊體上 形成一實質連續層所需要的時間減少約20%。比較多孔性 模板與不具一多孔材料之模板,對於多孔性模板而言,充 填速度方面可以得到類似的增加。此充填時間降低能夠有 更决速的生產率,並降低在壓印微影術程序中產生缺陷的 - 15 可能性。 【〇〇72】以上所述之本發明的實施例係為示範性質, 對於上述之揭露内容能夠進行改變與修正,而不會脫離本 ◎ 發明之範疇。 【圖式簡單說明】 20 第1圖顯示—微影術系統之〆簡化侧視圖; 第2圖顯示第1圖中所示之基板的一簡化側視圖,該基 板上佈置有—圖案層。 第3圖顯示多孔模板。 第4圖顯示—多孔壓印堆疊體。 26 200931174 第5圖顯示在多個凹處中具有多孔材料之一層的一部份。 第6圖顯示使用一多孔模板以及一多孔壓印堆疊體之 一壓印微影術程序。 第7圖顯示使用一均勻多孔模板以及一多孔壓印堆疊 5 體之一壓印微影術程序。 第8圖係為一分配在一基板上之壓印阻抗劑液滴的照片。 第9 A〜D圖係為喷灑在一壓印堆疊體上之壓印阻抗劑 液滴的照片。 第10A〜D圖係為喷灑在一多孔性壓印堆疊體上之壓印 10 阻抗劑液滴的照片。 【主要元件符號說明】 10…系統 38…能量來源 12…基板 40…能量 14…基板夾塊 42…路徑 16…檯件 44…表面 18…模板 46…圖案形成層 20…模件 48…保留層 22…表面 50…突出物 24.··凹處 52…凹處 26…突出物 54…處理器 28…夾件 56…記憶體 30…壓印頭 300…模板 32…流體分配系統 300A…模板 34…可聚合材料 300B…模板 27 200931174 300C…模板 502…凹處 300D…模板 504…多孔材料 302···多孔層 506…覆蓋層 304…基底層 800…壓印阻抗劑液滴 306···覆蓋層 802…間質區域 308…孔隙 900…壓印阻抗劑液滴 310···突出物 902…間質區域 400···壓印堆疊體 904…連續層 402···多孔層 1000…壓印阻抗劑液滴 406…覆蓋層 1002···間質區域 408…孔隙 1004…連續層 500···層 28A cross-linker comprising hexamethoxymercapto melamine (HMMM) sold by Cytec Industries Ltd., West Patterson, and 1 gram of Cycat 4040 (a catalyst sold by Cytec Industries Ltd.), There is also about 5 39.9 kg of PM acetate (a solvent including 2-(l-meth〇xy)pr〇pyl acetate) sold by Eastman Chemical Company, Kingsport, Tennessee. A layer is formed on the surface of the SOG. The composition was spin-coated on the s〇G layer and cured at i6 (TC for 60 seconds to form an adhesion layer of approximately 7 nm thickness. ® 1〇 [0067] Porous template preparation. s〇G (Honeywell) The spin-on glass sold by Electronic Materials, ACCUGLASS® 512B) is rotated on a pre-cleaned molten vermiculite template, which is then baked at 8 (rc, 150 ° C and 250 ° C). Bake 6 〇~120 sec. The s〇G coated wafer is then cured at 425 ° C ~ 450. (: nitrogen curing for 1 hour. The 15 layers are about 650 microns thick. The template is cleaned with standard wet The process is cleaned. A cerium oxide coating is then deposited using plasma enhanced chemical vapor deposition (PEVCD). The overlay is approximately 8 nanometers thick. [0068] Similar to US Patent No. 7, 3, 7118 (which An imprinting resist (e.g., viscous 20 of about 10 CP) of the block A8 described herein, incorporated herein by reference, is used to test a porous embossing made as described above. Filling speed of the stack. As shown in Figure 8, the imprinting resist is distributed in a grid pattern. The stack is printed on the stack, and the center of the droplet 800 of the imprinting resist is separated by 340 micrometers, and a droplet volume is about 12 picoliters (PL). The interstitial region 8〇2 is visible between the droplets 800. A 氦气清24 200931174 Wash to substantially replace the air between the embossed stack and the stencil with helium. The residual layer thickness of each of the cured curing resists is about 9 〇. 5 ❹ 10 15 20 [0069] Comparative Example: The porous imprinted stack was not used and was spread using an imprinting resist of a blank fused vermiculite template. Figure 9a is an embossing resist for an imprinted stack and a fused template. The droplet 900 is in the photo when the template is in contact with the imprinting resist. The interstitial region 902 covers more surface area than the droplet 9GG. The 9Bg is taken after 1 second of exposure of the imprinting resist to the template. ―Photo. The camera's field of view has been adjusted so that you can see the four inter-f regions. The 9c picture is a photo taken after the material impedance display is _7 seconds off, and you can see two interstitials. Area 9〇2. The first map shows the template and imprint impedance After 8 seconds, the embossing resistor (4) is completely spread to form a substantially continuous layer 9〇4 between the stencil and the embossed stack. [0070] Example: Using a embossed stack and using a blank fused gravel template The embossing resist is dispersed. The first GA_ is a droplet 1_ of the imprinting resist between the "porous! embossed stack" and the empty self-reinforcing stencil template when the template is in contact with the imprinting resist - Photographs. As previously described, the porous imprinted stack comprises a SOG layer coated with a thickness of about 17 microns and a fine layer of 8 nanometers on the object G. The first rigid frame is a photograph taken after the embossing resist is in contact with the template for 0.5 second. The field of view of the camera has been adjusted so that four interstitial areas can be seen. The 1GC image shows that the embossed impedance is difficult to contact with the photo taken after 0.75 seconds, and the interstitial area can be seen. The first impressions of the stacking of the stacks of the stencils after the _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ [0071] Thus, comparing the embossing resist dispersion to achieve a complete fill or forming a substantially continuous layer between a blank fused vermiculite template and an embossed stack (ie, no visible trapped gas or It is the interstitial region. The time required 'shows that the porous imprint stack has a dramatic effect on the shortening of the filling time of the conventional imprint stack. In this case, the time to complete the fill was reduced by more than 75%. Therefore, the embossing resist is dispersed to form a substantially continuous layer 10 on a embossed stack having a porous material to form a substantially continuous embossed resist on an embossed stack having no porous material. The time required for the layer is reduced by about 20%. Comparing the porous template with the template without a porous material, a similar increase in filling speed can be obtained for the porous template. This reduction in fill time can result in more rapid productivity and reduce the likelihood of defects in the imprint lithography procedure. The embodiment of the present invention described above is exemplary, and the above disclosure can be changed and modified without departing from the scope of the invention. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 shows a simplified side view of a lithography system; Fig. 2 shows a simplified side view of the substrate shown in Fig. 1, on which a pattern layer is arranged. Figure 3 shows the porous template. Figure 4 shows a porous imprint stack. 26 200931174 Figure 5 shows a portion of a layer having a porous material in a plurality of recesses. Figure 6 shows an imprint lithography procedure using a porous template and a porous imprint stack. Figure 7 shows an imprint lithography procedure using a uniform porous template and a porous imprint stack. Figure 8 is a photograph of an embossed resist droplet dispensed on a substrate. Figures 9A through D are photographs of the embossed resist droplets sprayed onto an embossed stack. Figures 10A-D are photographs of embossed 10 resist droplets sprayed onto a porous embossed stack. [Description of main component symbols] 10...System 38...Energy source 12...Substrate 40...Energy 14...Substrate clip 42...Path 16...Platform 44...Surface 18...Template 46...Pattern forming layer 20...Module 48...Retained layer 22...surface 50...protrusion 24.·recess 52...recess 26...projection 54...processor 28...clip 56...memory 30...imprint head 300...template 32...fluid distribution system 300A...template 34 ...polymerizable material 300B...template 27 200931174 300C...template 502...recess 300D...template 504...porous material 302·porous layer 506...covering layer 304...base layer 800...imprinting resist droplets 306···covering Layer 802...interstitial region 308...pore 900...imprinted resist droplet 310···overtapping 902...interstitial region 400··imprint stack 904...continuous layer 402···porous layer 1000...imprint Impedance droplets 406...cover layer 1002···interstitial region 408...porosity 1004...continuous layer 500···layer 28

Claims (1)

200931174 七、申請專利範圍: 1. 一種壓印微影術模板,其包含一多孔材料,該多孔材料 界定多個平均孔隙尺寸至少約為0.4奈米之孔隙,其中該 多孔材料之孔隙率係至少約10%。 5 10 15 ❹ 20 2. 如申請專利範圍第1項之模板,其中該平均孔隙尺寸係 至少約0.5奈米。 3. 如申請專利範圍第1項之模板,其中該平均孔隙尺寸係 至少約1.0奈米。 4. 如申請專利範圍第1項之模板,其中該多孔材料之孔隙 率係至少約20%。 5. 如申請專利範圍第1項之模板,其中該多孔性材料係一 有機矽酸鹽低-k材料。 6. 如申請專利範圍第5項之模板,其中該多孔材料對於熔 融矽石之一相對孔隙率係至少約20%。 7. 如申請專利範圍第1項之模板,其中該多孔材料具有至 少約為2 GPa之一楊氏模數。 8. 如申請專利範圍第1項之模板,其中該多孔材料具有至 少約為5 GPa之一楊氏模數。 9. 如申請專利範圍第1項之模板,其中該多孔材料具有至 少約為10 GPa之一楊氏模數。 10. 如申請專利範圍第1項之模板,其中該多孔材料係置在 一基底層以及一覆蓋層之間。 11. 如申請專利範圍第10項之模板,其中該基底層包含熔融 矽石。 29 200931174 12. 如申請專利範圍第10項之模板,其中該基底層包含多數 凹處,且其中該多孔材料係置於該等凹處中。 13. 如申請專利範圍第10項之模板,其中該覆蓋層包含 SiOx,且其中 l$xS2。 5 14.如申請專利範圍第10項之模板,其中該覆蓋層之一厚度 係小於約100奈米。 15. 如申請專利範圍第14項之模板,其中該覆蓋層之一厚度 係小於約50奈米。 16. 如申請專利範圍第15項之模板,其中該覆蓋層之一厚度 10 係小於約20奈米。 17. 如申請專利範圍第10項之模板,其進一步包含從該覆蓋 層延伸之突出物。 18. —種壓印微影術壓印堆疊體,其包含一多孔材料,該多 孔材料界定多個平均孔隙尺寸至少約為0.4奈米之孔 15 隙,其中該多孔材料之孔隙率係至少約10%。 19. 如申請專利範圍第18項之壓印堆疊體,其中該平均孔隙 尺寸係至少約0.5奈米。 20. 如申請專利範圍第18項之壓印堆疊體,其中該平均孔隙 尺寸係至少約1.0奈米。 20 21.如申請專利範圍第18項之壓印堆疊體,其中該多孔材料 之孔隙率係至少約20%。 22. 如申請專利範圍第18項之模板,其中該多孔性材料係一 有機碎酸鹽低-k材料。 23. 如申請專利範圍第22項之模板,其中該多孔材料對於熔 200931174 融矽石之一相對孔隙率係至少約20%。 24. 如申請專利範圍第18項之壓印堆疊體,其中該多孔材料 具有至少約2 GPa之一楊氏模數。 25. 如申請專利範圍第18項之模板,其中該多孔材料具有至 5 少約5 GPa之一楊氏模數。 26. 如申請專利範圍第18項之模板,其中該多孔材料具有至 少約10 GPa之一楊氏模數。 27. 如申請專利範圍第18項之模板,其中該多孔材料係置在 一基底層以及一覆蓋層之間。 10 28. —種形成一壓印微影術模板之方法,該方法包含: 在一基底層上形成一多孔層,其中該多孔層界定多 個具有平均孔隙尺寸約為0.4奈米之孔隙,且其中該多孔 層之一孔隙率係至少約10% ;及 在該多孔層上形成一覆蓋層。 15 29. —種形成一壓印微影術堆疊體之方法,該方法包含: 在一基底層中形成多個凹處; 將一多孔材料沈積在該等凹處中,其中該多孔材料 界定多個平均孔隙尺寸至少約為0.4奈米之孔隙,且其中 該多孔材料之一孔隙率係至少約10% ;及 20 在該基底層上形成一覆蓋層。 30. —種形成一壓印微影術堆疊體之方法,該方法包含: 在一基板上形成一多孔層,其中該多孔層界定多個 平均孔隙尺寸至少約為0.4奈米之孔隙,且其中該多孔層 之一孔隙率係至少約10% ;及 31 200931174 在該多孔層上形成一覆蓋層。 31. —種微影術方法,其包含: 將一可聚合材料施加到一壓印堆疊體; 使該可聚合材料與一模板相接觸,其中該模板包含 5 一多孔材料,其界定多個平均孔隙尺寸至少約為0.4奈米 之孔隙,且其中該多孔材料之一孔隙率係至少約10% ; 使該可聚合材料固化;及 使該模板與該經固化之材料分離。 32. —種壓印微影術方法,其包含: 10 將一可聚合材料之液滴施加到一壓印堆疊體,其中 該壓印堆疊體包含一多孔材料,其界定多個平均孔隙尺 寸至少約為0.4奈米之孔隙,且其中該多孔材料之一孔隙 率係至少約10% ; 使該可聚合材料與一模板相接觸; 15 使該可聚合材料固化;及 使該模板與該經固化之材料分離。 33. —種壓印微影術方法,其包含: 將一可聚合材料之液滴分配到一多孔性壓印堆疊 體之一表面上,其中該多孔性壓印堆疊體包含一多孔材 20 料,其界定多個平均孔隙尺寸至少約為0.4奈米之孔隙, 且其中該多孔材料之一孔隙率係至少約10% ; 使該可聚合材料與一模板相接觸; 使該可聚合材料能夠散佈,以便在該多孔性壓印堆 疊體之表面上形成一實質連續層,散佈可聚合材料以形 200931174 成實質連續層係發生在類似地散佈一類似可聚合材料 以便在一第二模板以及一第二壓印堆疊體之間形成一 實質連續層所需時間的80%或更少,其中第二模板與該 第二壓印堆疊體具有一小於約0.4奈米之平均孔隙尺寸。 5 34. —種壓印微影術方法,其包含: 將一可聚合材料之液滴施加到一壓印堆疊體的一 表面上; 使該可聚合材料與一模板相接觸,其中該模板包含 一多孔材料,其界定多個平均孔隙尺寸至少約為0.4奈米 10 之孔隙,且其中該多孔材料之一孔隙率係至少約10%;及 使該可聚合材料能夠散佈,以便在該壓印堆疊體之 表面上形成一實質連續層,散佈可聚合材料以形成實質 連續層係發生在類似地散佈一類似可聚合材料以便在 一第二模板以及一第二壓印堆疊體之間形成一實質連 15 續層所需時間的80%或更少,其中該第二模板與該第二 壓印堆疊體具有一小於約0.4奈米之平均孔隙尺寸。 33200931174 VII. Patent application scope: 1. An imprint lithography template comprising a porous material defining a plurality of pores having an average pore size of at least about 0.4 nm, wherein the porosity of the porous material is At least about 10%. 5 10 15 ❹ 20 2. The template of claim 1 wherein the average pore size is at least about 0.5 nm. 3. The template of claim 1 wherein the average pore size is at least about 1.0 nm. 4. The template of claim 1, wherein the porous material has a porosity of at least about 20%. 5. The template of claim 1 wherein the porous material is an organic bismuth low-k material. 6. The template of claim 5, wherein the porous material has a relative porosity of at least about 20% for one of the fused vermiculite. 7. The template of claim 1, wherein the porous material has a Young's modulus of at least about 2 GPa. 8. The template of claim 1, wherein the porous material has a Young's modulus of at least about 5 GPa. 9. The template of claim 1, wherein the porous material has a Young's modulus of at least about 10 GPa. 10. The template of claim 1, wherein the porous material is disposed between a substrate layer and a cover layer. 11. The template of claim 10, wherein the substrate layer comprises molten vermiculite. 29 200931174 12. The template of claim 10, wherein the substrate layer comprises a plurality of recesses, and wherein the porous material is placed in the recesses. 13. The template of claim 10, wherein the cover layer comprises SiOx, and wherein l$xS2. 5 14. The template of claim 10, wherein one of the cover layers has a thickness of less than about 100 nanometers. 15. The template of claim 14, wherein one of the cover layers has a thickness of less than about 50 nanometers. 16. The template of claim 15 wherein one of the cover layers has a thickness of 10 less than about 20 nanometers. 17. The template of claim 10, further comprising a protrusion extending from the cover layer. 18. An embossed lithography embossed stack comprising a porous material defining a plurality of pores 15 having an average pore size of at least about 0.4 nm, wherein the porosity of the porous material is at least About 10%. 19. The embossed stack of claim 18, wherein the average pore size is at least about 0.5 nanometers. 20. The embossed stack of claim 18, wherein the average pore size is at least about 1.0 nanometer. The embossed stack of claim 18, wherein the porous material has a porosity of at least about 20%. 22. The template of claim 18, wherein the porous material is an organic acid salt low-k material. 23. The template of claim 22, wherein the porous material has a relative porosity of at least about 20% for one of the melted 200931174 molten vermiculite. 24. The embossed stack of claim 18, wherein the porous material has a Young's modulus of at least about 2 GPa. 25. The template of claim 18, wherein the porous material has a Young's modulus of less than about 5 GPa. 26. The template of claim 18, wherein the porous material has a Young's modulus of at least about 10 GPa. 27. The template of claim 18, wherein the porous material is disposed between a substrate layer and a cover layer. 10 28. A method of forming an imprint lithography template, the method comprising: forming a porous layer on a substrate layer, wherein the porous layer defines a plurality of pores having an average pore size of about 0.4 nm, And wherein one of the porous layers has a porosity of at least about 10%; and a cover layer is formed on the porous layer. 15 29. A method of forming an embossed lithography stack, the method comprising: forming a plurality of recesses in a substrate layer; depositing a porous material in the recesses, wherein the porous material defines A plurality of pores having an average pore size of at least about 0.4 nanometers, and wherein one of the porous materials has a porosity of at least about 10%; and 20 forming a coating layer on the substrate layer. 30. A method of forming an imprinted lithography stack, the method comprising: forming a porous layer on a substrate, wherein the porous layer defines a plurality of pores having an average pore size of at least about 0.4 nm, and Wherein one of the porous layers has a porosity of at least about 10%; and 31 200931174 forms a cover layer on the porous layer. 31. A lithography method comprising: applying a polymerizable material to an embossed stack; contacting the polymerizable material with a template, wherein the template comprises 5 a porous material defining a plurality of An aperture having an average pore size of at least about 0.4 nanometers, and wherein one of the porous materials has a porosity of at least about 10%; curing the polymerizable material; and separating the template from the cured material. 32. An embossing lithography method comprising: 10 applying a droplet of a polymerizable material to an embossed stack, wherein the embossed stack comprises a porous material defining a plurality of average pore sizes a pore of at least about 0.4 nm, and wherein one of the porous materials has a porosity of at least about 10%; contacting the polymerizable material with a template; 15 curing the polymerizable material; and subjecting the template to the template The solidified material is separated. 33. An embossing lithography method comprising: dispensing a droplet of a polymerizable material onto a surface of a porous embossed stack, wherein the porous embossed stack comprises a porous material 20, which defines a plurality of pores having an average pore size of at least about 0.4 nm, and wherein one of the porous materials has a porosity of at least about 10%; contacting the polymerizable material with a template; and the polymerizable material Dispersing to form a substantially continuous layer on the surface of the porous embossed stack, dispersing the polymerizable material to form a substantially continuous layer in the form of 200931174, similarly dispersing a similar polymerizable material for use in a second template as well 80% or less of the time required to form a substantially continuous layer between a second embossed stack, wherein the second stencil and the second embossed stack have an average pore size of less than about 0.4 nanometers. 5 34. An embossing lithography method comprising: applying a droplet of a polymerizable material to a surface of an embossed stack; contacting the polymerizable material with a template, wherein the template comprises a porous material defining a plurality of pores having an average pore size of at least about 0.4 nm, and wherein one of the porous materials has a porosity of at least about 10%; and enabling the polymerizable material to be dispersed so as to be at the pressure Forming a substantially continuous layer on the surface of the print stack, dispersing the polymerizable material to form a substantially continuous layer occurs by similarly spreading a similar polymerizable material to form a bond between a second template and a second stamp stack 80% or less of the time required to substantially continuate the layer, wherein the second template and the second embossed stack have an average pore size of less than about 0.4 nm. 33
TW97146748A 2007-12-03 2008-12-02 Porous template and imprinting stack for nano-imprint lithography TWI409583B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99195407P 2007-12-03 2007-12-03
US12/275,998 US9778562B2 (en) 2007-11-21 2008-11-21 Porous template and imprinting stack for nano-imprint lithography

Publications (2)

Publication Number Publication Date
TW200931174A true TW200931174A (en) 2009-07-16
TWI409583B TWI409583B (en) 2013-09-21

Family

ID=44865204

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97146748A TWI409583B (en) 2007-12-03 2008-12-02 Porous template and imprinting stack for nano-imprint lithography

Country Status (1)

Country Link
TW (1) TWI409583B (en)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials

Also Published As

Publication number Publication date
TWI409583B (en) 2013-09-21

Similar Documents

Publication Publication Date Title
JP5727788B2 (en) Porous templates and imprint stacks for nanoimprint lithography
US8470188B2 (en) Nano-imprint lithography templates
US8133341B2 (en) Molecular transfer printing using block copolymers
Hamley Nanostructure fabrication using block copolymers
JP5502095B2 (en) High-yield nanoimprint lithography template manufacturing
US7179396B2 (en) Positive tone bi-layer imprint lithography method
US8079305B2 (en) Stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US20100072671A1 (en) Nano-imprint lithography template fabrication and treatment
US8961800B2 (en) Functional nanoparticles
TWI392577B (en) Reduced residual formation in etched multi-layer stacks
US20100140218A1 (en) Positive Tone Bi-Layer Method
Verschuuren et al. Nanoscale spatial limitations of large-area substrate conformal imprint lithography
TWI520218B (en) Enhanced densification of silicon oxide layers
TWI451962B (en) Imprint lithography template
WO2011094672A2 (en) Nanoimprint lithography processes for forming nanoparticles
TW200931174A (en) Porous template and imprinting stack for nano-imprint lithography
Nakamatsu et al. Room-temperature nanoimprint and nanocontact technologies
TWI230975B (en) Reversal imprint technique
Furlan et al. Second-generation stamps for nanoscale soft lithography fabricated by micromolding in capillaries
Kihara et al. Curing process of silsesquioxane in self-organized diblock copolymer template
Verschuuren et al. Large-Area Substrate-Conformal Nano-Imprint Lithography

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees