TW200925825A - Method of balancing path delay of clock tree in integrated circuit (IC) layout - Google Patents

Method of balancing path delay of clock tree in integrated circuit (IC) layout Download PDF

Info

Publication number
TW200925825A
TW200925825A TW96147130A TW96147130A TW200925825A TW 200925825 A TW200925825 A TW 200925825A TW 96147130 A TW96147130 A TW 96147130A TW 96147130 A TW96147130 A TW 96147130A TW 200925825 A TW200925825 A TW 200925825A
Authority
TW
Taiwan
Prior art keywords
delay value
time delay
path
inverter
component
Prior art date
Application number
TW96147130A
Other languages
Chinese (zh)
Inventor
Tsung-Hsin Liu
Li-Yi Lin
Original Assignee
Silicon Integrated Sys Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Integrated Sys Corp filed Critical Silicon Integrated Sys Corp
Priority to TW96147130A priority Critical patent/TW200925825A/en
Publication of TW200925825A publication Critical patent/TW200925825A/en

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A method of balancing the path delay of a clock tree for minimizing clock skew of the clock tree in the IC layouts is described. The method includes the following steps: (a) A design tool calculates a plurality of path delay values from the root cell to each sink via some of the inverters, wherein the maximum one of the path delay values recorded on the sinks serves as a target value, respectively; (b) The design tool compares the path delay value of each sink with the delay value of the adjacent sink from each sink to the root cell for recording the compared higher value on each inverter until the higher compared values are recorded, respectively, in the inverters and the root cell; (c) The design tool compares the path delay value on each inverter with the target value from the root cell to the sinks to determine whether to change the cell type of the inverter from a current cell type to a new cell type by selecting the new cell type from the type database; (d) The design tool adds the difference value to the original value for updating the original value recorded in this inverter; and (e) The design tool adds the difference value to the values recorded on the downstream inverters relative to the inverter for repeatedly updating the values recorded on the downstream inverters and sinks to minimize the clock skew of the clock tree.

Description

200925825 九、發明說明: ' 【發明所屬之技術領域】 ' 本發明為_-種積體電路的設計方法,特贱關於—種積體電路設 計中時脈樹狀結構(d〇Cktree)的路徑時間延遲量之平衡方法,以有效地使時 脈樹狀結構的時脈偏移量(clock skew)最小化。 【先前技術】 日寺脈訊號是-種數位系統中相當重要的控制訊號,主要是使時脈樹狀 〇㈣之訊號路徑(path)的資料訊號同步(synchr〇nize)。為了使數位系統達到更 佳的執行鱗,必辭_脈訊號謂雜峨到達每—目的(祕⑽㈣ 兀件(或%為終社件)的時間最小化,岐每辦脈喊到達每__目的元件 的時間幾乎相同,以符合積體電路的時脈設計規範之要求,其中此種時脈 訊號到達每-目的元件的時間之不_為_偏移量,目的元件例如是暫 存器(register)或是正反器(出㈣叩)。 細,在執行時脈樹狀結構合成(cl〇ck _柳如也,cts)的程序中,不 容易_時脈設計規範之要求,特別是在高速度(或是稱為高頻)的數位系統 中更不谷錢龍設計規範。即使掏輯狀結構合成(cts)設計工具執行 時脈樹狀轉合齡歡後,時_移量符錢賴範,執行騎果會變 差’例如時間偏移量於實體合成設計工具進行詳細齡(如咖咖㈣步 驟後也會變差’詳吨線步驟主要是針對時脈樹狀結構的元件連接線㈣ 、"他的磁連接線路。由於繞線佈局圖案㈣㈣以及其間的麵合電容 值門的差異}•生,在時脈樹狀結構的每—時脈路徑之路徑時間延遲量㈣ delay)變得更加無法正確綱(卿域et鄉__移量也不易最小化 200925825 或疋修正。因此需要發展一種新的設計方法來解決上述之問題。 【發明内容】 本發明之一目的在於提供—種積體電路設計的時脈樹狀結構中路徑時 間延遲量之平衡方法,主要是藉由調整在時脈概結構中元件的類型 (type) ’以有效地使時脈樹狀結構的時脈偏移量最小化。 、 本發明另-目的在於提供-種親設計的時脈魏結射路徑時 ❹間延遲量之平衡方法’以使元件的設置以及詳細繞線的結果在執行時脈樹 狀結構合成的程序之後仍然維持不變。 為達上述之目的,本發明提出—種碰電路設計的時脈樹狀結構中路 徑時間延遲量之平衡方法,主要包括下列步驟: ⑷利用CTS工具建立時脈樹狀結構。 ⑼利用-設肛具計算從根部元件至每—終點元件之間—部份的反相 器之路彳^時間延遲值,其中將記錄在終點元件的職時間延遲值中最大者 疋義為目標路徑延遲值。 ❹ (C)從每個終點元件錄部元件的路針,設牡具將記躲每個終點 元件的路徑時間延遲值與鄰近的終點元件之路徑時間延遲值進行比較,並 且將比較所得較高的路徑時間延遲值記錄於上游的元件,直至所有較高的 路徑時間延遲值分別記錄於反相器以及根部元件為止。 ⑷從根部元輕軸終點元件的聰巾,設肛具將翻反相器的路 徑時間延遲值與目標路徑延遲值進行比較,用於決定是否藉由選擇元件類 型資料庫巾的-新元件_ ’以更改至_反相㈣餅麵,以取代原 7 200925825 始的反相器之元件類型。當比較每個反相器 益的路徑時間延遲值與目標路徑200925825 IX. Description of the invention: 'The technical field of the invention belongs to the same'. The present invention is a method for designing a _------------------------------------------------------------------------------------------- A method of balancing the amount of time delay to effectively minimize the clock skew of the clock tree structure. [Prior Art] The Japanese Temple signal is a very important control signal in the digital system, mainly to synchronize the data signal of the signal path of the clock tree (4). In order to achieve a better execution scale for the digital system, the word _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ The time of the target component is almost the same, in accordance with the requirements of the clock design specification of the integrated circuit, wherein the time of the arrival of the clock signal to each component is not _offset, and the destination component is, for example, a register ( Register) or the flip-flop (out (four) 叩). Fine, in the process of performing clock tree structure synthesis (cl〇ck_柳如也, cts), it is not easy _ clock design specifications, especially In high-speed (or high-frequency) digital systems, it is even more difficult to design. Even if the cts-structure synthesis (cts) design tool performs clock-like transitions, the _ shifter Qian Laifan, the implementation of riding fruit will be worse', such as the time offset in the physical synthesis design tool for detailed age (such as after the coffee and coffee (four) steps will also be worse' detailed ton line steps are mainly for the clock tree structure components Connection line (four), " his magnetic connection line. Due to the winding layout pattern (four) (four) to In the meantime, the difference between the surface and the capacitance value of the gate}}, the time delay of the path of each clock-like path in the clock tree structure (four) delay becomes more difficult to correct (Qian Yu et __ shift is not easy Minimizing 200925825 or 疋 correction. Therefore, it is necessary to develop a new design method to solve the above problems. SUMMARY OF THE INVENTION An object of the present invention is to provide a path time delay amount in a clock tree structure of an integrated circuit design. The balancing method is mainly to minimize the clock offset of the clock tree structure by adjusting the type of the element in the clock structure. The present invention is also directed to providing a kind of pro The method of designing the balance of the inter-turn delay between the clock and the Wei-junction path is such that the setting of the component and the result of the detailed winding remain unchanged after the execution of the process of synchronizing the tree structure. For the above purposes, The invention proposes a method for balancing the path time delay amount in the clock tree structure of the touch circuit design, which mainly comprises the following steps: (4) Establishing a clock tree structure by using a CTS tool. (9) Using an anus Calculate the path delay value of the inverter from the root component to each of the end-point components, where the largest of the duty time delay values recorded in the endpoint component is the target path delay value. C) From the hand of each end element recording component, the tool will compare the path time delay value of each end element with the path time delay value of the adjacent end element, and compare the resulting higher path. The time delay value is recorded in the upstream component until all the higher path time delay values are recorded in the inverter and the root component respectively. (4) From the root element, the light axis end element of the smart towel, the anus will turn the inverter The path time delay value is compared with the target path delay value, and is used to determine whether to change to the _inverted (four) cake surface by selecting the -new element _ ' of the component type database towel to replace the inverter starting from the original 7 200925825 The component type. When comparing the path time delay value of each inverter benefit with the target path

⑷比較每個反相器的路徑時間延遲值與目標路徑延遲值時,若記 崎位延避值時,若記錄在 將反相器的元件類型由目 反相器的路徑時間延遲值小於目標路徑延遲值, 前的元件類型改變至新的元件類型。 © ⑺設計工具魏計算具有新元件_的反相器之元件_延遲量。 (g) 設計工具將差值加人至原始的反相器元件類型之路徑時間延遲值, :以更新原始的元件類型之3^徑時間延遲值,其中差值定義為反相器的新元 件時間延遲值與原始元件時間延遲值之間的差值。當更新後的路徑時間延 遲值等於或是趨近於該目標路徑延遲值,則表示反相器的元件類型之改變 係為可接受。相對地,當更新後的路徑時間延遲值大於該目標路徑延遲值, 則忽略改變後的元件類型而仍然使用原來的元件類型。當一反相器的元件 類型改變之後,s免計工具將差值加入至樹狀分支中該反相器下游的反相器 所記錄之路徑時間延遲值。 (h) 設計工具將差值加入至該反相器下游的反相器中所記錄之路徑時間 延遲值,以重複地更新記錄於下游反相器以及終點元件所記錄的路徑時間 延遲值,以使時脈樹狀結構的時脈偏移量最小化。 (i) 當比較每個反相器的路徑時間延遲值與目標路徑延遲值時,若記錄 在反相器的路徑時間延遲值大於目標路徑延遲值,則設計工具使用原始的 8 200925825 元件類型,並且返回至步驟(d),將下一個反相器與目標路徑延遲值進行比 較。 在本發明之實施例中,設計工具允許記錄在每個反相器以及終點元件 的路徑延遲值彼此之間互相趨於相同,較佳實施例中,工具調整調整記錄 於反相器中較低的路徑延遲值,以趨近於目標路徑延遲值。在一實施例中, 设计工具藉由改變反相的元件時間延遲值來調整路徑延遲值。舉例而言, 在執行時脈樹狀結構合成(CTS)的期間,設計工具先選用具有零輸出負載之 © 7G件類。接著在執行時脈樹狀結構合成(CTS)以及繞線(_㈣程序之 後,本發明藉由增加輸出負載來調整元件時間延遲值,使得記錄於反相器 的路徑延遲值等於或是趨近於該目標路捏延遲值。即使元件類型改變之 後,兀件的設m翻繞線的結果在執行時脈樹狀結構合成(cts)的程序 之後仍然維持不變。(4) When comparing the path time delay value of each inverter with the target path delay value, if the value of the path avoidance value is recorded, if the path time delay value of the component type of the inverter from the inverter is smaller than the target The path delay value, the previous component type is changed to the new component type. © (7) The design tool Wei calculates the component _ delay amount of the inverter with the new component _. (g) The design tool adds the difference to the path time delay value of the original inverter component type, to update the original component type's 3^ time delay value, where the difference is defined as the new component of the inverter The difference between the time delay value and the original component time delay value. When the updated path time delay value is equal to or close to the target path delay value, it indicates that the change in the component type of the inverter is acceptable. In contrast, when the updated path time delay value is greater than the target path delay value, the changed component type is ignored and the original component type is still used. When the component type of an inverter is changed, the s-free tool adds the difference to the path time delay value recorded by the inverter downstream of the inverter in the tree branch. (h) the design tool adds the difference to the path time delay value recorded in the inverter downstream of the inverter to repeatedly update the path time delay value recorded on the downstream inverter and the end element to Minimize the clock offset of the clock tree structure. (i) When comparing the path time delay value of each inverter with the target path delay value, if the path time delay value recorded in the inverter is greater than the target path delay value, the design tool uses the original 8 200925825 component type, And returning to step (d), the next inverter is compared with the target path delay value. In an embodiment of the invention, the design tool allows the path delay values recorded in each of the inverters and the destination elements to contiguous with each other. In the preferred embodiment, the tool adjustment adjustments are recorded in the inverters. The path delay value to approximate the target path delay value. In an embodiment, the design tool adjusts the path delay value by changing the inverted component time delay value. For example, during the execution of Clock Tree Structure Synthesis (CTS), the design tool first uses the © 7G class with zero output load. Then, after performing the clock tree structure synthesis (CTS) and the winding (_(4) program, the present invention adjusts the component time delay value by increasing the output load so that the path delay value recorded in the inverter is equal to or close to The target pinch delay value. Even after the component type is changed, the result of setting the m-winding of the component remains unchanged after the execution of the clock tree synthesis (cts) procedure.

利用時脈樹狀結構合成(CTS)工具將額外的元件⑼如反相器或是緩衝 器(buffer))在執行時脈樹狀結構合成(CTS)程序時插入至根部元件(慮㈣) 以及複數終點元件(sinks)之間,以調整樹狀分支作露㈣之間記錄於元件 的路徑時_驗,鱗保時脈樹狀結構可辭地將時脈峨由根部元件 傳送至每辦點元件,抑卩料脈偏移量(Ms㈣最小化。 在cts工具完成CTS程序以產生時脈樹狀結構之後,設計工具將對訊 =連線執行_序。,_牡歸__㈣構的時脈偏移 里由於日讀对狀結構中的每個樹狀分支之間彼此的路徑時間延遲量會變 大以及變小,因此,計算___會隨__的時脈偏 9 200925825 移量來得大,使糾闕移量懸。本㈣崎縣着cts程序以及繞 —線程序之後,藉由改變元件的_來調整(例如微調)時脈偏移量’並且藉由 .元件類型資料雜Pe database)中不同的元件類型但具有相同的面積且極類 似的元件佈局,以、特元件的設置以及詳細齡的結果不變。亦即改變或 是調整元件類型來平衡(balancing)時脈樹狀結構的路徑時間延遲量,以改善 時脈偏移量,以符合時脈偏移的規格要求,避免飾進行元件的設置以及 詳細繞線之步驟。 © 鱗’本義之上述内容能更_碰,下文特舉較佳實施例,並配合 所附圖式,作詳細說明如下: 【實施方式】 本發明提供-種频銳設計巾雜概結構(dQek㈣轉徑時間 延遲量之平衡方法,主要是藉由調整在時脈樹狀結構中元件的類型(听e), 以有效地使時脈樹狀結構的時脈偏移量(d〇ck skew)最小化。此外,本發明 ❹提供一種積體電路設計中時脈樹狀結構的路徑時間延遲量之平衡方法,以 使元件的設置(placement)以及詳細繞線的結果在執行時脈樹狀結構合成 (CTS)的程序之後仍然維持不變。 在執行時脈樹狀結構合成(cts)的程序時,設置於根部元件(rootcell)以 及複數終點元件(sinks)之間的每個元件(cell)例如反相器(inverter)具有相同 的面積、輸入電容值(input capacitance)以及輸出負載(output 1〇ading)。然後 、‘積體電路设計工具(design tool)利用本發明來執行路徑時間延遲量的平 衡方法時,在根部元件以及複數終點元件之間的每個元件具有相同的面積 200925825 以及具有不貞載。執行本發日牧平射树,設扣具從元件類 — 頻術辦database)帽騎的元件_,並且轉擇朗元件類型來 .取代原始的元件_,_賴的元件_來更新時脈齡結構中反相器 的兀件h間延遲I(eeU delay),所屬技術領域巾具有通常知識者應注意的 是,各種不_元件類型具有其不_輸出負載,而且元件_與元件的 時間延遲量(cell delay)相關聯。 第1圖為依據本發明實施例中以時脈梏懷結構合成(CTS)之設計工具建 ©構而成的時脈樹狀結構之示意圖。彻時脈樹狀結構合成(cts)設計工具建 構-B夺脈樹狀結構,該時脈雛結構主要包括根部元件、複數個時脈反相 器、複數個終點元件以及在該根部元件、時脈反相器與鱗點元件之間的 複數訊號連接線路。根部元件標示為R〇,複數個時脈反相器分別標示為Use the Clock Tree Structure Synthesis (CTS) tool to insert additional components (9) such as inverters or buffers into the root component (thus (4)) when performing a Clock Tree Synthesis (CTS) program and Between the complex number of sinks (sinks), to adjust the tree branch to reveal the path between the components (4) recorded in the component, the scale-protected clock tree structure reproducibly transmits the clock pulse from the root component to each point Component, suppressing the offset of the material pulse (Ms (4) is minimized. After the cts tool completes the CTS program to generate the clock tree structure, the design tool will execute the message = connection execution _ sequence., _ oyster __ (four) structure In the pulse offset, the amount of time delay between each tree branch in the day-to-day reading structure becomes larger and smaller. Therefore, the calculation of ___ will shift with the clock of __ 2009 200925 This is a big deal that makes the entanglement shift. This (four) akisaki adjusts (for example, fine-tunes) the clock offset by changing the _ of the component after the cts program and the wrap-line program, and by means of the component type data. Pe database) different component types but with the same area and very similar component layout, Provided Laid elements, and the detailed results of the same age. That is, changing or adjusting the component type to balance the path time delay of the clock tree structure to improve the clock offset to meet the requirements of the clock offset, avoiding the component setting and details. The step of winding. The above contents of the "scale" can be more specific, and the following is a detailed description of the preferred embodiment, and is described in detail with reference to the following drawings: [Embodiment] The present invention provides a hybrid structure of a frequency sharp design (dQek (4) The method of balancing the amount of time delay is mainly to adjust the type of the element in the clock tree structure (listening e) to effectively make the clock offset of the clock tree structure (d〇ck skew). In addition, the present invention provides a method for balancing the path time delay amount of the clock tree structure in the integrated circuit design, so that the placement of the components and the result of the detailed winding are performed in the clock tree structure. The program of synthesis (CTS) remains unchanged. When executing the program of clock tree structure synthesis (cts), each element (cell) is set between the root element and the plurality of destinations (sinks). For example, inverters have the same area, input capacitance, and output load (output 1〇ading). Then, the 'integrated circuit design tool uses the present invention to perform path time delay. Amount of flat In the method of balancing, each element between the root element and the plurality of end-point elements has the same area 200925825 and has no load. Performing this day's grazing tree, setting the buckle from the component class - the frequency of the database The component_, and the selection of the component type. Instead of the original component_, the component_ to update the component ei-delay I (eeU delay) of the inverter in the clock-length structure, the technical field has the usual It should be noted by the knowledge that the various non-element types have their output loads, and the component_ is associated with the cell delay. FIG. 1 is a schematic diagram of a clock tree structure constructed by a design tool of a clock-integrated structure (CTS) according to an embodiment of the present invention. The clock tree structure synthesis (cts) design tool constructs a B-tree-like structure, which includes a root element, a plurality of clock inverters, a plurality of terminal elements, and a component at the root element. A complex signal connection line between the pulse inverter and the scale element. The root component is labeled R〇, and the complex clock inverters are labeled as

Cll、C12、C21、C22、C23、C24、C31、C32、C33、C34、C41、C42、 C43、C44、C51、C52、C53、C54、C55、C56、C57 以及 C58,複數個終 點元件分別標示為S1〜S16。根部元件接收一時脈訊號並且將該時脈訊號經 由5亥反相器(Cl 1〜C58)傳这至下游的終點元件(S1〜S16),根部元件役置 於L0位階(level)。反相器Cl 1以及反相器C12設置於L1位階,反相器C21、 C22、C23、C24設置於L2位階,反相器C31、C32、C33、C34設置於L3 位階,反相器C41、C42、C43、C44設置於L4位階,反相器C51、C52、 C53、C54、C55、C56、C57以及C58設置於L5位階,複數終點元件(S1〜S16) 設置於L6位階。 利用時脈樹狀結構合成(CTS)設計工具分別計算從根部元件R〇至每個 200925825 終點元件(S1〜S16)的路徑時間延遲量(pathdelay)。較佳實施例中,利用靜態 時序分析(static timing analysis, STA)工具產生的SDF檔案内容分別來計算 • 根部元件R〇至每個終點元件(S1〜S16)的路徑時間延遲量,並且將每一路徑 時間延遲量分別記錄於每個終點元件(S1〜S16)。在時脈樹狀結構中,分別相 對應於每個終點元件(S1〜S16)的每一路徑時間延遲量代表從根部元件R〇經 過一部分的反相器(C11〜C58)而到達每個終點元件(S1〜S16)之時間延遲值 (delay value)。舉例來說,終點元件(S1〜S16)的時間延遲值分別記錄為終點 ® 元件 S1(60)、S2(50)、S3(4〇)、S4(50)、S5(60)、S6(70)、S7(60)、S8(40)、 S9(40)、S10(50)、Sll(40)' S12(60)、S13(70)、S14(80)、S15(80)以及 S16(90), 車乂佳貫施例中,每個終點元件標示之括號内的路徑時間延遲值係為時間量 測單位(time unit),時脈偏移量(ci〇ck Skew)定義為最大的路徑時間延遲值與 最小的路徑時間延遲值兩者之間的差值,在此實施例中,時脈偏移量為5〇, 亦即終點元件S16(90)與終點元件S3(4〇)、S8(40)、S9(40)、Sll(40)其中之 -的差值。在-實施例中’本發明之平衡方法亦可指定終點元件si6㈣的 ®最大路徑時間延遲值為目標路徑延遲值(target vaiue)。 參考第1圖以及第2圖’第2圖為依據本發明實施例中時脈樹狀結構 之示意圖,係利用設計工具比較-路控延遲值與鄰近同一位階的另一路徑 延遲值’並且依軸將該每兩個路觀遲值其卜個較大_徑延遲值記 錄在不同位階的反相器之内。從最底層的L6位階返回至前_ ^位階,設 5十工具比較-終點元件的雜時間延遲值與鄰近另—終點元件的路徑時間 延遲值之間的大小,並且將較大的路徑時間延遲值記錄於以位階的終點元 12 200925825 件中。接著’設計工具比較L5位階中一反相器所記錄的時間延遲值與鄰近 另一反相器的路徑時間延遲值之間的大小,直至L5位階至L1位階中經過 比較而得到的較大路徑時間延遲值依序地記錄於該L5位階至L1位階中的 反相器為止,如第2圖所示之箭頭方向200依序地記錄較大的路徑時間延 遲值。最後设計工具選擇L1位階中反相器所記錄的較大路徑時間延遲值並 且將該較大的路徑時間延遲值記錄於L〇位階的根部元件R〇。 從L5位階至L1位階,反相器cu、C12、⑶、C22、⑵、C24、⑶、Cll, C12, C21, C22, C23, C24, C31, C32, C33, C34, C41, C42, C43, C44, C51, C52, C53, C54, C55, C56, C57 and C58, multiple end points are marked separately For S1 ~ S16. The root element receives a clock signal and transmits the clock signal to the downstream destination elements (S1 to S16) via the 5 Hz inverter (Cl 1 to C58), and the root element is placed at the L0 level. Inverter Cl 1 and inverter C12 are set at L1 level, inverters C21, C22, C23, C24 are set at L2 level, inverters C31, C32, C33, C34 are set at L3 level, inverter C41, C42, C43, and C44 are set at the L4 level, inverters C51, C52, C53, C54, C55, C56, C57, and C58 are set at the L5 level, and the complex destination elements (S1 to S16) are set at the L6 level. The path time delay (pathdelay) from the root element R〇 to each of the 200925825 end elements (S1 to S16) is calculated using a clock tree structure synthesis (CTS) design tool. In a preferred embodiment, the SDF file contents generated by the static timing analysis (STA) tool are used to calculate the path time delay amount of the root element R〇 to each of the end elements (S1 to S16), respectively, and A path time delay amount is recorded in each of the end point elements (S1 to S16). In the clock tree structure, each path time delay amount corresponding to each of the end point elements (S1 to S16) respectively represents a portion from the root element R〇 passing through a part of the inverters (C11 to C58) to reach each end point. The time delay value of the components (S1 to S16). For example, the time delay values of the end elements (S1 to S16) are respectively recorded as the end points of the elements S1 (60), S2 (50), S3 (4 〇), S4 (50), S5 (60), S6 (70). ), S7 (60), S8 (40), S9 (40), S10 (50), S11 (40) ' S12 (60), S13 (70), S14 (80), S15 (80), and S16 (90) In the example of the vehicle, the path time delay value in the brackets marked by each end element is the time unit, and the clock offset (ci〇ck Skew) is defined as the largest path. The difference between the time delay value and the minimum path time delay value. In this embodiment, the clock offset is 5 〇, that is, the end element S16 (90) and the end element S3 (4 〇), The difference between -S of S8 (40), S9 (40), and S11 (40). In the embodiment, the balancing method of the present invention may also specify that the maximum path time delay value of the destination element si6 (four) is the target path delay value (target vaiue). Referring to FIG. 1 and FIG. 2', FIG. 2 is a schematic diagram of a clock tree structure according to an embodiment of the present invention, which uses a design tool to compare a path delay value with another path delay value adjacent to the same level and The axis records the value of each of the two roads with a larger value of the delay value in the inverter of the different level. Returning from the lowest level of the L6 level to the previous _ ^ level, setting the value of the tool comparison-end point component's miscellaneous time delay value to the path time delay value of the adjacent other end point element, and delaying the larger path time The value is recorded in the end element 12 200925825 in the order. Then the 'design tool compares the magnitude between the time delay value recorded by an inverter in the L5 level and the path time delay value of the adjacent inverter until the larger path is compared in the L5 level to the L1 level. The time delay value is sequentially recorded in the inverter from the L5 level to the L1 level, and the larger path time delay value is sequentially recorded in the arrow direction 200 shown in FIG. The final design tool selects the larger path time delay value recorded by the inverter in the L1 level and records the larger path time delay value at the root element R〇 of the L〇 level. From L5 level to L1 level, inverters cu, C12, (3), C22, (2), C24, (3),

C32、C33、C34、C41、C42 ' C43、C44、C51、C52、C53、C54、C55、 C56、C57以及C58記錄的徑時間延遲值分別標示為vu、V12、V2^V22、 V23、V24、V3卜 V32、V33、V34、V4卜 V42、V43、V44、V52、 V53 V54 V55 ' V56、V57以及V58 ’而記錄l〇位階的根部元件R〇之 路仏時間延龍標福VQ。記錄在反相^⑶〜⑽以及根部元件之路 徑時間延遲值(V11〜VS8以及VQ)分別表示經過反相器cn〜⑶以及根部元 件R〇的路控之間具有較大的路徑時間延遲,亦即從根部元件R0至終點元 件S1的-路徑與從根部元件RQ至終點元件幻的另—路徑均通過^位階 的反相Θ⑶’祕選取這兩個路歡雜大的雜時間延遲值並且將該 較大的路彳蝴峨赚咖⑶巾,娜嫩雜時間延遲 值係_位階巾終點元件S1、S2之較大者。隱地,從根部元㈣經過 ⑶到達終點轉w、S2的―路彳纽及娜部元件助經過反相器 «2到達終點轉S3、弘的另—路徑均通過μ位階的反相器⑼,铁後 選取這兩觀_肢崎_權,蝴嫩的路徑時間延 13 200925825 遲值記錄於反相器C41中’係選取的較大路徑時間延遲值係為Ε5位階中反 相器C51、C52之較大者,其餘的路徑依此類推。從根部元件R〇經過反相 ’器 Cll、C21、C22、C31、C32、C4卜 C42、C5卜 C52、C53 以及 C54 到 達終點元件S1〜S8的一路徑以及從根部元件R〇經過反相器C12、C23、 C24、C33、C34、C43、C44、C55、C56、C57 以及 C58 到達終點元件 S1〜S8 的另一路徑均通過L0位階的根部元件R0,然後選取這兩個路徑之間較大 的路徑時間延遲值,並且將該較大的路徑時間延遲值記錄於根部元件R〇 © 中,係選取的較大路徑時間延遲值係為L1位階中反相器C11、C12之較大 者。 舉例而言,設計工具比較終點元件S1(6〇)與終點元件S2(5〇)並且指定 較大的si(6〇)給反相ϋ CM ’ s此該路徑時間延遲值(60)係為經過反相器 C51的路徑中具有較大的路徑時間延遲值,並且將路徑時間延遲值⑽記錄 於反相器C51。同樣地,設計工具比較終點元件S3〜S16以選取較大的路徑 時間延遲值’並且將這些較大的路徑_延遲值分別記錄於u位階的反相 ®器C52〜C58中,其餘的路徑依此類推,直至設計工具比較u位階的反相 益CU(7〇)與反相器Cl2(9〇),以產生較大的路徑時間延遲值㈣並且記錄於 L0位階的根部元件R0。因此在時脈樹狀結構中,記錄於^位階的根部元 件R0的路㈣間延遲值90(v〇)係為經過根部元件R〇的路徑中具有較大的 路徑時間延遲值。 -般而言,路徑時間延遲量包括元件時間延遲量㈣咖加及連接線 路時間延遲f (洲ay),其巾元物賴航件的賴㈣以及輸出 14 200925825 負載有關,而且當時脈樹狀結構的元件設置(pkcement)以及其尺寸大小沒有 改變時,連接線路時間延遲亦維持不變。 依據上述,設計工具比較一路徑時間延遲值與另一鄰近路徑時間延遲 值’並且依序地將較大的路徑時間延遲值記錄於不同位階的反相器令,以 顯示反相器與路徑時間延遲值之間的狀態。 如第2圖所示,设计工具找出在這些終點元件中最大的路徑時間延遲 值,亦即終點元件S16(90),並且將該最大路徑時間延遲值(9〇)設定為目標 ©路徑延遲餘rget value)。如第3A_3c圖所示之箭頭方向则,從U位階 至L5位階,然後設計工具比較已記錄在每一位階叫七)的反相器⑶〜⑽ 之路徑時間延遲值與該目標路徑延遲值,如第3A_3c圖所示。 第3A圖為依據本發明實施例中時脈樹狀結構之示意圖,係利用設計工 具比較每-個記錄在L1位_反相n内之路徑延遲值與—目標路徑延遲 值。當記錄在反相器内的路徑延遲值小於該目標路徑延遲值,設計工具藉 響由選擇元件類型資料庫3〇2中的—新元件類型來取代原始的反相器之元件 類型。然後設計工具重新計算具有該新元件類型的反相器之元件時間延遲 量㈣delay),並且將新元件類型的元件時間延遲量與原始的反相器元件類 型之兀件%間延遲1兩者之差值DDiff加人至原始的反相器元件麵之元件 牯間延遲量,以更新原始的元件類型之元件時間延遲量。當記錄於反相器 内之更新後兀件時間延遲量等於或是接近於該目標路徑延遲值,則該新元 件類型為可供使職ϋ。相反地,#記錄於反㈣内之更新後元件時間延 遲量超出該目標路徑延遲值,則忽略該新元件類型並且沿用原始的元件類 15 200925825 型。當更新縣㈣件_為新補_之後,設計q將錄加入 •、相器之路值延遲值中。在本發明之實施例中,改變上游的反相 ” ϋ之元件類型將對下游的延遲值造成影響,例如路徑延遲值。應注意的是, 為了簡化τ序讀的雜度,當改變上游的反姆之元件類型後,依據時 脈αΚ號的傳輪方向,在該上游的反相器以下之樹狀結構中每個反相器以及 每個 占元件本身的元件時間延遲量_丨如㈣設定為維持不變之值。 牛例來忒如第2圖以及第3A圖所示,記錄於反相器的路徑延遲 ©值為70如第2圖所不,且目標路徑延遲值為9〇,因為反相器⑶的路徑 延遲值70小於目標路徑延遲值為9〇,設計工具從元件類型資料庫(听e database)302帽擇新的元件類型,以改變反糊cu的元件類型。然後設 計工具重新計算簡元件類翻反撼⑶之元件時間延遲量,並且將新 兀件類型的το件時間延遲量與原始的反相器元件類型之元件時間延遲量兩 者之差值20加入至原始的反相器元件類型之元件時間延遲量7〇,以更新反 相器C11的原始元件類型之時間延遲量為9〇。當改變上游的反相器cii之 ® 元件類型時,差值將對下游的反相器C2卜C22、C3卜C32、C41、CM2、 C51、C52、C53、C54以及終點元件S1〜S8所記錄的路徑延遲值造成影響。 而當改變上游的反相器下游的反相器C11之元件類型之後,在該上游的反 相器C11以下之樹狀結構中每個反相器C21、C22、C31、C32、C41、C42、 C51、C52、C53與C54,以及每個終點元件S1~S8本身的元件時間延遲量 維持不變。接著將新的元件類型指定給反相器C11,且其更新後的時間延遲 量為90’等於目標路徑延遲值為90。由於反相器C11的元件類型已經改變, 16 200925825 故設計工具需要將差值20加入至反相器C11下游的元件,亦即每個反相器 -C21、C22、C3卜 C32、C4卜 C42、C51、C52、C53 與 c54,以及每個終 .點元件S1〜S8所記錄的路徑延遲值,以分別更新下游的反相器以及終點元 件C11的路徑時間延遲量。 第3B圖為依據本發明實施例中時脈樹狀結構之示意圖,係利用設計工 具比較每一個記錄在1^2位階的反相器内之路徑延遲值與一目標路徑延遲 值。第3B圖類似於第3A圖,設計工具得知記錄於12位階的反相器c2i(s〇) ©以及反相器C23(60)之時間延遲量,如第3A圖所示,並且找出目標路徑延 遲值90。因為反相器C21(80)的路徑延遲值8〇小於目標路徑延遲值為%, 設計工具從元件類型資料庫(type database)3〇2中選擇新的元件類型,以改變 反相器C21的元件類型。此外,反相器C23(6〇)的路徑延遲值6〇小於目標 路控延遲值為90,設計工具從元件類型資料庫(type database)3〇2中選擇新 的元件類型,以改變反相器C23的元件類型。然後,設計工具分別取代記 錄在反相器 C31、C33、C41、C43、C51、C52、C55、C56、S1〜S4 以及 S9〜S129 ®之值,以更新記錄於下游的每個反相器以及終點元件之路徑延遲值。 第3C圖為依據本發明實施例中時脈樹狀結構之示意圖,係利用設計工 具比較每一個記錄在L5位階的反相器内之路徑延遲值與一目標路徑延遲 值。第3C圖類似於第3B圖,設計工具得知記錄於L5位階的反相器 C52(90)、C54(90)、C55(90)以及C57(90)之時間延遲量,且目標路徑延遲值 為90。如第3B圖所示,因為反相器C52(80)、C54(80)、C55(80)以及C57(8〇) 的路徑延遲值80小於目標路徑延遲值為90,設計工具從元件類型資料庫 17 200925825 (type database)302中選擇新的元件類型,以改變反相器C52、C54、C55以 及C57的元件類型。然後,設計工具分別取代記錄在終點元件S3、S4、 S7〜S10、S13以及S14之值,以更新記錄於下游的終點元件之路徑延遲值。 在本發明之實施例中’設計工具允許記錄在每個反相器以及終點元件 的路徑延遲值彼此之間互相趨於相同。較佳實施例中,設計工具調整記錄 於反相器中車乂低的路徑延遲值,以趨近於目標路徑延遲值。在一實施例中, 設計工具藉由改變反相的元件時間延遲值來調整路徑延遲值。舉例而言, ©在執订a寺脈概結構合成(CTS)的期間,設計工具先選用具有零輸出負載之 το件類型。麟在執行時職狀結構合邮TS)以及齡程序讀,本發明 藉由增加輸出負載來調整元件時間延遲值,使得記錄於反相器的路徑延遲 值等於或是趨近於該目標雜延。即使元件_改變之後,元件的設 置以及詳細繞線的結果在執行時脈樹狀結構合成(cts)的程序之後仍然維 持不變。 具體來說,在執行時脈樹狀結構合成(CTS)以及詳細繞線的程序時,設 片工具選擇種或多種不同且具有特定的輪出負載的反相器,例如零(難) 輸出負載之肋器元件。紐在執行本㈣之路_f艇遲量的平衡方法 時’設計工具從元件__(type database)帽騎的元伽型 的儿件類型具有_的面積且具有不_輪㈣載。在—實施例中,元件 類型資料郭ypedatab㈣中主要將單—元件再加以衍生擴充出其他類型的 轉,而該單-元輪生細㈣叙_銳繼負載的不 同。例如選定-個特定類型的反姆(如輪出赖為零),再以此特定 18 200925825 反相器為基礎,擴紐生多種具有不同輸出負載但具有彳目同面積的反相器 •且極類似的元件佈局。 , 祕不_型元件具有不_輸出貞載,故射侧對應於該單一元 件的時間延遲絲產生出其鋪型的元件之時間輯表,例如輸出負載越 大’時間延遲量越大。此外,聽原始的元件與更換後的不_型元件兩 者具有相同的面積且極類似的元件佈局,故可直接以新的類型元件更換原 始的元件,而不需更動到原始的元件附近的元件之言免置位£,而且不同類 ©型元件之間的差異僅在於輸出負載的不同。因此,本發明在執行CTS程序 以及繞線程序之後,輯其元件的設置以及雜繞_結果残而不需要 更動繞線。 當設計工具改變LH立階置L5位階中一部分的反相器之元件類型之 後,設計4㈣元賴韻改變結絲姨verilGg職以及設計交換格 式(design exchange format, DEF)檔案。然後利用上述檔案來執行 析(STA),以改善時脈偏移量。 ® 根據上述,利用時脈樹狀結構合成(CTS)工具將额外的元件(例如反相器 或是缓衝器(buffer))在執行時脈樹狀結構合成序時插入至根部元件 (root cell)以及複數終點元件(sinks)之間,以調整樹狀分支扣如也防)之間記 錄於元件的路徑時間延遲值,以確保時脈樹狀結構可同步地將時脈訊號由 根部元件傳送至每個終點元件,亦即將時脈偏移量最小化。—般而言,在 CTS工具完成CTS程序以產生時脈樹狀結構之後,設計工具將對訊號連線 執行繞線程序。然後設計工具再次計算時脈樹狀結構的時脈偏移量,由於 19 200925825 時脈樹狀結射的每_狀分支之職此的路徑時収遲量會變大以及變 小,因此,計算所得_脈偏移量將會比CTS程序期間的時腺偏移量來得 大’使得時脈偏移量變差。 本發明的特點在執行CTS程序以及繞線程序之後,藉由改變元件的類 型來調整(勤《)時關移|,並賴料庫如 ―)料件類型之間具有相同的面積且極類似的元件佈局,以維e 持元件的設置以及料繞線的結果L亦㈣變献婦元件類型來平 ©衡_—)日_狀,_路徑時間延遲量,以改善時脈偏移量,以符人 時脈偏移的規格要求,避免鱗進行元件的設置以及詳細繞線之步驟。口 參考第2圖、第3A-3C圖以及第4圖,第4圖為依據本發明實施例中 時脈樹狀結構的路彳蝴延遲量之平衡方法_,該時脈樹狀結構係用 於積體電路設計。本發明之路徑時間延遲量之平衡方法,翻於積體電路 叹汁的時脈樹狀結構中,包括下列步驟: 在步驟S400中,利用CTS王具建立時脈樹狀結構。The path time delay values recorded by C32, C33, C34, C41, C42 'C43, C44, C51, C52, C53, C54, C55, C56, C57 and C58 are denoted as vu, V12, V2^V22, V23, V24, respectively. V3 Bu V32, V33, V34, V4 Bu V42, V43, V44, V52, V53 V54 V55 'V56, V57 and V58' and record the root element R〇 of the l〇 step. The path time delay values (V11 to VS8 and VQ) recorded in the inversions ^(3) to (10) and the root elements respectively indicate that there is a large path time delay between the paths through the inverters cn to (3) and the root element R? That is, the path from the root element R0 to the end element S1 and the other path from the root element RQ to the end element phantom are selected by the inversion Θ(3)' of the level, and the mixed time delay values of the two paths are selected and The larger road 彳 峨 峨 峨 咖 ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( Hidden, from the root element (4) through (3) to the end point to w, S2 - Lu Xin and Na part of the element through the inverter «2 to reach the end point to S3, Hong's other path through the μ level of the inverter (9) After the iron, the two views _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ The larger of C52, the rest of the path and so on. From the root element R〇 through the inverting 'C11, C21, C22, C31, C32, C4, C42, C5, C52, C53 and C54 to a path of the end elements S1 to S8 and from the root element R〇 through the inverter C12, C23, C24, C33, C34, C43, C44, C55, C56, C57, and C58 The other path to the end elements S1 to S8 passes through the root element R0 of the L0 level, and then the larger between the two paths is selected. The path time delay value is recorded, and the larger path time delay value is recorded in the root element R〇©, and the selected larger path time delay value is the larger of the inverters C11 and C12 in the L1 level. For example, the design tool compares the endpoint element S1 (6〇) with the endpoint element S2 (5〇) and specifies a larger si(6〇) for the inverse ϋ CM ' s. The path time delay value (60) is There is a larger path time delay value in the path through the inverter C51, and the path time delay value (10) is recorded in the inverter C51. Similarly, the design tool compares the end elements S3 S S16 to select a larger path time delay value 'and records these larger path_delay values in the inversions of the u-levels C52 to C58, respectively, and the remaining paths are Such a push until the design tool compares the inverse phase benefit CU (7〇) and the inverter Cl2 (9〇) of the u-order to produce a larger path time delay value (4) and is recorded in the root element R0 of the L0 level. Therefore, in the clock tree structure, the delay value 90 (v〇) between the paths (4) of the root element R0 recorded in the level is a larger path time delay value in the path through the root element R〇. In general, the path time delay includes the component time delay (4) coffee plus connection time delay f (azhou ay), its towel element depends on the navigation device (four) and the output 14 200925825 load, and the tree tree When the component's component setting (pkcement) and its size have not changed, the connection line time delay remains unchanged. According to the above, the design tool compares a path time delay value with another adjacent path time delay value' and sequentially records the larger path time delay value to an inverter command of a different level to display the inverter and the path time. The state between the delay values. As shown in Fig. 2, the design tool finds the maximum path time delay value among these end elements, that is, the end point element S16 (90), and sets the maximum path time delay value (9 〇) as the target © path delay. I rget value). If the direction of the arrow shown in Fig. 3A_3c is from the U level to the L5 level, then the design tool compares the path time delay value of the inverters (3) to (10) recorded in each order called 7) with the target path delay value, As shown in Figure 3A_3c. Figure 3A is a schematic diagram of a clock tree structure in accordance with an embodiment of the present invention, using a design tool to compare path delay values and - target path delay values for each of the records recorded in L1 bit_inverted n. When the path delay value recorded in the inverter is less than the target path delay value, the design tool replaces the component type of the original inverter by the new component type in the selected component type database 3〇2. The design tool then recalculates the component time delay (four) delay of the inverter with the new component type, and delays the component time delay between the new component type and the original inverter component type. The difference DDiff is added to the component inter-turn delay of the original inverter element face to update the component time delay amount of the original component type. The new component type is available for use when the updated time delay amount recorded in the inverter is equal to or close to the target path delay value. Conversely, if the updated component time delay recorded in the inverse (4) exceeds the target path delay value, the new component type is ignored and the original component class 15 200925825 is used. After updating the county (four) pieces _ for the new supplement _, the design q will be recorded in the delay value of the phase value of the phase device. In an embodiment of the invention, changing the upstream inversion "元件" element type will have an effect on the downstream delay value, such as the path delay value. It should be noted that in order to simplify the τ sequence read noise, when changing the upstream After the element type of the reverse ohm, according to the direction of the transmission of the clock α Κ, the amount of time delay of each inverter and each component occupying the component itself in the tree structure below the upstream inverter _ (4) Set to maintain the same value. For example, as shown in Figure 2 and Figure 3A, the path delay value of the signal recorded in the inverter is 70 as shown in Figure 2, and the target path delay value is 9〇. Because the path delay value 70 of the inverter (3) is less than the target path delay value of 9 〇, the design tool selects a new component type from the component type database (to listen to e database) 302 to change the component type of the reverse paste cu. The design tool recalculates the component time delay amount of the simplified component class (3), and adds the difference 20 between the amount of time delay of the new component type and the component time delay of the original inverter component type to Original inverter component The component has a time delay of 7 〇 to update the original component type of the inverter C11 with a time delay of 9 〇. When changing the upstream component of the inverter cii, the difference will be to the downstream inverter. The path delay values recorded by C2, C22, C3, C32, C41, CM2, C51, C52, C53, C54 and the destination elements S1 to S8 are affected. When changing the components of the inverter C11 downstream of the upstream inverter After the type, each of the inverters C21, C22, C31, C32, C41, C42, C51, C52, C53 and C54 and each of the end elements S1 to S8 in the tree structure below the upstream inverter C11 The amount of time delay of the component itself remains unchanged. Then the new component type is assigned to the inverter C11, and its updated time delay amount is 90' equal to the target path delay value of 90. Due to the component type of the inverter C11 Already changed, 16 200925825 So the design tool needs to add the difference 20 to the components downstream of the inverter C11, ie each inverter - C21, C22, C3, C32, C4, C42, C51, C52, C53 and c54 And the path delay value recorded by each of the final point elements S1 to S8 To update the downstream inverter and the path time delay amount of the end element C11. Fig. 3B is a schematic diagram of the clock tree structure according to the embodiment of the present invention, using a design tool to compare each record at the 1^2 level. The path delay value in the inverter and a target path delay value. Figure 3B is similar to Figure 3A. The design tool knows the inverter c2i(s〇) © and the inverter C23 (60) recorded in the 12-bit order. The amount of time delay, as shown in Figure 3A, and find the target path delay value of 90. Because the path delay value of the inverter C21 (80) is less than the target path delay value of %, the design tool slave component type data A new component type is selected in the type database 3〇2 to change the component type of the inverter C21. In addition, the path delay value of the inverter C23 (6〇) is less than the target delay value of 90, and the design tool selects a new component type from the type database 3〇2 to change the inversion. The component type of C23. Then, the design tool replaces the values recorded in the inverters C31, C33, C41, C43, C51, C52, C55, C56, S1 to S4, and S9 to S129, respectively, to update each inverter recorded downstream and The path delay value of the endpoint component. Figure 3C is a schematic diagram of a clock tree structure in accordance with an embodiment of the present invention, using a design tool to compare the path delay value and a target path delay value for each of the inverters recorded in the L5 level. 3C is similar to FIG. 3B, and the design tool knows the amount of time delay of the inverters C52 (90), C54 (90), C55 (90), and C57 (90) recorded in the L5 level, and the target path delay value. It is 90. As shown in Figure 3B, because the path delay value 80 of the inverters C52 (80), C54 (80), C55 (80), and C57 (8 〇) is less than the target path delay value of 90, the design tool slave component type data. A new component type is selected in library 17 200925825 (type database) 302 to change the component types of inverters C52, C54, C55, and C57. Then, the design tool replaces the values recorded at the destination elements S3, S4, S7 to S10, S13, and S14, respectively, to update the path delay value of the destination element recorded downstream. In an embodiment of the invention, the design tool allows the path delay values recorded at each of the inverters and the end elements to tend to be identical to each other. In the preferred embodiment, the design tool adjusts the path delay value recorded in the inverter to be low to approach the target path delay value. In an embodiment, the design tool adjusts the path delay value by changing the inverted component time delay value. For example, during the construction of a Temple Pulse Structure Synthesis (CTS), the design tool first selects the type of τ that has a zero output load. In the execution time, the job structure is combined with the TS and the age program is read. The invention adjusts the component time delay value by increasing the output load, so that the path delay value recorded in the inverter is equal to or close to the target delay. . Even after the component_change, the component settings and the detailed winding results remain unchanged after the execution of the clock tree synthesis (cts) program. Specifically, when performing clock tree structure synthesis (CTS) and detailed winding procedures, the chip tool selects one or more different inverters with a specific wheel load, such as a zero (difficult) output load. Rib element. In the implementation of this (4) road _f boat delay balance method 'design tool from the component __ (type database) hat riding meta-type type has _ area and has not _ wheel (four) load. In the embodiment, the component type information Guo ypedatab (4) mainly derivatizes the single-component to expand other types of rotation, and the single-yuan rotation is fine (four) and the sharpness is different from the load. For example, selecting a specific type of inverse m (if the round is zero), and based on this specific 18 200925825 inverter, expands a variety of inverters with different output loads but with the same area. Very similar component layout. The secret element has a non-output load, so that the time-delay of the incident side corresponding to the single element produces a time schedule of the components of the paved type, for example, the larger the output load, the greater the amount of time delay. In addition, since the original component and the replaced non-type component have the same area and a very similar component layout, the original component can be directly replaced with a new type component without being moved to the vicinity of the original component. The component's words are free of place, and the difference between the different types of components is only the difference in output load. Therefore, the present invention does not require a more flexible winding after the execution of the CTS program and the winding procedure. When the design tool changes the component type of the inverter in part of the LH step L5 level, the design 4 (four) element Lai Yun changes the knot 姨 verilGg job and the design exchange format (DEF) file. The above file is then used to perform the analysis (STA) to improve the clock offset. ® According to the above, use the Clock Tree Structure Synthesis (CTS) tool to insert additional components (such as inverters or buffers) into the root element during the execution of the clock tree synthesis sequence (root cell) And the path time delay value recorded between the plurality of destination elements (sinks) to adjust the tree branch buckle (also to prevent), to ensure that the clock tree structure can synchronously transmit the clock signal from the root element To each end element, the clock offset is also minimized. In general, after the CTS tool completes the CTS program to generate the clock tree structure, the design tool will perform the routing procedure for the signal connection. Then the design tool calculates the clock offset of the clock tree structure again. Since the path of the __ branch of the 2009 20092525 tree-like burst is larger and smaller, the calculation is performed. The resulting _pulse offset will be larger than the time gland offset during the CTS procedure' to make the clock offset worse. The feature of the present invention is that after the CTS program and the winding procedure are executed, the type of the component is changed (different), and the material library has the same area and is very similar. The layout of the components, the setting of the component and the result of the material winding L (4) the type of the feminine component to flatten the value of the __, _ path time delay to improve the clock offset, In order to meet the specifications of the person's clock offset, the steps of setting the scale and detailed winding are avoided. Referring to FIG. 2, FIG. 3A-3C, and FIG. 4, FIG. 4 is a diagram showing a method for balancing the delay of the clock-like tree structure of the clock tree structure according to the embodiment of the present invention, which is used for the clock tree structure In the integrated circuit design. The method for balancing the path time delay amount of the present invention, which is turned into the clock tree structure of the integrated circuit, includes the following steps: In step S400, the clock tree structure is established by using the CTS master.

在步驟S術中,利用一設計工具計算從根部元件⑽)至每一終點元件 (S㈣6)UW咖反相器德徑時間延遲值,其中將記錄在終點元件的 路徑時間延遲值巾最大者域為目標路徑延遲值。 在步驟输中,從每個終點元件⑻〜训)至根部元件助的路徑中, 設計工具將記錄於每個終社件⑻〜邮)的路徑時間延遲值與鄰近的終點 元件之路徑時間延遲值進行比較,並絲味所得較高的路徑時間延遲值 記錄於上關元件,直輯妹高___觀分觀錄於反相器 20 200925825 (C11〜C58)以及根部元件R0為止。 在步驟S406中,從根部元件R0至每個終點元件(S1〜S16)的路徑中, •設計工具將每個反相器的路徑時間延遲值與目標路徑延遲值進行比較,用 於決定是否藉由選擇元件類型資料庫302中的一新元件類型,以更改至新 的反相器的耕類型,以取代原始的反相器之元件類型。在步驟弘〇6中, 比較每個反相器的路徑時間延遲值與目標路徑延遲值時,當改變上游的反 相器之元件類型之後,在該上游的反相器以下之樹狀結構中每個反相器以 ©及每個終點元件本身的元件時間延遲量設定為維持不變之值。 在步驟綱中,在步驟s中,比較每個反相器祕徑時間延遲值 與目標路徑延遲值時,若記錄在反相器的路辦間延遲值小於目標路徑延 遲值,將反相器的元件類型由目前的元件類型改變至新的元件類型。 在步驟S·中,没計工具重新計算具有新元件類型的反相器之元件時 間延遲量。 ❹ 在4S412 + „又。十工具將差值DDiff加入至原始的反相器元件類型之 路徑時間延遲值’以更新原始的元件類型謂徑時間延遲值,其中差仙碰 定義為反相器的新讀時間延遲值與原始树時間延遲值之間的差值。當 更新後的路«間延·等贼是舰於該目標賴延遲值,示反相 器的元件類型之改變係材接受。相對地,當更新後的路徑時間延遲值大 則忽略改魏的元件_而健使用縣的元件類 於該目標路徑延遲值,In step S, a design tool is used to calculate the UW coffee inverter path time delay value from the root element (10) to each end element (S (four) 6), wherein the path time delay value recorded in the end element is the largest Target path delay value. In the step input, from the end point component (8) to the root component help path, the design tool will record the path time delay value recorded in each terminal element (8) to postal time and the path time delay of the adjacent end point component. The values are compared, and the resulting higher path time delay value is recorded in the upper-off element, and the ___ view is recorded in the inverter 20 200925825 (C11 to C58) and the root element R0. In step S406, from the path of the root element R0 to each of the end elements (S1 to S16), the design tool compares the path time delay value of each inverter with the target path delay value for determining whether to borrow A new component type in the component type library 302 is selected to change to the new inverter type of the inverter to replace the component type of the original inverter. In step 〇6, when comparing the path time delay value of each inverter with the target path delay value, after changing the component type of the upstream inverter, in the tree structure below the upstream inverter Each inverter is set to maintain a constant value with © and the component time delay amount of each of the end elements themselves. In the step, in step s, when comparing each of the inverter lag time delay value and the target path delay value, if the inter-route delay value recorded in the inverter is smaller than the target path delay value, the inverter is The component type is changed from the current component type to the new component type. In step S·, the tool does not count the component time delay of the inverter with the new component type. ❹ In 4S412 + „ again. Ten tools add the difference DDiff to the original inverter component type path time delay value' to update the original component type predicate time delay value, where the difference is defined as the inverter The difference between the new read time delay value and the original tree time delay value. When the updated road «intervalence and other thieves are the ship's target delay value, the change of the component type of the inverter is accepted. In contrast, when the updated path time delay value is large, the component of the Wei is ignored. The component of the Jian County uses the target path delay value.

Doiff加入至樹狀分 型。當-反相⑽元件類型改變之後,設計卫具將差值 支中該反相ϋ下游的反相騎記錄之路辦間延遲值。 21 200925825 在乂驟8414中叹a十工具將差值DDiff加入至該反相器下游的反相器中 所記錄之路徑時間延遲值,以重複地更新記錄於下游反相器以及終點元件 所記錄的路徑時間延遲值,以使時脈樹狀結構的時脈偏移量最小化。 在步驟S416中,當比較每個反相器的路徑時間延遲值與目標路徑延遲 值時,若記錄在反相器的路徑時間延遲值大於目標職延遲值,則設計工 具使用原始的元件類型,並且返回至步驟遍,將下一個反相器所記錄的 路徑時間延遲值與目標路徑延遲值進行比較。 ❹雖然本發明已用較佳實施例揭露如上,然其並非用以限定本發明,本 發明所屬技術領域巾具有通常知識者,在不脫離本發明之精神和範圍内, § 了作各種之更動與潤部,因此本發明之保護範圍當視後附之申請專利範 圍所界定者為準。 【圖式簡單說明】 第1圖為依據本發明實施例中使用時脈樹狀結構合成(CTS)之設計工具 ο 建構而成的時脈樹狀結構之示意圖。 第2圖為依據本發明實施例中時脈樹狀結構之示意圖,係利用設計工 具比杈-路延輕與鄰近同__輯的另—路徑輯值,並且依序地將該 每兩個路徑延遲值中一個較大的路徑延遲值記錄在不同位階的反相器之 内。 第3A-3C圖為依據本發明實施例中時脈樹狀結構之示意圖,係利用設 计工具比較每—個記錄在反相器之内的路徑延遲值與一目標路程延遲值。 第4圖為依據本發明實施例中時脈樹狀結構的路徑時間延遲量之平衡 22 200925825 方法流程圖,該時脈樹狀結構係用於積體電路設計。 . 【主要元件符號說明】 200位階L5至位階L1的箭頭方向 300位階L1至位階L5的箭頭方向 302元件類型資料庫Doiff is added to the tree type. After the -inverting (10) component type is changed, the design fixture will set the difference between the inversion and the downstream of the reverse phase. 21 200925825 In step 8414, a ten tool adds the difference DDiff to the path time delay value recorded in the inverter downstream of the inverter to repeatedly update the record recorded in the downstream inverter and the end element. Path time delay value to minimize the clock offset of the clock tree structure. In step S416, when comparing the path time delay value of each inverter with the target path delay value, if the path time delay value recorded in the inverter is greater than the target job delay value, the design tool uses the original component type, And returning to the step pass, the path time delay value recorded by the next inverter is compared with the target path delay value. Although the present invention has been disclosed in the above preferred embodiments, the present invention is not intended to limit the invention, and the invention is to be construed as being limited by the scope of the invention. The scope of protection of the present invention is defined by the scope of the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a schematic view showing a clock tree structure constructed using a design tool of a clock tree structure synthesis (CTS) according to an embodiment of the present invention. 2 is a schematic diagram of a clock tree structure according to an embodiment of the present invention, which utilizes a design tool to compare the 路径-road delay with another path value of the adjacent __ series, and sequentially treats each of the two A larger path delay value in the path delay value is recorded in an inverter of a different level. 3A-3C is a schematic diagram of a clock tree structure in accordance with an embodiment of the present invention, using a design tool to compare each path delay value recorded within the inverter with a target path delay value. Figure 4 is a diagram showing the balance of the path time delay amount of the clock tree structure according to the embodiment of the present invention. 22 200925825 The method flow chart is used for the integrated circuit design. [Description of main component symbols] Direction of the arrow from the 200th order L5 to the step L1 Direction of the arrow from the 300th order L1 to the step L5 302 Component type database

23twenty three

Claims (1)

200925825 十、申請專利範圍: 1. -種時脈樹狀結翻路徑關延遲量之平衡綠,於執辦脈樹狀結構 合成以及繞線程序之後,以使該時脈樹狀結構的時脈偏移量最小化, 其中該時脈樹狀結構包括-根部元件、複數個反相器、複數個終點元 件以及該根部元件'該些反相器與該些終點元件之間的複數個訊號連 接線,該平衡方法包括下列步驟: 建立該時脈樹狀結構; 計算由該根部元件經過一部分的該些反相器到達每一該些終點元件 的複數個路辦間延遲值,其中將記錄在該祕點元件的該些路徑時 間延遲值中最大者定義為一目標路捏延遲值; 從每—該些終點元件至該根部元件的路徑中,比較每一該些終點元 件的該路徑時間延遲值與鄰近的每—該些终點元件的該路徑時間延遲 值,並且將比較而產生的該較高路徑時間延遲值記錄於上游的每一該 二反相器,直至所有的該些較高路徑時間延遲值分別記錄於相對應的 > 每一該些反相器以及該根部元件; 比較每一該些反相器的該路徑時間延遲值與該目標路徑延遲值,以 決足疋否藉由選擇一元件類型資料庫中的一新元件類型,以使一反相 器的元件類型由原始的該元件類型改變至該新元件類型;以及 依據每一該些反相器的該路徑時間延遲值與該目標路徑延遲值的比 車又、乡°果’以更新該反相器下游的該些反相器以及該些終點元件之路徑 時間延遲值’使得該些路徑時間延遲值趨近於該目標路徑延遲值,以 _時縣懷結躺時脈鱗1:最小彳b。 24 200925825 2. 如申請專利範圍第i項所述之平 上 兮 ,”中吾比較每一該些反相器的 時間延遲值與該目標路徑延遲值時,係從該根部元件至每一該 些終點元件的經過路徑進行比較。 3.如申物贿1猶崎衡妓,㈣嶋—該些反相器的 該路赠間延遲值與該目標路徑延遲值時,每一該些下游的反相器之 几件時間延遲值以及每一該些終點元件之元件時間延遲值係維持不 變。 ©《如巾細卿丨_狀平衡村,射編_料庫包括複 個元件類型且每一该些元件類型分別相對應於不同的元件時間延 遲值。 5·如申請專利範圍第4項所述之平衡方法,其中每—該些反相器的該元件 X員i對應於輸出負載,且该輸出負載正比於該元件時間延遲值。 6. 如申請專利範圍第!項所述之平衡方法,其中在比較每一該些反相器的 該路徑時間延遲值與該目標路徑延遲值之步驟中,當記錄每一該些反 相器的路徑時間延遲值小於該目標路徑延遲值時,將該反相器的該元 件類型由原始的該元件類犁改變至該新元件類型。 7. 如申請專利範圍第6項所述之平衡方法,其中在將該反相器的元件類型 由原始的該元件類型改變至該新元件類型的步騍之後,更包含重新計 算具有該新元件類型的該反相器之元件時間延遲值。 8·如申請專利範圍第7項所述之平衡方法,其中在重新計算具有該新元件 類型的該反相器之元件時間延遲值的步驟之後,更包含將一差值加入 25 200925825 至該反相器之路徑時間延遲值,以更新該反相器之路徑時間延遲值, 其中該差值定義為該反相器的新元件時間延遲值與原始元件時間延遲 值之間的差異值。 9_如申請專利範圍第7項所述之平衡方法,更包括重複地更新記錄於下游 反相器所記錄的元件時間延遲值,以使更新後的路徑時間延遲值等於 或是趨近於該目標路徑延遲值。 10·如申請專利範圍第7項所述之平衡方法,更包括更新記錄於具有該新元 ® 件類型的該反相器之下游反相器的路徑時間延遲值。 11·如申請專利範圍第i項所述之平衡方法,其中在比較每個反相器的路徑 時間延遲值與該目標路徑延遲值之步驟中,當記錄在該反相器的路徑 時間延遲值大於該目標路徑延遲值,則該反相器使用原始的該元件類 型。 12. -種時脈樹狀結構的路徑時間延遲量之平衡方法,於執行時脈樹狀結構 合成以及繞線程序之後,以使該時脈樹狀結構的時脈偏移量最小化, ® 其中該時脈樹狀結構包括一根部元件、複數個反相器、複數個終點元 件以及該_元件、_反相轉賴元叙間的複數個訊號連 接線,該平衡方法包括下列步驟: 計算由該根部元件經過-部分的反相器到達每_該些終點元件 的複數個路徑時間延遲值,其切記錄在該祕點元件的該些路徑時 間延遲值中最大者定義為一目標路徑延遲值; 比較每-該些終點元件的該路徑時間延遲值與鄰近的每一該些終點 26 200925825 元件的該路徑時間延遲值,並且將比較所得較高鱗鱗間延遲值記 錄於上游的每—該些反相器’直至所有的該些較高路徑時間延遲值分 別記錄於相對應的每一該些反相器以及該根部元件; 從》亥根。卩元件至每—該些終點元件的路徑巾,比較每—該些反相器 的該路徑時間延遲值與該目標路徑延遲值,以決定是否藉由選擇一元 件類型資料庫中的-新元件類型,以使—反相㈣元件類型由原始的 該元件類型改變至該新元件類型;以及 依據每-該些反相器的該路徑時間延遲值與該目標路徑延遲值的比 車果以更新該反相器下游的該些反相器以及該些終點元件之路徑 時間延’使得路㈣間延舰舰㈣目標雜延遲值,以 使該時脈樹狀結構的時脈偏移量最小化。 α如巾請專·圍第12項所述之平衡方法,其中當味每—該些反相器 的該路麵間延遲值與該目標路徑延遲值時,每—該些下游的反相器 之几件時間延遲值以及每—該些終點元件之耕時間延遲值係維持不 變。 14.如申請專利範圍第12項所述之平衡方法,其中該元件類型資料庫包括 複數個元件類型,且每一該些元件類型分別相對應於不同的元件時間 延遲值。 15_如申請專利範圍第η項所述之平衡方法,其中在比較每一該些反相器 的該路彳t時間延遲值與該目標路#延遲值之步射,當記錄每一該些 反相器的路铺間延遲值小於該目標路徑延遲值時,職反相器的元 27 200925825 件類型由縣的該元件_改變⑽新元件類型。 16. 17. ❹ 18. 19. ❹20· 如申請專利_ Β項所述之平衡方法,其_該反相元件類 翻原始的該元件_改變至該新元件類型的步驟之後 ,更包含重新 計算具有該新元件_的該反相ϋ之it件時間延遲值。 如申請專利範圍第16項所述之平衡方法,其中在重新計算具有該新元 牛類里⑽反相狀兀件時間延遲值的步驟之後,更包含將—差值加 入至該反_之路徑_延遲值,以更新該反相器之之雜時間延遲 ”中及差值定義為該反相H的新元件時間延遲值與原始元件時間 延遲值之間的差異值。 申月專她圍第項所述之平衡方法,更包括重複地更新記錄於下 游反相器所記錄的元件時間延遲值,以使更新後的路徑時間延遲值等 於或是趨近於該目標路徑延遲值。 如申π專她圍第16項所狀平衡方法,更包括更新麟於具有該新 轉類型的該反相ϋ之下游反相器的路徑時間延遲值。 型 如U概圍第12項所述之平衡方法,其中姐絲個反相器的路 徑時間延遲值與目標路觀紐之步射,#記錄在該反相器的路經 時間延遲值大_目標路徑延遲值,麟反相器使用原始的該元件類 28200925825 X. The scope of application for patents: 1. - The balance of the delay of the clock tree-like knot path, the green of the delay, after the execution of the pulse tree structure and the winding procedure, so that the clock of the clock tree structure Minimizing the offset, wherein the clock tree structure includes a root element, a plurality of inverters, a plurality of destination elements, and a plurality of signal connections between the inverters and the destination elements a line, the balancing method comprising the steps of: establishing the clock tree structure; calculating a plurality of inter-office delay values from the inverters passing through the portion of the inverters to each of the end elements, wherein The largest of the path time delay values of the secret element is defined as a target kneading delay value; from each of the end elements to the root element, the path time delay of each of the end elements is compared a value of the path time delay value of each of the adjacent end elements, and recording the higher path time delay value generated by the comparison to each of the two inverters upstream; Some of the higher path time delay values are respectively recorded in the corresponding > each of the inverters and the root element; comparing the path time delay value of each of the inverters with the target path delay value By determining whether a new component type in a component type database is selected to change the component type of an inverter from the original component type to the new component type; The ratio of the path time delay value of the phase comparator to the target path delay value is again updated to update the inverters downstream of the inverter and the path time delay values of the destination elements. The path time delay value approaches the target path delay value, and the time scale is 1: 彳 b. 24 200925825 2. As stated in the application of the scope of the patent item i, "the medium compares the time delay value of each of the inverters with the target path delay value from the root element to each of the The path of the end elements is compared. 3. For example, Shen Baoyi 1 Isaaki Heng, (4) 嶋 - the delay value of the road between the inverters and the target path delay value, each of these downstream The time delay values of the inverters and the component time delay values of each of the end elements remain unchanged. © "如巾细卿丨_状平衡村,射编_料库 includes multiple component types and each Each of the component types corresponds to a different component time delay value. 5. The balancing method of claim 4, wherein the component X member i of each of the inverters corresponds to an output load, And the output load is proportional to the component time delay value. 6. The balancing method of claim 2, wherein comparing the path time delay value of each of the inverters with the target path delay value In the steps, when recording each When the path time delay value of the inverters is less than the target path delay value, the component type of the inverter is changed from the original component class plow to the new component type. 7. The balancing method, after the step of changing the component type of the inverter from the original component type to the new component type, further comprising recalculating the component time of the inverter having the new component type A delay method as described in claim 7, wherein after the step of recalculating the component time delay value of the inverter having the new component type, the method further includes adding a difference to 25 200925825 a path time delay value to the inverter to update a path time delay value of the inverter, wherein the difference is defined as a difference between a new component time delay value of the inverter and an original component time delay value 9_ The balancing method as described in claim 7 of the patent application, further comprising repeatedly updating the component time delay value recorded in the downstream inverter to make the updated The path time delay value is equal to or close to the target path delay value. 10. The balancing method as described in claim 7 further includes updating the record downstream of the inverter having the new element type The path time delay value of the inverter. 11. The balancing method according to claim i, wherein in the step of comparing the path time delay value of each inverter with the target path delay value, when recorded in The path time delay value of the inverter is greater than the target path delay value, and the inverter uses the original component type. 12. A method for balancing the path time delay amount of the clock tree structure, executing the clock After the tree structure is synthesized and the winding process is minimized, the clock offset of the clock tree structure is minimized, wherein the clock tree structure comprises a component, a plurality of inverters, and a plurality of terminal elements And the plurality of signal connection lines between the _element and the _phase-inverting-transfer element, the balancing method includes the following steps: calculating an inverter passing through the - part of the root element to reach each of the end points a plurality of path time delay values, wherein the largest one of the path time delay values recorded by the secret point element is defined as a target path delay value; comparing the path time delay value of each of the end element to the adjacent The path time delay value of each of the end points 26 200925825 components, and the resulting higher scale scale delay value is recorded in each of the upstream inverters until all of the higher path time delay values are respectively Recorded in each of the corresponding inverters and the root element; from "Higan." Comparing the component to each of the path elements of the destination elements, comparing the path time delay value of each of the inverters with the target path delay value to determine whether to select a new component in a component type database a type such that the -inverting (four) component type is changed from the original component type to the new component type; and updating according to the ratio of the path time delay value of each of the inverters to the target path delay value The inverters downstream of the inverter and the path delay of the destination elements cause the path (four) to extend the ship (four) target mismatch value to minimize the clock offset of the clock tree structure .如如巾, please use the balancing method described in item 12, wherein each of the downstream inverters is used for each of the inter-surface delay values of the inverters and the target path delay value. Several time delay values and the lag time delay values for each of these endpoint elements remain unchanged. 14. The balancing method of claim 12, wherein the component type database comprises a plurality of component types, and each of the component types corresponds to a different component time delay value. 15_ The balancing method according to claim n, wherein comparing the path delay value of each of the inverters with the target path #delay value, when recording each of these When the inter-floor delay value of the inverter is less than the target path delay value, the element 27 of the service inverter 200925825 type is changed by the component of the county_(10) new component type. 16. 17. ❹ 18. 19. ❹20· As in the balancing method described in the patent application, the _ the inverse component class is changed to the new component type after the step of changing the original component _, including recalculation The time delay value of the inverted phase of the new component _. The balancing method of claim 16, wherein after the step of recalculating (10) the inverse time value of the inverted element in the new bull, the method further comprises adding the difference to the path of the inverse The _delay value is used to update the miscellaneous time delay of the inverter. The difference between the new component time delay value and the original component time delay value is defined as the difference between the new component time delay value and the original component time delay value. The balancing method described in the item further includes repeatedly updating the component time delay value recorded in the downstream inverter so that the updated path time delay value is equal to or close to the target path delay value. The balance method of the 16th item is included, and the path time delay value of the downstream inverter of the inverted phase having the new type of rotation is further included. The balance method described in Item 12 of the U. , wherein the path time delay value of the sister inverter is the same as the target road view, the time delay value recorded in the inverter is larger than the target path delay value, and the LR inverter uses the original one. Component class 28
TW96147130A 2007-12-10 2007-12-10 Method of balancing path delay of clock tree in integrated circuit (IC) layout TW200925825A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW96147130A TW200925825A (en) 2007-12-10 2007-12-10 Method of balancing path delay of clock tree in integrated circuit (IC) layout

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW96147130A TW200925825A (en) 2007-12-10 2007-12-10 Method of balancing path delay of clock tree in integrated circuit (IC) layout

Publications (1)

Publication Number Publication Date
TW200925825A true TW200925825A (en) 2009-06-16

Family

ID=44729490

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96147130A TW200925825A (en) 2007-12-10 2007-12-10 Method of balancing path delay of clock tree in integrated circuit (IC) layout

Country Status (1)

Country Link
TW (1) TW200925825A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI500267B (en) * 2009-07-16 2015-09-11 Realtek Semiconductor Corp Clock circuit with delay functions and related method
US9477258B2 (en) 2013-05-22 2016-10-25 Industrial Technology Research Institute Clock tree in circuit having a power-mode control circuit to determine a first delay time and a second delay time
TWI561958B (en) * 2014-05-22 2016-12-11 Global Unichip Corp Integrated circuit
TWI689833B (en) * 2014-06-18 2020-04-01 英商Arm股份有限公司 Method and computer apparatuses for adjusting a timing derate for static timing analysis

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI500267B (en) * 2009-07-16 2015-09-11 Realtek Semiconductor Corp Clock circuit with delay functions and related method
US9477258B2 (en) 2013-05-22 2016-10-25 Industrial Technology Research Institute Clock tree in circuit having a power-mode control circuit to determine a first delay time and a second delay time
TWI561958B (en) * 2014-05-22 2016-12-11 Global Unichip Corp Integrated circuit
TWI689833B (en) * 2014-06-18 2020-04-01 英商Arm股份有限公司 Method and computer apparatuses for adjusting a timing derate for static timing analysis

Similar Documents

Publication Publication Date Title
US7161854B2 (en) Jitter and skew suppressing delay control apparatus
JP2003174491A (en) Apparatus and method for arbitrating data transmission among devices having smii standard
JP2005502248A (en) Relative dynamic skew compensation of parallel links
US20150323959A1 (en) Clock skew management systems, methods, and related components
TW200925825A (en) Method of balancing path delay of clock tree in integrated circuit (IC) layout
TW201118526A (en) Power-mode-aware clock tree and synthesis method thereof
JP2003044349A (en) Register and signal generating method
CN114997087A (en) Clock tree optimization method, optimization device and related equipment
JP2003150657A (en) High order synthesis method and high order synthesizer
CN101533420A (en) Balancing method for path time delay in clock tree-type structure
US9952828B2 (en) WLAN player and WLAN system for synchronizing playing speed and method thereof
JP2002182777A (en) Clock switching circuit
US7231620B2 (en) Apparatus, generator, and method for clock tree synthesis
JP2011164684A (en) Designing apparatus, designing method, and designing program
Otake et al. A design method for designing asynchronous circuits on commercial FPGAs using placement constraints
JP3776895B2 (en) Phase adjustment circuit
JP2003216271A (en) Semiconductor integrated circuit
JPH03240336A (en) Bit phase synchronization circuit
JP4004788B2 (en) Clock tree synthesis tool and synthesis method
Semba et al. A study on the design of interface circuits between synchronous-asynchronous modules using click elements
JP2002311092A (en) Scan flip-flop, scan path circuit and design method for the same
US8699550B2 (en) Phase alignment between phase-skewed clock domains
JP2004334271A (en) Semiconductor device and its designing method
JP2001257566A (en) Latch circuit with enable function
JP3601601B2 (en) Simultaneous bidirectional transmission system and method for adjusting phase difference between transmitted and received waveforms in simultaneous bidirectional transmission system