TW200908118A - Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof - Google Patents

Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof Download PDF

Info

Publication number
TW200908118A
TW200908118A TW097108401A TW97108401A TW200908118A TW 200908118 A TW200908118 A TW 200908118A TW 097108401 A TW097108401 A TW 097108401A TW 97108401 A TW97108401 A TW 97108401A TW 200908118 A TW200908118 A TW 200908118A
Authority
TW
Taiwan
Prior art keywords
wafer
polishing
polishing pad
chemical mechanical
sub
Prior art date
Application number
TW097108401A
Other languages
Chinese (zh)
Other versions
TWI367524B (en
Inventor
Chao-Chang Chen
Li-Sheng Hsu
Original Assignee
Univ Nat Taiwan Science Tech
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Nat Taiwan Science Tech filed Critical Univ Nat Taiwan Science Tech
Priority to TW097108401A priority Critical patent/TWI367524B/en
Priority to US12/219,800 priority patent/US20090036028A1/en
Publication of TW200908118A publication Critical patent/TW200908118A/en
Application granted granted Critical
Publication of TWI367524B publication Critical patent/TWI367524B/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/07Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool
    • B24B37/10Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping
    • B24B37/105Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping the workpieces or work carriers being actively moved by a drive, e.g. in a combined rotary and translatory movement
    • B24B37/107Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping the workpieces or work carriers being actively moved by a drive, e.g. in a combined rotary and translatory movement in a rotary movement only, about an axis being stationary during lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/16Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the load

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

A chemical mechanical polishing apparatus and a chemical mechanical polishing method thereof are provided. The chemical mechanical polishing method at least includes following steps. In a step a, a positive pressure is formed between a wafer and a polishing pad. In a step b, the wafer is driven to rotate around on its own first central axis. The polishing pad is driven to rotate around on it own second central axis. In a step c, polishing slurry is injected into the gap between the polishing pad and the wafer. In a step d, the positive pressure formed by the polishing pad are adjusted to change the contacting mode between the polishing pad and the wafer, so that the polishing rate of the wafer is changed.

Description

200908118 i vv _> i ο / i\rv 九、發明說明: 【發明所屬之技術領域】 本發明是有關於一種化學機械拋光裝置及其化學機 械拋光方法,且特別是有關於一種拋光矽晶圓之化學機械 拋光裝置及其化學機械拋光方法。 【先前技術】 隨著半導體元件之導線密度不斷增加,且導線寬度不 斷縮小之情況下,矽晶圓表面之平坦度必須維持在一定程 度以下。若矽晶圓表面之凸部與凹部的高低差異太大時, 將影響微影製程的對焦精準度。在微影製程中,矽晶圓之 表面的高低差異必須降至微影製程之焦點深度範圍内,以 使光罩之圖案能夠精確地映射於矽晶圓上。因此,在半導 ' 體製程中,平坦化之步驟係成為一相當重要之程序。 請參照第1圖,其繪示一種傳統之化學機械拋光裝置 900之示意圖。化學機械拋光裝置900包括一研磨平台 ί ϊ ι 910、一承載平台920及一研磨液注入器930。研磨平台 910用以貼附一拋光墊911。承載平台920用以夾持一矽 晶圓921。研磨平台910施加一壓力於石夕晶圓921上,以 使拋光墊911與矽晶圓921緊密接觸,且研磨液注入器930 係注入一研磨液931於矽晶圓921及拋光墊911之間。其 中研磨液931係為化學液、添加劑及磨料等混合液。且抛 光墊911係為聚酯(Polyurethane )等高分子材料經發泡並 後固化後而成。拋光墊911上具有數個細小的孔洞,此些 200908118 孔洞係可儲存研磨液931。在拋光過程中,研磨平台910 以一第—中心軸L910為轴心自轉,且承載平台92〇以一 第一中〜軸L920為軸心自轉。在壓力及速度的作用下, 研磨液931即可移除矽晶圓921表面之腐蝕層(Erosion Layer)。 然而’隨著導線密度不斷增加’且導線寬度不斷縮小 之情況下。傳統之化學機械拋光裝置9〇〇及其拋光方法衍 生出許多難以克服之問題: C*、 第一、「凹陷(Dishing )效應」:請參照第2圖,其 、、曰示種凹& ( Dishing )效應D及腐餘(Erosion )效應E 之示意圖。圖式之整體代表腐蝕效應E,虛線區塊代表凹 陷效應D。在導線密度不斷增加且導線寬度不斷縮小的情 • 況下’阻容遲滯(RC-deiay)效應的影響越來越大。阻容 遲滯效應將降低訊號傳遞速度、增加交談雜音(Cross talk n〇iSe)及功率消耗上升等問題。為了降低阻容遲滯效應, 目前係由銅導線製程取代鋁導線製程。銅導線921a之硬 度較低且不易形成自我保護之氧化層,並且阻障層921 b 與鋼導線921 a之材料移除率不同。故在拋光製程中,銅 導線921a及阻障層921b經常發生過度拋光(〇ver PQlishing),而產生凹陷效應D。 第二、「腐蝕(Erosion)效應」:在導線密度增加及導 線寬度縮小後,絕緣層921c的寬度縮小,使得絕緣層921c 之強度下降。在故在拋光製程中,絕緣層921c經常發生 過度抛光(Over Polishing)’而產生腐飯效應e。 200908118 凹陷效麻d/能(Thr〇ugh〇ut)降低」:在拋光製程中, 不良率。目^腐餘效應E經常同時發生,而大幅影響產品 所施加之^解決之方式為降低發晶圓921受拋光墊911 鞋效應Ει (約1〜加之間)’以減少凹陷效應D及腐 然提高了局泣而,壓力下降,將導致材料移除率下降。雖 ^四°、「平垣度,但卻又降低了產能(Throughout)。 了由鋼導線繫製種整合困難」:為了降低阻容遲滯效應,除200908118 i vv _> i ο / i\rv IX. Description of the Invention: [Technical Field] The present invention relates to a chemical mechanical polishing device and a chemical mechanical polishing method thereof, and more particularly to a polished germanium wafer Chemical mechanical polishing device and chemical mechanical polishing method thereof. [Prior Art] As the wire density of a semiconductor element is continuously increased and the wire width is continuously reduced, the flatness of the surface of the silicon wafer must be maintained below a certain degree. If the difference between the height of the convex portion and the concave portion of the wafer surface is too large, the focusing accuracy of the lithography process will be affected. In the lithography process, the difference in the surface of the germanium wafer must be reduced to the depth of focus of the lithography process so that the pattern of the mask can be accurately mapped onto the germanium wafer. Therefore, in the semi-conducting process, the step of flattening becomes a very important procedure. Referring to Figure 1, a schematic view of a conventional chemical mechanical polishing apparatus 900 is shown. The chemical mechanical polishing apparatus 900 includes a grinding platform ί ι 910, a carrying platform 920, and a slurry injector 930. The polishing platform 910 is for attaching a polishing pad 911. The carrying platform 920 is used to hold a wafer 921. The polishing platform 910 applies a pressure on the Shihua wafer 921 to bring the polishing pad 911 into close contact with the silicon wafer 921, and the polishing liquid injector 930 injects a polishing liquid 931 between the silicon wafer 921 and the polishing pad 911. . The polishing liquid 931 is a mixed liquid of a chemical liquid, an additive, and an abrasive. Further, the polishing pad 911 is formed by foaming and post-curing a polymer material such as polyester (Polyurethane). The polishing pad 911 has a plurality of small holes, and the 200908118 hole system can store the slurry 931. During the polishing process, the grinding platform 910 is rotated about a first central axis L910, and the carrying platform 92 is rotated by a first center to the axis L920. Under the action of pressure and speed, the polishing liquid 931 can remove the Erosion Layer on the surface of the silicon wafer 921. However, as the wire density continues to increase, and the wire width continues to shrink. The traditional chemical mechanical polishing device 9〇〇 and its polishing method have many difficult problems to overcome: C*, first, “Dishing effect”: Please refer to Figure 2, which shows the concave & (Dishing) Schematic diagram of effect D and Erosion effect E. The figure as a whole represents the corrosion effect E, and the dotted line block represents the concave effect D. The effect of the RC-deiay effect is increasing as the wire density continues to increase and the wire width shrinks. Resistor-capacitance Hysteresis reduces the speed of signal transmission, increased cross talk noise (Cross talk n〇iSe), and increased power consumption. In order to reduce the effect of RC delay, the aluminum wire process is replaced by a copper wire process. The copper wire 921a has a low hardness and is not easily formed into a self-protecting oxide layer, and the material removal rate of the barrier layer 921b and the steel wire 921a is different. Therefore, in the polishing process, the copper wire 921a and the barrier layer 921b often undergo excessive polishing, and a recess effect D is generated. Second, "Erosion effect": After the wire density is increased and the wire width is reduced, the width of the insulating layer 921c is reduced, so that the strength of the insulating layer 921c is lowered. In the polishing process, the insulating layer 921c often undergoes over Polishing to produce a rice cooking effect e. 200908118 Depression effect (Thr〇ugh〇ut) reduction: In the polishing process, the defect rate. The effect of the residual effect E often occurs at the same time, and the way to solve the product is greatly affected by the reduction of the wafer 921 by the polishing pad 911 shoe effect Ε (about 1 ~ plus between) 'to reduce the depression effect D and the rot Increased the bureau cry, the pressure drops, will lead to a decline in material removal rate. Although ^°°, “flatness, but reduced productivity (Throughout). It is difficult to integrate the steel wire system”: In order to reduce the resistance delay effect,

争1 I裎取代鋁導線製程外。絕緣層931c之材質 又^用低j丨曹 低介電;::、L〇w-K )材料’降低阻容遲滯效應。然而, _ !!料具有低勁度、低破壞韌性、低硬度及不穩定等 貝若為了提高低介電材料之硬度 ,而加入石夕酸 (siheate) ’則將導致破壞韌性降低。然而,對於化學機 械抛光製程而言,破壞韌性必須大於拋光過程所產生之磨 擦力。此外’一般低介電材料無法承受高溫,且具有較高 之熱膨脹係數。因此在拋光製程中,低介電材料容易黏著 於異質材料,而發生剝離之現象。 第五、「良率降低且成本增加」:如上所述,傳統之化 學機械拋光裝置9〇〇及其拋光方法經常造成產品的不良。 不良產品無法進行補償修復’必須以報廢處理。如此不但 大幅降低良率’更增加許多製造成本。 【發明内容】 有鑑於此,本發明的目的就是在提供一種化學機械拋 光裝置及其化學機械拋光方法,其利用調整正向壓力之方 200908118 式,使得化學機械拋光裝置及其化學機械拋光方法至少具 有「避免凹陷效應」、「避免腐蝕效應」及「避免Low-K及 銅材料剝離」等優點。 根據本發明之一目的,提出一種化學機械拋光裝置。 化學機械抛光裝置包括一承載平台、一研磨平台、一研磨 液注入器及一控制單元。承載平台用以承載一矽晶圓。承 載平台係帶動石夕晶圓以一第一中心軸為軸心自轉。研磨平 台係貼附一拋光墊。研磨平台係帶動拋光墊朝矽晶圓施加 (一正向壓力,並帶動抛光塾以第二中心轴為轴心自轉。研 磨液注入器用以注入一研磨液於矽晶圓及拋光墊之間。控 制單元用以調整矽晶圓受到拋光墊之正向壓力大小,以改 變拋光墊及矽晶圓之三種型態接觸模式。第一種為液動壓 接觸模式(Hydrodynamic-contact Mode),第二種為半接觸 模式(Semi-contact Mode),第三種為全接觸模式(Contact Mode)。依據矽晶圓上不同的材料特性選擇不同的接觸模 式,以調整矽晶圓之表面移除率。藉由液體壓力場與拋光 墊正向壓力之間的相互平衡,以拋光矽晶圓。 根據本發明另一目的,提出一種化學機械拋光方法。 化學機械拋光方法至少包括以下步驟:(a)帶動一拋光墊 朝石夕晶圓施加一正向壓力;(b )帶動石夕晶圓以一第一中心 軸為軸心自轉,並帶動拋光墊以第二中心軸為軸心自轉; (c)注入一研磨液於拋光墊及矽晶圓之間;(d)調整矽 晶圓受到拋光墊之正向壓力大小,以改變拋光墊及矽晶圓 之接觸模式,並改變矽晶圓之移除率。藉由液體壓力場與 9 200908118 1 vv j 1 ϋ Μ 扎光墊正向壓力之間的相互平衡,以撤光矽晶圓。其中本 方法的輸人參數包含拋光墊轉速、梦晶圓/研磨平台轉速、 拖光墊施加於石夕晶圓上之正向璧力,石夕晶圓與抛光塾的間 距;輸出的參數包含液體壓力,拋光墊施加於矽晶圓上之 正向力,剪切力等。 “為讓本發明之上述目的、特徵、和優點能更明顯易 懂,下文特舉較佳實施例,並配合所附圖式,作詳細說明 如下: 【實施方式】 第一實施例 請參照第3圖,其繪示依照本發明第一實施例之化學 機械拋光I置100之示意圖。化學機械拋光裝置1〇〇包括 一承載平台 11〇、一研磨平台12〇、一研磨液注入器13〇 及一控制單元140。承載平台110用以承載一矽晶圓ln。 承載平台11〇係帶動矽晶圓ιη以一第一中心軸LU〇為 軸心自轉。研磨平台! 2〇係貼附一拋光墊丨2丨。在本實施 例中,研磨平台120係位於承載平台11〇之下方,並且研 磨平台120係大於承載平台11〇。一正向壓力F係施加於 ,載平台11〇及研磨平台120之間,以使拋光墊121及矽 曰曰圓111緊岔接觸。研磨平台120並帶動拋光整121以第 一中心軸L120為軸心自轉。一般而言,拋光墊121及承 載平台110之旋轉方向相反。透過承載平台110及拋光墊 121的旋轉,矽晶圓ln及拋光墊121之間具有一相對速 200908118 度VR。研磨液注入$ 13〇則用以注入一研磨液i3i於石夕 晶圓111及拋光墊121之間,以進行拋光製程。 凊參照第4圖,其繪示第3圖之拋光墊121與矽晶圓 111位於杈小的正向壓力F與較小的液體壓力場p示意 圖。研磨液131 II由相對速度VR形成一液體壓力場p。 液,壓力場P係分佈於石夕晶圓111上,以抛光石夕晶圓1U。 如第3圖所不,控制單元14〇係用以調整正向壓力f及影 響液動壓力場P之相對速度VR。 如上所述,在研磨過程中,矽晶圓lu所受到的壓力 係來自於拋光墊m所施加之正向壓力F與液體壓力場P。 請參照第5圖,其緣示帛4圖之抛光塾i2i與石夕晶圓 111位於較大的正向壓力F與較大的液體壓力場P示音 圖。在導線密度不斷增加,且導線寬度不斷縮小的趨‘勢 下。即使石夕晶圓⑴採用銅導線製程,或者石夕晶圓⑴之 絕緣層寬度縮小’切晶圓lu之絕緣層的材質採用一低 介電(Low-K)材料的情況下。當研磨平台12〇轉速較高 產生較大的液體壓力場P時,係可減少銅導線或絕緣層盘 拋光墊121表面的接觸面積,降低正向壓力f進而減少摩 擦力,以避免發生過度拋光或破壞之現象,因此可減少凹 陷效應、腐蝕效應及絕緣層剝離之現象 之介電κ值並非用以限定本發明之應用範圍 電Κ值小於5之材料定義為低介電材料。 以下細帛6圖之雜祕配上敎結構說明本發 明之化學機械拋光方法: 2009081181 I replaced the aluminum wire process. The material of the insulating layer 931c is further reduced by the low dielectric constant:::, L〇w-K) material to reduce the resistance delay hysteresis effect. However, _!! materials have low stiffness, low failure toughness, low hardness and instability, etc. In order to increase the hardness of low dielectric materials, the addition of siheate will result in reduced fracture toughness. However, for chemical mechanical polishing processes, the fracture toughness must be greater than the friction generated by the polishing process. In addition, 'generally low dielectric materials cannot withstand high temperatures and have a high coefficient of thermal expansion. Therefore, in the polishing process, the low dielectric material is likely to adhere to the foreign material, and peeling occurs. Fifth, "decreased yield and increased cost": As described above, the conventional chemical mechanical polishing device 9 and its polishing method often cause product defects. Bad products cannot be compensated for repairs ‘ must be disposed of at the end of life. Not only does this significantly reduce yields, but it also adds to many manufacturing costs. SUMMARY OF THE INVENTION In view of the above, an object of the present invention is to provide a chemical mechanical polishing device and a chemical mechanical polishing method thereof, which utilizes a method of adjusting a forward pressure of a type 200908118, so that the chemical mechanical polishing device and the chemical mechanical polishing method thereof are at least It has the advantages of "avoiding the effect of dents", "avoiding corrosion effects" and "avoiding the peeling of Low-K and copper materials". According to one aspect of the invention, a chemical mechanical polishing apparatus is proposed. The chemical mechanical polishing apparatus includes a carrier platform, a polishing platform, a slurry injector, and a control unit. The carrier platform is used to carry a stack of wafers. The loading platform drives the Shishi wafer to rotate with a first central axis as the axis. A polishing pad is attached to the polishing platform. The polishing platform drives the polishing pad to apply to the crucible wafer (a forward pressure and drives the polishing crucible to rotate with the second central axis as an axis. The slurry injector is used to inject a polishing liquid between the crucible wafer and the polishing pad. The control unit is used to adjust the positive pressure of the polishing pad by the polishing pad to change the three types of contact modes of the polishing pad and the silicon wafer. The first type is the Hydrodynamic-contact mode (second). The type is Semi-contact Mode, and the third is Contact Mode. Different contact modes are selected according to different material properties on the wafer to adjust the surface removal rate of the germanium wafer. The silicon wafer is polished by the mutual balance between the liquid pressure field and the positive pressure of the polishing pad. According to another object of the present invention, a chemical mechanical polishing method is proposed. The chemical mechanical polishing method includes at least the following steps: (a) driving A polishing pad applies a forward pressure to the Shixi wafer; (b) drives the Shixi wafer to rotate with a first central axis as an axis, and drives the polishing pad to rotate with the second central axis as an axis; (c) injecting a slurry between the polishing pad and the germanium wafer; (d) adjusting the positive pressure of the polishing pad by the polishing pad to change the contact pattern of the polishing pad and the germanium wafer, and changing the germanium wafer The removal rate is achieved by the liquid pressure field and the balance between the positive pressure of the light pad and the positive pressure of the light pad to remove the wafer. The input parameters of the method include the polishing pad rotation speed, The speed of the dream wafer/grinding platform, the positive force exerted by the dragging pad on the Shixi wafer, the spacing between the Shixi wafer and the polishing crucible; the output parameters include the liquid pressure, and the polishing pad is applied to the silicon wafer. Positive Forces, Shear Forces, etc. "In order to make the above objects, features, and advantages of the present invention more comprehensible, the following detailed description of the preferred embodiments and the accompanying drawings MODE FOR CARRYING OUT THE INVENTION Referring to Figure 3, there is shown a schematic view of a chemical mechanical polishing I set 100 according to a first embodiment of the present invention. The chemical mechanical polishing apparatus 1A includes a carrying platform 11A and a grinding platform 12 〇, a slurry injector 13 and a The unit 140 is used to carry a stack of wafers ln. The load-bearing platform 11 is used to drive the wafers to rotate with a first central axis LU〇 as an axis. The grinding platform! In this embodiment, the grinding platform 120 is located below the carrying platform 11〇, and the grinding platform 120 is larger than the carrying platform 11〇. A forward pressure F is applied to the loading platform 11〇 and the grinding platform 120. In between, the polishing pad 121 and the dome 111 are in close contact with each other. The polishing platform 120 drives the polishing plate 121 to rotate about the first central axis L120. Generally, the polishing pad 121 and the rotation of the bearing platform 110 In the opposite direction, through the rotation of the carrying platform 110 and the polishing pad 121, the relative speed between the wafer ln and the polishing pad 121 has a relative speed of 200,908,118 degrees VR. The injection of $13 Å into the slurry is used to inject a slurry i3i between the Shihwa wafer 111 and the polishing pad 121 for the polishing process. Referring to Fig. 4, there is shown a schematic view of the polishing pad 121 and the crucible wafer 111 of Fig. 3 at a reduced forward pressure F and a smaller liquid pressure field p. The slurry 131 II forms a liquid pressure field p from the relative velocity VR. The liquid and pressure field P are distributed on the Shixi wafer 111 to polish the stone wafer 1U. As shown in Fig. 3, the control unit 14 is used to adjust the forward pressure f and the relative velocity VR of the hydraulic pressure field P. As described above, during the grinding process, the pressure applied to the crucible wafer lu is derived from the forward pressure F and the liquid pressure field P applied by the polishing pad m. Referring to Fig. 5, the polishing 塾i2i and the Shixi wafer 111 of Fig. 4 are located at a large positive pressure F and a large liquid pressure field P. In the trend of increasing wire density and decreasing wire width. Even if the Shixi wafer (1) uses a copper wire process, or the insulation layer width of the Shixi wafer (1) is reduced, the material of the insulating layer of the wafer is a low dielectric (Low-K) material. When the grinding platform 12 has a high rotational speed to generate a large liquid pressure field P, the contact area of the surface of the copper wire or the insulating disk polishing pad 121 can be reduced, and the forward pressure f can be reduced to reduce the friction to avoid excessive polishing. Or the phenomenon of destruction, so that the dielectric κ value which can reduce the phenomenon of sag effect, corrosion effect and peeling of the insulating layer is not limited to the application range of the present invention. The material having a Κ value of less than 5 is defined as a low dielectric material. The following is a description of the chemical structure polishing method of the present invention:

望Λ 照第6®及第3圖’第6輯示依照本發明 :::、::化學機械拋光方法之流程圖。化學機械拋光方 ,包括以下步驟:首先’在步驟6〇1巾,施加正向壓 力F㈣光塾121及石夕晶圓⑴之間。一般而言,抛光藝 121叉到擠壓的程度越λ,所施加於石夕晶圓⑴之正向壓 力f越大。換句話說,研磨平台12G與承載平台11〇之間 距G越小,抛光墊121戶斤受到之擠壓程度越大且正向壓力 F越大。反之,研磨平台12〇與承載平台ιι〇之間距g越 大’拋光墊120所受到之擠壓程度越小且正向壓力f越小。 、斤同犄,在步驟6〇1中,承載平台11〇帶動矽晶圓I。 以第一中心軸L11〇為軸心自轉,並且研磨平台12〇帶動 拋光塾121卩第二中心軸L120為軸心自轉。使得石夕晶圓 111及拋光墊121之間具有相對速度VR。其中,承載平台 110係帶動矽晶圓lu以一第一轉速Vlu自轉。研磨平: 120係帶動拋光墊121以一第二轉速V121自轉。第一轉 速V111及第—轉速V121之大小係直接影響到相對速度 VR之大小。 同日T,在步驟601中,並以研磨液注入器13〇注入研 磨液131於拋光墊121及矽晶圓lu之間。如上所述,研 磨液131藉由相對速度VR形成液體壓力場p。在拋光過 私中,相對速度VR的改變可能改變液體壓力場p。 接著’在步驟(d)中,上述之控制單元140調整正 向壓力F大小及相對速度VR大小,以改變拋光墊121及 石夕晶圓111之接觸模式,並改變矽晶圓111之移除率。 12 200908118 〃申拋光墊121及1〗1石夕晶圓之間至少包含三種接觸 模式: ()/夜動壓接觸模式(Hydrodynamic-contact Mode)」.在液動壓接觸模式中,拋光墊12丨及矽晶圓I。 未接觸,矽晶圓1U並具有一第一移除率; (2 )半接觸模式(semi_c〇ntact M〇cje)」:在半接觸 杈式中,拋光墊121及矽晶圓lu部分接觸,矽晶圓lu 並具有一第二移除率; f、 (3)王接觸模式(c〇ntactM〇de)」:在全接觸模式 中,拋光墊121及矽晶圓lu完全接觸,矽晶圓lu並具 有一第三移除率。 其中,第一移除率&lt;第二移除率〈第三移除率。在研 磨過程中’可不斷地調整正向壓力F及影響液動壓力場p 之相對速度VR,即可順利的將矽晶圓及拋光墊之間的接 觸模式更改為「液動壓接觸模式」、「半接觸模式」或「全 〇 接觸模式」。由於二種接觸模式對應於三種不同程度的移 除率,因此在研磨過程中,移除率亦可依據需要而不斷改 變〇 此外,調整正向壓力F之方法包括:調整研磨平台 120與承載平台之間距G,或者增加研磨平台或 承載平台110之推力等等。調整相對速度¥11之方法包括: °周整第一轉速V111或第二轉速vi2i等等。 如上所述,控制單元140即可隨著拋光過程即時地調 整正向壓力F及相對速度VR,以使石夕晶圓111獲得一均 13 200908118 x TT_/1W/1 2 \ 勻之液體壓力場P而產生等量之變形。 此外,若矽晶圓m之凹陷效應D及腐蝕效應E嚴 重時。控制單元140仍可透過降低正向壓力F並提高相對 速度VR及液動壓力場P,而獲得較大之移除率。藉此, 不僅可避免矽晶圓Π1在拋光過程所發生的凹陷效應D及 腐蝕效應E,更可維持一定之移除速率。 第二實施例 f ' 請參照第7圖,其繪示依照本發明第二實施例之化學 機械拋光裝置200之示意圖。本實施例之化學機械拋光裝 置200與第一實施例之化學機械拋光裝置100不同之處在 於:本實施例之化學機械拋光裝置200更包括一平坦度感 測器250及至少一補償研磨平台260。補償研磨平台260 係貼附一補償拋光墊261。且本實施例之承載平台210及 及矽晶圓211係位於下方,研磨平台220、研磨墊221、 補償研磨平台260及補償研磨墊261係位於上方。其餘相 U ^ 同之處不再重述。 請同時參照第7圖及第8圖,第8圖繪示依照本發明 第二實施例之化學機械拋光方法流程圖。化學機械拋光方 法更包括步驟803及步驟804。在步驟803中,平坦度感 測器250係可感測矽晶圓211之平坦度。並且在步驟804 中,補償研磨平台260係依據矽晶圓211之平坦度補償研 磨矽晶圓211。補償研磨平台260係僅針對較不平坦之區 域來進行補償研磨。藉此,在追求良好之全域性平坦度的 14 200908118 ‘ * · -«·«v, 》 同時,更能避免部分區域過度拋光之現象。 第三實施例 請參照第9圖’其♦示本發明第三實施例之化學機械 抛,裝置300之不意圖。承載平台31〇係帶動石夕晶圓3ιι 以第-轉速V311自轉’研磨平台32〇係帶動拋光墊321 以第二轉速V321自轉。 广 請參照第10A圖及第10B圖,第1〇A圖繪示石夕晶圓 ^ 3U之俯視圖’帛1〇B圖綠示石夕晶圓3ιι之側視圖。如第 10A圖所示’石夕晶圓311具有N個晶圓子區域^^。 如第10B圖所示,各個晶圓子區域有第一厚度 &lt; z-i〜v。由於矽晶圓3Π之表面並不平整,故此些第一 厚度心/ = 1~7^並不完全相等。 印參照第11A〜11B圖,其繪示矽晶圓311與拋光墊 321之各種側視圖。在矽晶圓311及拋光墊32ι轉動過程 j中,各個晶圓子區域*U接觸拋光塾321之位置隨時 ^都在改變。定義某-時刻崎,對應於晶圓子區域^· = υ 之拋光塾具有第一厚度㈣= 。舉例來說,在第似 圖及第11Β圖中’晶圓子區域%所對應的第一厚度&lt; 相 同’但第二厚度心⑴不同。 。更詳細的說,請參照第12Α圖,其纷示對應於晶圓 子區域%之第二厚度W的變化關係曲線。在㈤時,晶圓 =區域%對應於拋光墊之位置具有第二厚度⑽。在 時,晶圓子區域%對應於拋光墊之位置具有第二厚度 15 200908118 ,狀5)。在,= Η).7時,晶圓子區域%對應於拋光墊之位置具有 第二厚度4(1〇J)。也就是說,隨著石夕晶圓3ιι及抛光塾切 的轉動,對應於晶圓子區域%之第二厚度㈣係不斷變化。 請參照第12B圖’其繪示對應於晶圓子區·2之第二 ^度㈣的變化關係曲線。當然,對應於晶圓子區域%之 第二厚度勾(〇亦不斷變化。依此類推,Ν個晶圓子區域 …卜_應於Ν個第二厚度顿*政變化關係曲 線。 在拋光過知中’控制單元34〇則依據對應各個晶圓子 區域= 1〜#之各個第一厚度心/ = 1〜V及第二厚度 = 來調整各個晶圓子區域Κ,· = ΐ〜#受到拋光墊321 之正向壓力圩^丨〜…大小,以改變拋光墊321及各個晶圓 子區域町/ = 1〜V之接觸模式,並改變各個晶圓子區域 衿/ =丨〜#之移除率。 ,請參照第13圖,其繪示依照本發明第三實施例之化 學機械拋光方法的流程圖。 y 、, 首先如第14圖所示,其繒'示全平坦之一虛擬晶圓 8〇〇之示意圖。在步驟13〇1中,提供全平坦之虛擬晶圓 8〇〇。虛擬晶圓800具有數個虛擬子區域%·,卜卜v,虛擬晶 圓800之各虛擬子區域%·,,· = 〖〜#對應於矽晶圓Μ〗之各個 晶圓子區域%,/ = 1〜W。 接著,如第14圖所示,在步驟1302中,設置數個量 測器々hi〜w於各個虛擬子區域%·,; = ι〜#。 j後如第15圖所示,其繪示第丨3圖之步驟丨303 16 200908118 之示意圖。在步驟1303中,帶動虛擬晶圓以第一中心軸 L310為軸心自轉,並帶動拋光墊321以第二中心軸l32〇 為轴心自轉。由於各虛擬子區域&amp;/ = 1〜#係對應於各個晶 圓子區域衿/ = 1〜。因此對應於各虛擬子區域心/ = 1〜#之 拋光塾321亦具有第二厚度= l〜V。隨著虛擬晶圓800 及拋光墊321的轉動,對應於各虛擬子區域心/ = 1〜#之第 二厚度‘(〇, / = 1〜#係不斷變化。 同時,在步驟1303中,並以各量測器= i〜at預先量 i 測各第二厚度Α(〇,,· = 1〜ν之變化關係。 然後,在步驟1304中,儲存各第二厚度4(i)w. = 1〜〜之 變化關係。 接著’如第16圖所示’其緣示第13圖之步驟1305 之不思圖。在步驟13〇5中,將矽晶圓311承載於承載平 台310上,並施加正向壓力F,,. = i〜w於拋光墊32丨及矽晶 圓311之間。 〇 同時,在步驟1305中,並帶動矽晶圓311以第一中 〜軸L310為軸心自轉,並帶動拋光墊32丨以第二中心軸 L320為車由心自轉。 同時,在步驟1305中,並注入研磨液131於拋光墊 3 21及石夕晶圓311之間。 接著,在步驟1306中,依據對應各個晶圓子區域 w之各個第—厚度々卜丨〜#及各個第二厚度 變化關係來調整各個晶圓子區域W = 受 到九光墊之正向壓力々卜U大小,卩改變抛光塾與各晶 17 200908118 圓子區域阶,=丨〜y之接網 ^.t , 接觸杈式,並改變各晶圓子區域 Κ,= ι〜v之移除率。 也就是說,本實施例之名^ 化關位介 固第一居度心(〇’丨=1〜#之變 化關係亦可透過虛擬晶圓 交 此第_ 圓υυ果預先罝測,亚加以儲存。 奶γ ώ子又⑻之變化關係與拋光墊321之選用相 關’但與矽晶圓31丨 ^ 圓之、用热關。因此,當操作者欲對不 间之石夕晶圓3311進扞彻#制p。士 ^ 〇?1 ^ 仃扎先製耘時,只要採用一樣的拋光 關係。 均可採用相同的第二厚度⑽,、1〜&quot;之變化 雖然上述實施例係以量測之方式獲得第二厚度 C = U之變化關係、’然本發明之實施方式並非舰於 :來=夂控制單元亦可係依據第一轉速或第二轉速的計 -末心仔各個晶圓子區域%/=1 ^之第二厚度 的變化關係。 ,本發明上述實施觸揭露之化學機械拋光裝置及其 化學機械拋光方法係利用調整正向壓力之方式,使得化學 機械拋光裝置及其化學機械拋光方法具有多項優點,以^ 僅列舉部分優點如下: 第、避免凹陷效應」:在拋光過程中,透過調整正 向壓力,即可獲得均自賴龍力場。即㈣晶圓採用銅 導線,在⑦晶圓之拋光過程中’ +容易對銅導線及阻障層 產生過度拋光之現象。因此,本發明可有效避免凹陷效應。 第二、「避免腐蝕效應」:另外’在導線密度增加且導 200908118 1 vr i u / ί γλ. 線寬度縮小的趨勢下。即使絕緣層之寬度縮小,在拋光過 程中,均勻之液體壓力場不易對絕緣層發生過渡拋光之現 象。因此,本發明可有效避免腐蝕效應。 第三、「避免Low-K及銅材料剝離於矽晶圓」:透過 正向壓力的調整,即可改變三種不同型態的接觸模式。因 此,在研磨過程中,即可對應不同的材料,調整移除率。 尤其是,對於容易黏著於拋光墊之Low-K及銅材料,更可 減少Low-K及銅材料與拋光整之黏著程度,而避免Low-K ^ 及銅材料與砍晶圓剝離。 综上所述,雖然本發明已以較佳實施例揭露如上,然 其並非用以限定本發明。本發明所屬技術領域中具有通常 知識者,在不脫離本發明之精神和範圍内,當可作各種之 更動與潤飾。因此,本發明之保護範圍當視後附之申請專 利範圍所界定者為準。 ί 19 200908118 【圖式簡單說明】 ,1圖繪示一種傳統之化學機械拋光裝置之示意圖; 第2圖繪示一種凹陷效應及腐蝕效應之示意圖; 第3圖繪示依照本發明第一實施例之化學機械拋光 裝置之示意圖; 第4圖繪示第3圖之拋光墊與矽晶圓位於較小的正向 壓力與較小的液體壓力場示意圖; f, 第5圖繪示第4圖之拋光墊與矽晶圓位於較大的正向 1 壓力F與較大的液體壓力場p示意圖; 第6圖繪示依照本發明第一實施例化學機械拋光方 法之流程圖; 第7圖繪不依照本發明第二實施例之化學機械拋光 I置之不意圖; 第8圖繪示依照本發明第二實施例之化學機械拋光 方法流程圖; f 第9圖繪示本發明第三實施例之化學機械拋光裝置 ^ 之示意圖 第10A圖繪示矽晶圓之俯視圖; 第10B圖繪示矽晶圓之侧視圖; 第11A〜11B圖繪示矽晶圓與拋光墊之各種側視圖; 第12A圖緣示對應於晶圓子區域%之第二厚度屯⑺的 變化關係曲線; 第12B圖繪不對應於晶圓子區域%之第二厚度‘⑴的 變化關係曲線; 20 200908118 第13圖繪示依照本發明第三實施例之化學機械拋光 方法的流程圖; 第14圖繪示全平坦之一虛擬晶圓之示意圖; 第15圖繪示第13圖之步驟1303之示意圖;以及 第16圖繪示第13圖之步驟1305之示意圖。 【主要元件符號說明】 100、200、300、900 :化學機械拋光裝置 110、 210、310、920 :承載平台 111、 211、311、921 :矽晶圓 120、 220、320、910 :研磨平台 121、 221、321、911 :拋光墊 130、 930 :研磨液注入器 131、 931 :研磨液 140、240、340 :控制單元 250 :平坦度感測器 260 :補償研磨平台 261 :補償拋光墊 800 .虛擬晶圓 921a :銅導線 921b :阻障層 921c :絕緣層 D :凹陷效應 E :腐餘效應 21 200908118 F:正向壓力 G :間距 L120、L310、L920 :第二中心軸 L110、L320、L910 :第一中心軸 P:液體壓力場 Vlll、V311 :第一轉速 V121、V321 :第二轉速 VR:相對速度 (' % :晶圓子區域 K :虛擬子區域 &lt; :第一厚度 ‘⑴:第二厚度 A :量測器Λ Λ Photographs 6® and 3′′, Section 6 shows a flow chart of a chemical mechanical polishing method in accordance with the present invention :::, :::. The chemical mechanical polishing method comprises the following steps: first, between the forward pressure F (four) diaphragm 121 and the Shi Xi wafer (1), in step 6. In general, the more the degree of rubbing of the polishing art 121 is to λ, the greater the forward pressure f applied to the Shihua wafer (1). In other words, the smaller the distance G between the grinding table 12G and the carrying platform 11〇, the greater the degree of squeezing of the polishing pad 121 and the greater the forward pressure F. On the contrary, the larger the distance g between the grinding table 12A and the carrying platform ιι, the smaller the degree of squeezing of the polishing pad 120 and the smaller the forward pressure f. In the step 6〇1, the carrying platform 11〇 drives the wafer I. The first central axis L11 自 is rotated as an axis, and the polishing table 12 〇 drives the polishing 塾 121 卩 the second central axis L120 to rotate the axis. The relative velocity VR is provided between the Shixi wafer 111 and the polishing pad 121. Wherein, the carrying platform 110 drives the silicon wafer lu to rotate at a first rotational speed Vlu. Grinding flat: The 120 series drives the polishing pad 121 to rotate at a second rotational speed V121. The magnitudes of the first speed V111 and the first speed V121 directly affect the magnitude of the relative speed VR. On the same day T, in step 601, the polishing liquid 131 is injected into the polishing pad 131 between the polishing pad 121 and the germanium wafer lu. As described above, the grinding fluid 131 forms the liquid pressure field p by the relative velocity VR. In polishing, the change in relative velocity VR may change the liquid pressure field p. Then, in the step (d), the control unit 140 adjusts the magnitude of the forward pressure F and the relative velocity VR to change the contact mode of the polishing pad 121 and the Shihua wafer 111, and change the removal of the silicon wafer 111. rate. 12 200908118 There are at least three contact modes between the polishing pads 121 and 1 and 1 stone wafer: () / Hydrodynamic-contact Mode. In the hydraulic pressure contact mode, the polishing pad 12丨 and 矽 Wafer I. Untouched, wafer 1U has a first removal rate; (2) semi-contact mode (semi_c〇ntact M〇cje): in the semi-contact type, the polishing pad 121 and the germanium wafer lu are partially in contact,矽wafer lu has a second removal rate; f, (3) king contact mode (c〇ntactM〇de): in the full contact mode, the polishing pad 121 and the germanium wafer lu are completely in contact with each other, the germanium wafer Lu also has a third removal rate. Wherein the first removal rate &lt;second removal rate <the third removal rate. During the grinding process, the forward pressure F and the relative velocity VR affecting the hydraulic pressure field p can be continuously adjusted, and the contact mode between the silicon wafer and the polishing pad can be smoothly changed to the "hydraulic pressure contact mode". , "half contact mode" or "full contact mode". Since the two contact modes correspond to three different degrees of removal rate, the removal rate can be continuously changed as needed during the grinding process. Further, the method of adjusting the forward pressure F includes: adjusting the grinding platform 120 and the carrying platform The distance between the G, or the thrust of the grinding platform or the carrying platform 110, and the like. The method of adjusting the relative speed of ¥11 includes: °rounding the first rotational speed V111 or the second rotational speed vi2i, and the like. As described above, the control unit 140 can instantly adjust the forward pressure F and the relative velocity VR with the polishing process, so that the Shixi wafer 111 obtains a liquid pressure field of 13 200908118 x TT_/1W/1 2 \ P produces an equal amount of deformation. In addition, if the depression effect D and the corrosion effect E of the wafer m are severe. The control unit 140 can still obtain a large removal rate by reducing the forward pressure F and increasing the relative speed VR and the hydraulic pressure field P. Thereby, not only the dent effect D and the corrosive effect E of the Π wafer Π1 during the polishing process can be avoided, but also a certain removal rate can be maintained. Second Embodiment f ' Referring to Figure 7, there is shown a schematic view of a chemical mechanical polishing apparatus 200 in accordance with a second embodiment of the present invention. The chemical mechanical polishing device 200 of the present embodiment is different from the chemical mechanical polishing device 100 of the first embodiment in that the chemical mechanical polishing device 200 of the present embodiment further includes a flatness sensor 250 and at least one compensation polishing platform 260. . The compensation polishing table 260 is attached with a compensation polishing pad 261. The carrier platform 210 and the germanium wafer 211 of the present embodiment are located below, and the polishing platform 220, the polishing pad 221, the compensation polishing platform 260, and the compensation polishing pad 261 are located above. The rest of the phase U ^ is no longer repeated. Please refer to FIG. 7 and FIG. 8 simultaneously. FIG. 8 is a flow chart showing a chemical mechanical polishing method according to a second embodiment of the present invention. The chemical mechanical polishing method further includes steps 803 and 804. In step 803, the flatness sensor 250 senses the flatness of the germanium wafer 211. And in step 804, the compensation polishing table 260 compensates for the polishing of the wafer 211 in accordance with the flatness of the silicon wafer 211. The compensation grinding platform 260 performs compensation grinding only for the less flat regions. In this way, in the pursuit of good global flatness 14 200908118 ‘ * · -«·«v, 》 at the same time, it is more able to avoid excessive polishing in some areas. THIRD EMBODIMENT Referring to Figure 9, there is no intention of a chemical mechanical polishing apparatus according to a third embodiment of the present invention. The carrying platform 31 drives the Shishi wafer 3 ιι to rotate at the first speed V311. The grinding platform 32 drives the polishing pad 321 to rotate at the second rotational speed V321. Please refer to the 10A and 10B drawings. The first 〇A diagram shows the side view of the Shixi wafer ^ 3U's top view 帛1〇B green shixi wafer 3 ιι. As shown in Fig. 10A, the Shishi wafer 311 has N wafer sub-regions ^^. As shown in Fig. 10B, each of the wafer sub-regions has a first thickness &lt;z-i~v. Since the surface of the crucible wafer 3 is not flat, the first thickness centers / = 1~7^ are not completely equal. Referring to Figures 11A to 11B, various side views of the wafer 311 and the polishing pad 321 are shown. In the process of rotating the wafer 311 and the polishing pad 32, the position of each of the wafer sub-regions *U contacting the polishing pad 321 is changed at any time. Defining a certain time, the polished 对应 corresponding to the wafer sub-area ^· = 塾 has a first thickness (four) = . For example, in the first and the eleventh figures, the first thickness corresponding to the wafer sub-area % is the same as the second thickness core (1). . In more detail, please refer to Fig. 12, which shows a variation curve corresponding to the second thickness W of the wafer sub-area %. At (f), the wafer = area % corresponds to the position of the polishing pad having a second thickness (10). At the time, the wafer sub-area % corresponds to the position of the polishing pad having a second thickness 15 200908118, shape 5). At the time of = Η).7, the wafer sub-area % has a second thickness 4 (1 〇 J) corresponding to the position of the polishing pad. That is to say, with the rotation of the Shi Xi wafer 3 ιι and the polishing cut, the second thickness (four) corresponding to the % of the wafer sub-area is constantly changing. Referring to Figure 12B, the relationship curve corresponding to the second degree (four) of the sub-area of the wafer is shown. Of course, the second thickness hook corresponding to the % of the wafer sub-region (the 〇 is also constantly changing. And so on, a wafer sub-region... _ should be in a second thickness * 变化 change relationship curve. The control unit 34 adjusts each of the wafer sub-regions according to the respective first thickness centers /= 1 to V and the second thickness = corresponding to each of the wafer sub-regions = 1 to #, and is replaced by the polishing pad 321 The forward pressure 圩 ^ 丨 ~ ... size to change the contact pattern of the polishing pad 321 and each wafer sub-area / = 1 ~ V, and change the removal rate of each wafer sub-area 衿 / = 丨 ~ #, please refer to Figure 13 is a flow chart showing a chemical mechanical polishing method according to a third embodiment of the present invention. y, first, as shown in Fig. 14, a schematic diagram showing a fully flat virtual wafer 8 In step 13〇1, a fully flat virtual wafer 8〇〇 is provided. The virtual wafer 800 has a plurality of virtual sub-areas %·, Bubv, virtual sub-areas of the virtual wafer 800%·,·· = 〖~# corresponds to % of the wafer sub-area of the wafer Μ, / = 1~W. As shown in Fig. 14, in step 1302, a plurality of measuring devices 々hi~w are set in the respective virtual sub-areas %·,; = ι~#. j is shown in Fig. 15, which shows the third 3 is a schematic diagram of the steps 丨 303 16 200908118. In step 1303, the virtual wafer is driven to rotate with the first central axis L310 as the axis, and the polishing pad 321 is driven to rotate with the second central axis l32 〇 as the axis. The virtual sub-areas &amp; / = 1 ~ # corresponds to each wafer sub-area 衿 / = 1 ~. Therefore, the polishing 塾 321 corresponding to each virtual sub-region heart / = 1 ~ # also has a second thickness = l ~ V. As the virtual wafer 800 and the polishing pad 321 rotate, a second thickness corresponding to each virtual sub-region heart / = 1 to #' (〇, / = 1~# is constantly changing. Meanwhile, in step 1303, and The relationship between the respective second thicknesses 〇(〇,,·==1~ν) is measured by each measuring device = i~at pre-measurement i. Then, in step 1304, each second thickness 4(i)w. The change relationship of 1~~. Next, as shown in Fig. 16, the reason is shown in step 135 of Fig. 13. In step 13〇5, the germanium wafer 311 is carried on On the loading platform 310, a forward pressure F, . . . , i~w is applied between the polishing pad 32 and the germanium wafer 311. Meanwhile, in step 1305, the germanium wafer 311 is driven to the first medium~ The shaft L310 is self-rotating of the shaft, and drives the polishing pad 32 to rotate from the center of the second central axis L320. Meanwhile, in step 1305, the polishing liquid 131 is injected between the polishing pad 3 21 and the stone wafer 311. Next, in step 1306, each wafer sub-area is adjusted according to the respective thickness-relevant relationship of each of the wafer sub-regions w and the respective second thickness variation relationship W = the positive pressure of the nine-light pad U size, 卩 change polished 塾 and each crystal 17 200908118 round sub-area, = 丨 ~ y connected network ^.t, contact 杈, and change the wafer area Κ, = ι ~ v removal rate. That is to say, the name of the embodiment is used to mediate the first residence degree (the relationship between 〇'丨=1~# can also be pre-measured through the virtual wafer. The change of milk γ ώ 又 又 8 8 8 8 8 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321 321捍彻#制p.士^ 〇?1 ^ When 仃 先 先 , , , , , 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先 先The method of measuring obtains the change relationship of the second thickness C=U, 'the embodiment of the invention is not the ship: the = control unit can also be based on the first speed or the second speed - the end of each wafer The relationship between the second thickness of the region %/=1 ^. The chemical mechanical polishing device and the chemical mechanical polishing method of the above-mentioned embodiment of the present invention utilize a method of adjusting the forward pressure to make the chemical mechanical polishing device and the chemical mechanical device thereof. The polishing method has several advantages, so that only some of the advantages are listed. Bottom: No., avoiding the dent effect: In the polishing process, by adjusting the forward pressure, the force field can be obtained from the Lailong force field. That is, (4) The wafer is made of copper wire, and during the polishing process of 7 wafers, it is easy to copper wire. And the barrier layer is excessively polished. Therefore, the present invention can effectively avoid the dent effect. Second, "avoid corrosion effect": another 'in the increase in wire density and lead 200908118 1 vr iu / ί γλ. Even if the width of the insulating layer is reduced, the uniform liquid pressure field is not easy to be polished and polished in the polishing process. Therefore, the present invention can effectively avoid the corrosion effect. Third, "avoid Low-K and copper materials. Stripping on tantalum wafers: By adjusting the forward pressure, you can change the contact pattern of three different types. Therefore, during the grinding process, the removal rate can be adjusted for different materials. Especially, for easy adhesion. The Low-K and copper materials on the polishing pad can reduce the adhesion of Low-K and copper materials to the polishing finish, and avoid the peeling of Low-K^ and copper materials from the chopped wafer. In the above, the present invention has been disclosed in the above preferred embodiments, and is not intended to limit the invention. It is to be understood by those skilled in the art without departing from the spirit and scope of the invention. The scope of protection of the present invention is defined by the scope of the appended claims. ί 19 200908118 [Simple description of the drawings], 1 shows a conventional chemical mechanical polishing device 2 is a schematic view showing a recess effect and a corrosive effect; FIG. 3 is a schematic view showing a chemical mechanical polishing apparatus according to a first embodiment of the present invention; and FIG. 4 is a polishing pad and twin crystal of FIG. The circle is located at a smaller forward pressure and a smaller liquid pressure field; f, Figure 5 shows that the polishing pad and the ruthenium wafer of Figure 4 are located at a larger positive pressure 1 and a larger liquid pressure field. FIG. 6 is a flow chart showing a chemical mechanical polishing method according to a first embodiment of the present invention; FIG. 7 is a schematic view showing a chemical mechanical polishing I not according to the second embodiment of the present invention; A flow chart of a chemical mechanical polishing method according to a second embodiment of the present invention; f FIG. 9 is a schematic view showing a chemical mechanical polishing apparatus according to a third embodiment of the present invention; FIG. 10A is a plan view showing a silicon wafer; Side view of the wafer; 11A-11B shows various side views of the germanium wafer and the polishing pad; FIG. 12A shows the relationship of the second thickness 屯(7) corresponding to the % of the wafer sub-area; The drawing does not correspond to the variation curve of the second thickness '(1) of the wafer sub-area %; 20 200908118 FIG. 13 is a flow chart showing the chemical mechanical polishing method according to the third embodiment of the present invention; FIG. 14 is a full flat FIG. 15 is a schematic diagram of step 1303 of FIG. 13; and FIG. 16 is a schematic diagram of step 1305 of FIG. [Main component symbol description] 100, 200, 300, 900: chemical mechanical polishing device 110, 210, 310, 920: carrying platform 111, 211, 311, 921: germanium wafer 120, 220, 320, 910: grinding platform 121 , 221 , 321 , 911 : polishing pad 130 , 930 : polishing liquid injector 131 , 931 : polishing liquid 140 , 240 , 340 : control unit 250 : flatness sensor 260 : compensation grinding platform 261 : compensation polishing pad 800 . Virtual wafer 921a: copper wire 921b: barrier layer 921c: insulation layer D: depression effect E: decay effect 21 200908118 F: forward pressure G: pitch L120, L310, L920: second central axis L110, L320, L910 : First central axis P: liquid pressure field Vlll, V311: first rotational speed V121, V321: second rotational speed VR: relative speed ('%: wafer sub-region K: virtual sub-region &lt;: first thickness' (1): Two thickness A: measuring device

22twenty two

Claims (1)

200908118 暴 ττ_/ι«_τ/ι/ν 十、申請專利範圍: 1. 一種化學機械抛光裝置,包括: 一承載平台,用以承載一矽晶圓,該承載平台係帶動 該石夕晶圓以一第一中心轴為轴心自轉; 一研磨平台, 係貼附一拋光墊,一正向壓力係施加於該拋光墊及該矽晶 圓之間,該研磨平台並帶動該拋光墊以該第二中心軸為軸 心自轉; 一研磨液注入器,用以注入一研磨液於該石夕晶圓及該 f 拋光墊之間;以及 一控制單元,用以調整該矽晶圓受到該拋光墊之該正 向壓力大小,以改變該拋光墊及該矽晶圓之接觸模式,並 改變該矽晶圓之移除率。 2. 如申請專利範圍第1項所述之化學機械拋光裝 置,其中該拋光墊及該矽晶圓之接觸模式包含一液動壓接 觸模式(Hydrodynamic-contact Mode)、一半接觸模式 (Semi-contact Mode )及一全接觸模式(Contact Mode ); £ ) j 在該液動壓接觸模式中,該拋光墊及該矽晶圓未接 觸,該矽晶圓並具有一第一移除率; 在該半接觸模式中,該拋光墊及該矽晶圓部分接觸, 該矽晶圓並具有一第二移除率; 在該全接觸模式中,該拋光墊及該矽晶圓完全接觸該 石夕晶圓並具有一第三移除率,該第一移除率小於該第二移 除率,該第二移除率小於該第三移除率。 3. 如申請專利範圍第1項所述之化學機械拋光裝 23 200908118 置,其中該控制單元係調整該研磨平台與該承載平台之間 距,以調整該正向愿力。 I如申請專利範圍第1項所述之化學機械拋光裝 置八中°亥承載平台係帶動該矽晶圓以一第一轉速自轉, 該研磨平台係帶動該拋光塾以一第二轉速自轉,該控制單 A係3周整该第一轉速或該第二轉速,以調整該相對速度。 S'如申請專利範圍第1項所述之化學機械拋光裝 置^其中該石夕晶圓及該拋光塾之間具有一相對速度,該控 制單元更隨著抛光過程調整該相對速度。 6. 如申請專利範圍第1項所述之化學機械拋光裝 置,其中該矽晶圓具有一絕緣層,該絕緣層之材質係為一 低介電(Low-K )材料。 7. 如申請專利範圍第6項所述之化學機械拋光裝 置’其中該低介電材料之介電K值小於5。 8. 如申請專利範圍第丨項所述之化學機械拋光裝 置’更包括: —平坦度感測器,用以感測該矽晶圓之平坦度;以及 至少一補償研磨平台,係貼附一補償拋光墊,該補償 研磨平台係依據該矽晶圓之平坦度補償研磨該矽晶圓。 9,如申請專利範圍第1項所述之化學機械拋光裝 置’其中該矽晶圓係採用銅導線製程。 10· —種化學機械拋光方法,用以拋光一矽晶圓,該 化學機械拋光方法至少包括以下步驟: (a)施加一正向壓力於一拋光墊及該矽晶圓之間; 24 200908118 1 W^i〇/|-A (b )帶動該矽晶圓以一第一中心軸為軸心自轉,並 帶動該拋光整以該第二中心軸為軸心自轉; (c)注入一研磨液於該拋光墊及該矽晶圓之間;以 (d)調整該矽晶圓受到該拋光墊之該正向壓力大 小,以改變該拋光墊及該矽晶圓之接觸模式,並改變該矽 晶圓之移除率。200908118 暴ττ_/ι«_τ/ι/ν X. Patent application scope: 1. A chemical mechanical polishing device comprising: a carrier platform for carrying a silicon wafer, the carrier platform driving the stone wafer a first central axis is a shaft center rotation; a polishing platform is attached with a polishing pad, and a forward pressure is applied between the polishing pad and the silicon wafer, and the polishing platform drives the polishing pad to The two central axes are axis rotation; a slurry injector for injecting a slurry between the stone wafer and the f polishing pad; and a control unit for adjusting the wafer to be subjected to the polishing pad The forward pressure is sized to change the contact pattern of the polishing pad and the germanium wafer and to change the removal rate of the germanium wafer. 2. The chemical mechanical polishing apparatus according to claim 1, wherein the contact pattern of the polishing pad and the germanium wafer comprises a hydrodynamic-contact mode (halo-contact mode) and a half-contact mode (Semi-contact). Mode) and a contact mode (Contact Mode); £) j in the hydrodynamic contact mode, the polishing pad and the germanium wafer are not in contact, the germanium wafer has a first removal rate; In the half contact mode, the polishing pad is partially in contact with the germanium wafer, and the germanium wafer has a second removal rate; in the full contact mode, the polishing pad and the germanium wafer completely contact the same The circle has a third removal rate, the first removal rate being less than the second removal rate, and the second removal rate being less than the third removal rate. 3. The chemical mechanical polishing device according to claim 1, wherein the control unit adjusts the distance between the grinding platform and the carrying platform to adjust the positive force. The chemical mechanical polishing device according to claim 1, wherein the 中 亥 承载 carrying platform drives the 矽 wafer to rotate at a first rotation speed, and the polishing platform drives the polishing 自 to rotate at a second rotation speed. The control unit A is configured to adjust the first speed or the second speed for 3 weeks to adjust the relative speed. S', as in the chemical mechanical polishing apparatus of claim 1, wherein the stone wafer and the polishing crucible have a relative speed, the control unit adjusts the relative speed with the polishing process. 6. The chemical mechanical polishing apparatus of claim 1, wherein the germanium wafer has an insulating layer, and the insulating layer is made of a low dielectric material (Low-K). 7. The chemical mechanical polishing apparatus of claim 6, wherein the low dielectric material has a dielectric K value of less than 5. 8. The chemical mechanical polishing device as described in claim </ RTI> further comprising: a flatness sensor for sensing the flatness of the silicon wafer; and at least one compensation polishing platform attached to the Compensating for the polishing pad, the compensation polishing platform compensates for polishing the silicon wafer according to the flatness of the silicon wafer. 9. The chemical mechanical polishing apparatus of claim 1, wherein the enamel wafer is a copper wire process. 10. A chemical mechanical polishing method for polishing a wafer, the chemical mechanical polishing method comprising at least the following steps: (a) applying a positive pressure between a polishing pad and the germanium wafer; 24 200908118 1 W^i〇/|-A (b) drives the silicon wafer to rotate with a first central axis as an axis, and drives the polishing to rotate with the second central axis as an axis; (c) injecting a polishing liquid Between the polishing pad and the germanium wafer; (d) adjusting the positive pressure of the germanium wafer by the polishing pad to change the contact mode of the polishing pad and the germanium wafer, and changing the germanium Wafer removal rate. 、11.如中請專利範圍第H)項所述之化學機械抛光方 法,+其中該拋光墊及_晶圓之接_式包含—液動壓接 觸模式(Hydrodynamic Mode)、一半接觸模式 (Semi_C〇ntaCtM〇de)及一全接觸料( — Mode); 在該液動壓接觸模式中,該拋光塾及财晶圓未接 觸,该矽晶圓並具有一第一移除率; ^在該半接觸模式巾,該拋光塾及該石夕晶 β玄石夕晶圓並具有一第二移除率; 在^王接觸模式中,該拋光塾及石 石夕晶圓並具有—第-纽玄 降座 一移除率,邊第一移除率小於該第二移 ’、、,一第二移除率小於該第三移除率。 法,1 ^申#專概圍第1G項所述之化學機械拋光方 間距:、以S’::?係調整該研磨平台與該承載平台之 以5周整5亥正向壓力。 法,並專利乾圍第1G項所述之化學機械拋光方 ^ 其中在邊步驟 具有—㈣H = 圓及該該拋光塾之間 °亥乂驟(d)更調整該相對速度。 25 200908118 1 W31»/Pa 、14·如申請專利範圍第10項所述之化學機械拋光方 β /、中忒步驟(d)係隨著拋光過程即時地調整該正向 壓力及該相對速度。 、丨5·如申請專利範圍第10項所述之化學機械拋光方 法,其中該石夕晶圓具有一絕緣層,該絕緣層之材質係為一 低介電(Low-K)材料。 法 16. 如申請專利範圍第15項所述之化學機械拋光方 其中該低介電材料之介電K值小於5。 法 17. 如申請專利範圍第10項所述之化學機械拋光方 更包括: (e)感測該矽晶圓之平坦度;以及 (〇依據該矽晶圓之平坦度補償研磨該矽晶圓。 法 1如中請專利範圍第1G項所述之化學機械抛光方 八中该矽晶圓係採用銅導線製程。 19.種化學機械拋光裝置,包括: 一承載平台’用以承载一矽晶圓,該承 第…軸為抽心自轉,其中該“圓= 數個曰曰圓子區域,各該晶圓子區域具有-第一厚度; -研磨平台,係貼附一拋光墊,一正向 ::’ ==各Γ:子區域之間’該研磨平台並帶:拋 &quot; ―中心軸為軸心自轉’其中對應於各該曰圓早 =域之該拋光墊具有—第二厚度,隨 = 塾的轉動’對應於各該晶《I子區域之各該第光 變化; t /乐一厚度係不斷 26 200908118 hile: l WJU5 /-(j-h 申請人編號:0950039TW ~、 SUNDIAL CONFIDENTIAL 二達編號:TW3187PA 一研磨液注入器,用以注入一研磨液於該矽晶圓及該 拋光墊之間;以及 一控制單元’係依據對應各該晶圓子區域之各該第一 厚度及各該第二厚度的變化關係來調整各該晶圓子區域 受到該拋光墊之該正向壓力大小,以改變該拋光墊及該矽 曰曰圓之接觸模式,並改變該矽晶圓之移除率。' 20. 如申請專利範圍第19項所述之化學機械拋光裝 r 置,其中該承載平台係帶動該矽晶圓以一第一轉速自轉, 該研磨平台係帶動該拋光墊以一第二轉速自轉,該控制單 元係依據該第一轉速及該第二轉速來獲得各該晶圓子區 域之各該第二厚度的變化關係。 21. —種化學機械拋光方法,用以拋光一石夕晶圓,該 化學機械拋光方法至少包括以下步驟: (g)施加一正向壓力於一拋光墊及該矽晶圓之間, 其中該石夕晶圓具有複數個晶圓子區域,各該晶圓子區域具 j 有一第一厚度; 八 (h )帶動該矽晶圓以一第一中心軸為軸心自轉,並 帶動該拋光墊以該第二中心軸為軸心自轉,其中對應於各 該晶圓子區域之該拋光墊具有一第二厚度,隨著該石夕晶圓 及該拋光墊的轉動,對應於各該晶圓子區域之各該第二戸 度係不斷變化; 一予 (1)注入一研磨液於該拋光墊及該矽晶圓之間;以 (j)依據對應各该晶圓子區域之各該第一厚产及各 27 200908118 二1Γ二:〜1 SUNDIAL CONFIDENTIAL 甲口ra人編痛.0950039TW 三達編號:TW3187PA 該第二厚度的變化關係來調整各該晶圓子區域受到該拋 光墊之該正向壓力大小,以改變該拋光墊與各該晶圓子區 域之接觸模式,並改變各該晶圓子區域之移除率。 22·如申請專利範圍第21項所述之化學機械拋光方 法’其中該步驟(h)係帶動該矽晶圓以一第一轉速自轉, 並帶動該拋光墊以一第二轉速自轉,該步驟(j)係依據該 第一轉速及該第二轉速來獲得各該晶圓子區域之各該第 一厚度的變化關係。 Ο 23,如申請專利範圍第22項所述之化學機械拋光方 法,其中在該步驟(g)之前,該化學機械拋光方法更包 括: (k) 提供全平坦之一虛擬晶圓,該虛擬晶圓具有複 數個虛擬子區域,該虛擬晶圓之各該虛擬子區域分別對應 於該矽晶圓之各該晶圓子區域; (l) 分別設置複數個量測器於各該虛擬子區域; 、(m )帶動該虛擬晶圓以該第一中心軸為軸心自轉, 並帶動該拋光墊以該第二中心軸為軸心自轉,其中對應於 各該虛擬子區域之該拋光墊具有該第二厚度,隨著該虛擬 晶圓及該拋光墊的轉動,對應於各該虛擬子區域之各該第 一厚度係不斷變化; (η)以各該量測器量測各該第二厚度之變 以及 (〇)儲存各該第二厚度之變化關係。 2811. The chemical mechanical polishing method described in the patent scope range H), wherein the polishing pad and the wafer are connected to each other, including a hydrodynamic mode and a half contact mode (Semi_C). 〇ntaCtM〇de) and a full contact material (-Mode); in the hydrodynamic contact mode, the polishing pad and the wafer are not in contact, the germanium wafer has a first removal rate; a semi-contact mode towel, the polished enamel and the Shi Xijing β Xuan Shi Xi wafer have a second removal rate; in the ^ king contact mode, the polished 石 and Shi Shi Xi wafer have a - The descending rate of the descending seat is smaller than the second removal rate, and the second removal rate is less than the third removal rate. Law, 1 ^申#Specially related to the chemical mechanical polishing of the 1G item spacing:, with S’::? The grinding platform and the carrying platform are adjusted to have a forward pressure of 5 weeks. The method, and the chemical mechanical polishing method described in Section 1G of the patent dry circumference, wherein the relative speed is adjusted in the edge step with - (iv) H = circle and the polishing step (d). 25 200908118 1 W31»/Pa, 14· The chemical mechanical polishing method as described in claim 10 of the patent scope, and the middle step (d), the forward pressure and the relative speed are adjusted instantaneously with the polishing process. The chemical mechanical polishing method of claim 10, wherein the stone wafer has an insulating layer, and the insulating layer is made of a low-k material. Method 16. The chemical mechanical polishing method of claim 15, wherein the low dielectric material has a dielectric K value of less than 5. Method 17. The chemical mechanical polishing method of claim 10 further includes: (e) sensing the flatness of the germanium wafer; and (relying on the flatness of the germanium wafer to compensate for the germanium wafer In the chemical mechanical polishing method described in Section 1G of the patent scope, the wafer system is a copper wire process. 19. A chemical mechanical polishing device comprising: a carrier platform for carrying a twin crystal a circle, the axis of which is a centrifugal rotation, wherein the "circle = a plurality of round sub-regions, each of the wafer sub-regions has a first thickness; - a polishing platform, attached with a polishing pad, a positive: :' == Γ: between the sub-areas 'the grinding platform with: throw &quot; ―the central axis is the axis of rotation' which corresponds to each of the 早 round early = domain of the polishing pad has a second thickness, with = 转动 rotation 'corresponds to each of the crystals' I sub-regions of the first light change; t / 乐一厚度系continent 26 200908118 hile: l WJU5 /- (jh Applicant No.: 0950039TW ~, SUNDIAL CONFIDENTIAL : TW3187PA a slurry injector for injection a polishing liquid is disposed between the germanium wafer and the polishing pad; and a control unit is configured to adjust each of the wafer sub-regions according to a change relationship between each of the first thickness and each of the second thicknesses corresponding to each of the wafer sub-regions The forward pressure of the polishing pad is varied to change the contact pattern of the polishing pad and the dome, and to change the removal rate of the wafer. ' 20. The chemical as described in claim 19 a mechanical polishing device, wherein the carrying platform drives the silicon wafer to rotate at a first rotation speed, the polishing platform drives the polishing pad to rotate at a second rotation speed, and the control unit is based on the first rotation speed and the first The second rotation speed is used to obtain the relationship of the second thickness of each of the wafer sub-regions. 21. A chemical mechanical polishing method for polishing a stone wafer, the chemical mechanical polishing method comprising at least the following steps: (g) applying a positive pressure between a polishing pad and the germanium wafer, wherein the silicon wafer has a plurality of wafer sub-regions, each of the sub-regions having a first thickness; and eight (h) driving the twin Rotating with a first central axis as an axis, and driving the polishing pad to rotate with the second central axis as an axis, wherein the polishing pad corresponding to each of the wafer sub-regions has a second thickness, along with the stone The rotation of the wafer and the polishing pad is changed corresponding to each of the second enthalpy of each of the sub-regions of the wafer; and (1) injecting a polishing liquid between the polishing pad and the enamel wafer; j) According to each of the first sub-productions of the sub-area of the wafer and each of the 27 200908118 2:2:1 SUNDIAL CONFIDENTIAL A mouth of a person with pain. 0950039TW Sanda number: TW3187PA The relationship of the second thickness is adjusted Each of the wafer sub-regions is subjected to the forward pressure of the polishing pad to change a contact mode of the polishing pad with each of the wafer sub-regions, and change a removal rate of each of the wafer sub-regions. The chemical mechanical polishing method according to claim 21, wherein the step (h) drives the silicon wafer to rotate at a first rotation speed, and drives the polishing pad to rotate at a second rotation speed. (j) obtaining a change relationship of each of the first thicknesses of each of the wafer sub-regions according to the first rotation speed and the second rotation speed. The chemical mechanical polishing method of claim 22, wherein prior to the step (g), the chemical mechanical polishing method further comprises: (k) providing a fully flat virtual wafer, the virtual crystal The circle has a plurality of virtual sub-regions, and each of the virtual sub-regions of the virtual wafer corresponds to each of the wafer sub-regions of the silicon wafer; (1) a plurality of detectors are respectively disposed in each of the virtual sub-regions; (m) driving the virtual wafer to rotate with the first central axis as an axis, and driving the polishing pad to rotate with the second central axis as an axis, wherein the polishing pad corresponding to each of the virtual sub-regions has the first a thickness, each of the first thicknesses corresponding to each of the virtual sub-regions is constantly changing with the rotation of the dummy wafer and the polishing pad; (n) measuring each of the second thicknesses by each of the measuring devices The change and (〇) store the change relationship of each of the second thicknesses. 28
TW097108401A 2007-08-01 2008-03-10 Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof TWI367524B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW097108401A TWI367524B (en) 2007-08-01 2008-03-10 Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof
US12/219,800 US20090036028A1 (en) 2007-08-01 2008-07-29 Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW96128302 2007-08-01
TW097108401A TWI367524B (en) 2007-08-01 2008-03-10 Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof

Publications (2)

Publication Number Publication Date
TW200908118A true TW200908118A (en) 2009-02-16
TWI367524B TWI367524B (en) 2012-07-01

Family

ID=40338600

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097108401A TWI367524B (en) 2007-08-01 2008-03-10 Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof

Country Status (2)

Country Link
US (1) US20090036028A1 (en)
TW (1) TWI367524B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112008594B (en) * 2020-08-31 2021-08-03 浙江工业大学 Chemically enhanced efficient ultra-precise polishing method based on shear expansion effect
CN116810619B (en) * 2023-08-09 2024-04-02 哈尔滨工业大学 Chemical mechanical polishing device based on microwave assistance and polishing CaF by using same 2 Method for wafer

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
JPH09139368A (en) * 1995-11-14 1997-05-27 Sony Corp Chemically and mechanically polishing method
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
JP3027551B2 (en) * 1997-07-03 2000-04-04 キヤノン株式会社 Substrate holding device, polishing method and polishing device using the substrate holding device
US6776692B1 (en) * 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
AU6731600A (en) * 1999-08-26 2001-03-26 Hitachi Chemical Company, Ltd. Polishing compound for chemimechanical polishing and polishing method
US6375550B1 (en) * 2000-06-05 2002-04-23 Lsi Logic Corporation Method and apparatus for enhancing uniformity during polishing of a semiconductor wafer
US6640155B2 (en) * 2000-08-22 2003-10-28 Lam Research Corporation Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head
US7481695B2 (en) * 2000-08-22 2009-01-27 Lam Research Corporation Polishing apparatus and methods having high processing workload for controlling polishing pressure applied by polishing head
JP3922887B2 (en) * 2001-03-16 2007-05-30 株式会社荏原製作所 Dresser and polishing device
US6725120B2 (en) * 2001-03-29 2004-04-20 Lam Research Corporation Apparatus and methods with resolution enhancement feature for improving accuracy of conversion of required chemical mechanical polishing pressure to force to be applied by polishing head to wafer
US6767428B1 (en) * 2001-12-20 2004-07-27 Lam Research Corporation Method and apparatus for chemical mechanical planarization
US6939198B1 (en) * 2001-12-28 2005-09-06 Applied Materials, Inc. Polishing system with in-line and in-situ metrology
WO2004048038A1 (en) * 2002-11-22 2004-06-10 Applied Materials Inc. Methods and apparatus for polishing control
US7050880B2 (en) * 2003-12-30 2006-05-23 Sc Solutions Chemical-mechanical planarization controller
JP4756884B2 (en) * 2005-03-14 2011-08-24 信越半導体株式会社 Polishing head, polishing apparatus and polishing method for semiconductor wafer
US20070149094A1 (en) * 2005-12-28 2007-06-28 Choi Jae Y Monitoring Device of Chemical Mechanical Polishing Apparatus
KR101715726B1 (en) * 2008-11-26 2017-03-13 어플라이드 머티어리얼스, 인코포레이티드 Using optical metrology for feed back and feed forward process control

Also Published As

Publication number Publication date
US20090036028A1 (en) 2009-02-05
TWI367524B (en) 2012-07-01

Similar Documents

Publication Publication Date Title
TWI300735B (en) Use of cmp for aluminum mirror and solar cell fabrication
Yan et al. On the ductile machining of silicon for micro electro-mechanical systems (MEMS), opto-electronic and optical applications
TW554485B (en) Process for material-removing machining of both sides of semiconductor wafers
TWI273944B (en) Both-side polishing carrier and production method therefor
WO2001056742A1 (en) Polishing device and method
JP5463570B2 (en) Double-head grinding apparatus for wafer and double-head grinding method
TWI485037B (en) Laminated polishing pad and manufacturing method thereof, and manufacturing method of semiconductor element
TWI513546B (en) Laminated polishing pad and manufacturing method thereof
JP2007518277A (en) Layered support and method for laminating CMP pads
Pal et al. Material removal characteristics of full aperture optical polishing process
TW201516116A (en) Hot-melt adhesive sheet for stacked polishing pad and adhesive-layer-bearing support layer for stacked polishing pad
Tian et al. Chemical mechanical polishing of glass disk substrates: preliminary experimental investigation
TW200908118A (en) Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof
JP2001291690A (en) Apparatus and method for polishing
KR20100105823A (en) Method of chemical mechanical polishing
Xu et al. Progress in material removal mechanisms of surface polishing with ultra precision
JPH10235552A (en) Polishing device
TWI490082B (en) Polishing pad
EP0137684B1 (en) Surface finishing process
JP2010040643A5 (en)
TW200527523A (en) Chemical mechanical polishing system
Kang et al. A comparative study of conventional and high speed grinding characteristics of a thin film multilayer structure
JP3162558B2 (en) Glass substrate for magnetic recording medium and method of manufacturing magnetic recording medium
TW455941B (en) Method of manufacturing semiconductor device and chemical mechanical polishing apparatus
JP2002046058A (en) Method of dressing polishing cloth for double-sided polishing