TW200818259A - Methods and systems for controlling critical dimensions in track lithography tools - Google Patents

Methods and systems for controlling critical dimensions in track lithography tools Download PDF

Info

Publication number
TW200818259A
TW200818259A TW96129033A TW96129033A TW200818259A TW 200818259 A TW200818259 A TW 200818259A TW 96129033 A TW96129033 A TW 96129033A TW 96129033 A TW96129033 A TW 96129033A TW 200818259 A TW200818259 A TW 200818259A
Authority
TW
Taiwan
Prior art keywords
zone
heater
wafer
temperature
data points
Prior art date
Application number
TW96129033A
Other languages
Chinese (zh)
Other versions
TWI456627B (en
Inventor
Tim Michaelson
Nikolaos Bekiaris
Original Assignee
Sokudo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sokudo Co Ltd filed Critical Sokudo Co Ltd
Publication of TW200818259A publication Critical patent/TW200818259A/en
Application granted granted Critical
Publication of TWI456627B publication Critical patent/TWI456627B/en

Links

Abstract

A method of controlling wafer critical dimension (CD) uniformity on a track lithography tool includes obtaining a CD map for a wafer. The CD map includes a plurality of CD data points correlated with a multi-zone heater geometry map. The multi-zone heater includes a plurality of heater zones. The method also includes determining a CD value for a first heater zone of the plurality of heater zones based on one or more of the CD data points and computing a difference between the determined CD value for the first heater zone and a target CD value for the first heater zone. The method further includes determining a temperature variation for the first heater zone based, in part, on the computed difference and a temperature sensitivity of a photoresist deposited on the wafer and modifying a temperature of the first heater zone based, in part, on the temperature variation.

Description

200818259 九、發明說明· 【發明所屬之技術領域】 本發明係關於基板處理設備領域。具體言之,本發明 係關於在微影製程期間,提供控制關鍵尺寸之方法及裝 置。僅藉由實例方式,本發明之實施例藉由控制一分區的 加熱器板,以控制半導體晶圓之關鍵尺寸。但是,本發明 具有更寬廣的應用範圍’且可運用到其他半導體基板之製 程,例如,依據一量測晶圓來修改烘烤板溫度。200818259 IX. INSTRUCTIONS DESCRIPTION OF THE INVENTION [Technical Field to Be Invented] The present invention relates to the field of substrate processing equipment. In particular, the present invention relates to methods and apparatus for controlling critical dimensions during a lithography process. By way of example only, embodiments of the present invention control the critical dimensions of a semiconductor wafer by controlling a zone of heater boards. However, the present invention has a wider range of applications' and can be applied to processes of other semiconductor substrates, e.g., modifying the temperature of the bake plate in accordance with a measurement wafer.

【先前技術】 現代積體電路包括數百萬之單個元件,該等元件藉由 圖案化諸如矽、金屬及/或介質層之材料而形成,其組成之 積體電路尺寸可遠小於一微米。在整個產業中,用於形成 此圖案之技術係微影技術。一典型微影製程序列通常包括 在一基板之表面上沉積一或多個均勻光阻(阻劑)層;乾燥 並固化該等沉積層;藉由將光阻層曝露於適合於修改該曝 光層之電磁輻射,然後對該圖案化之光阻層顯影,以圖案 化該基板。 在半導體產業中,係普遍地在一多腔室製程系統(例如 一叢集工具)中,執行與微影製程相關之多個步驟,該多腔 室製程系統能夠以一受控的方式順序地處理半導體晶圓。 用於沉積(即塗覆)並顯影光阻材料之叢集工具的實例係普 通稱為一導執式微影工具。 導軌式微影工具典型地包括一容納多個腔室(其在本 200818259 文中有時稱為站或模組)之主框架,該等多個腔室專門用於 執^亍與彳政衫衣ί王之别與之後相關的各個任務。在導執式微 影工具中典型地同時具有潤濕及乾燥處理腔室。潤濕腔室 包括塗覆及/或顯影盤,而乾燥腔室包括容納洪烤及/或冷 卻板之熱控制早元。導執式微影工具還經常包括一或多個 晶圓盒/晶舟(pod/cassette)安放構件,例如產業標準 FOUP(front opening unified pod,前開式晶圓倉),用於與 潔淨室之間接收與返回基板;多個基板傳送機械,用來在 導執式工具的各個腔室/站之間傳送基板;以及一介面,其 允許該工具可操作地耦合至一微影曝光工具,以便將基板 傳送到曝光工具,並在該基板經由該曝光工具中處理後, 從該曝光工具中接收基板。 多年來’在半導體產業中強烈地推動縮小半導體構件 之尺寸。減少的特徵尺寸已經導致產業對製程差異之容限 縮減,換言之,已經導致半導體製造規範對製程均勻性及 可重複性具有更為苛刻之要求。在導軌式微影處理順序 中,將製程可變性最小化之一重要因素係確保在該導軌式 微影工具之腔室中,所處理的基板表面上具有一可控(通1常 為空間均勻)關鍵尺寸(CD)特徵。晶圓CD之變化可導致^ 靠性問題,且會對構件之良率有不良影響。 考慮到此等需求,仍待開發出方法及技術,以在利用 V執式微影工具及其他類型叢集工具進行半導體製程操 期間’提供可控晶圓CD。 200818259 【發明内容】 根據本發明之一實施例,提供一種在導執式微影工具 上控制晶圓關鍵尺寸(CD)均勻性之方法。該方法包括得到 一晶圓之一 CD圖。該CD圖包括複數個與一多區加熱器 幾何圖相關之CD資料點。在本發明之實施例中,該多區 加熱器包括複數個加熱器區。該方法還包括依據一或多個 該等CD資料點,決定該些加熱器區之一第一加熱器區的 一 CD値,計算該第一加熱器區之決定CD值與該第一加 熱器區之一目標CD值間的一差值,以及部分地依據該計 算差值以及在該晶圓上所沉積之一光阻之温度敏感性,決 定該第一加熱器區之一溫度變化。該方法還包括部分依據 該溫度變化,修改該第一加熱器區之溫度。 根據本發明之另一實施例,提供一種在半導體晶圓處 理期間控制CD之方法。該方法包括測量一第一半導體晶 圓之一 CD分佈,比較該量測的CD分佈與一目標分佈, 以及決定該量測的C D分佈不在該目標C D分佈之一預定 容限以内。該方法還包括依據該決定步驟,計算一多區烘 烤板之一區域的一溫度偏移,修改該多區烘烤板之該區域 的溫度設置點,以及利用該修改的溫度設置點處理一第二 半導體晶圓。 根據本發明之另一實施例,提供一種導軌式微影工 具。該導軌式微影工具包括一製造處介面,用以接收耦合 至該工具介面之一晶圓及一製程模組。該製程模組包括複 數個塗覆站、複數個顯影站以及一製程單元,該製程單元 8 Ο 200818259 包括一烘烤板’該烘烤板包含複數個加熱器區,並具有 多區烘烤板幾何圖之特徵。該導轨式微影工具還包括一 制器,設置用來接收該晶圓之C D圖.。該c D圖包括複數 與該多區烘烤板幾何圖相關聯之CD資料點。該控制器還 括一電腦唯讀媒體,其儲存複數個指令,用以控制一資 處理器,以修改一晶圓CD分佈。該些指令包括執行以下 作之指令··使該資料處理器依據一或多個該CD資料點, 定該些加熱器區之一第一加熱器區的一 CD值之指令;使 資料處理器計真該第一加熱器區之該決定CD值與該第 加熱器區一目標c D值間之差值的指令。該些指令還包括 該資料處理器部分地依據該計算差值與沉積在該晶圓上 光阻的一温度敏感性’決定該第一加熱器區之一溫度變 的指令;以及使該資料處理器部分地依據該溫度變化, 改該第一加熱器區之一溫度的指令。 根據本發明一替代性實施例,提供一種在導執式微 工具上控制晶圓關鍵尺寸均句性的方法。該方法包括獲 一晶圓之一 CD圖。可利用一 OCD精密測定工具獲得 CD圖。該CD圖包括複數個CD資料點(例如66或更多 料點)。該方法還包括在一分區加熱器幾何圖上重疊該 圖。在實施例中,該分區加熱器幾何係依據包括複數個 之分區加熱器。該方法進一步包括將每一該些CD資料 分配至該些區之至少之一,決定與該晶圓相關聯之一光 的溫度敏感性,以及部分地依據一或多個該些c D資 點’決定該些區至少其中之一的溫度變化。該溫度敏感 控 個 包 料 動 決 該 使 化 修 影 得 該 資 CD 區 點 阻 料 性 9 200818259 可與CD對溫度曲線之斜率相關聯。而且,該方法包括部 分地依據溫度變化,修改一或多個該些區之溫度。 根據一特定替代性實施例,該方法另外包括重複該獲 取、重疊、分配、決定溫度變化以及修改步驟一或多次。 作為一實例,重複修改一量測之晶圓 CD,以在一目標晶 圓CD之預定值内獲取一晶圓CD。而且,該方法可包括對 複數個CD資料點之一子集取平均,其中該些資料點係與 該些區之一區相關聯,以提供一區CD平均值。 本發明可達成優於傳統技術之諸多優點。例如,與傳 統技術相較,本發明之實施例提供改善的CD均勻性。另 外,實施例提供一種調整晶圓内CD均勻性之方法,其可 用作為在批次間(l〇t-to-lot)或甚至晶圓間(wafer-to-wafer) 級別之高級製程控制之架構。而且,該技術不限於達成最 佳化之CD均勻性,而且還可用於達成一晶圓内之特定非 均勻CD分佈。本文中描述的方法及演算法還允許達成最 佳化的均勻性分佈,且可較手工調整重複次數而實現。視 實施例而定,可達到一或多個此等優點以及其他優點。在 本說明書中將更詳細描述此等及其他優點,並且以下附圖 式,以作更特定之描述。 【實施方式】 第1圖係根據本發明之一實施例之導執式微影工具的 平面視圖。在如第1圖中所示之實施例中,該導軌式微影 工具耦合至一浸沒掃描器。為了闡明其中的方向關係,在 10 200818259 第1圖中還示出一 XYZ矩形座標系統,其中XY平面定義 為水平平面,Ζ軸定義為在垂直方向上延伸。 在一特定實施例中,透過使用一塗覆製程,導執式微 影工具係用以在基板(例如半導體晶圓)上形成一抗反射 (AR)及一光阻膜。當基材經過圖案曝光製程後,導執式微 影工具則在該基板上執行顯影製程。其他在導軌式微影工 具(其可輕合至一浸沒掃描器)上所執行的製程,還包括 ΡΕΒ或類似製程。由導軌式微影工具處理的基板不限於半 導體晶圓,還可包括用於液晶顯示裝置的玻璃基板及類似 物。 在第1圖示出的導執式微影工具10〇包括一製造處介 面方塊1、一 BARC(底部抗反射塗覆)方塊2、一阻劑塗覆 方塊3、一顯影製程方塊4,以及一掃描介面方塊5。在該 V轨式被影工具中’該等五個處理方塊1至5並列地排列。 提供一曝光單元(或步進器(stepper))EXP,並耦合至掃描介 面方塊5,該曝光單元EXP為與該導執式微影工具分離的 一外部裝置。另外,導執式微影工具及曝光單元ΕΧρ透過 LAN線162連接到一主機電腦160。 製造處介面方塊1係一製程方塊,用於將接收自導軌 式微影工具外部的未處理基板,傳送至BARC方塊2和阻 劑塗層方塊3。製造處介面方塊1還用於將接收自顯影製 程方塊4處理後的基板,傳送至導軌式微影工具的外部。 製造處介面方塊1包括一工作臺1 1 2,其係設置用來接收 一定數目之(在示出的實施例中,為四個)晶舟(或载體, 11 200818259 以及一基板傳送機構113,用於從每個晶舟c取得一未處 理的基板W,並且將處理後的基板w收存在每個晶舟c 中。基板傳送機構113包括可移動基座114 (其可沿工作臺 112在γ方向(水平地)移動)以及安裝在可移動基座ιι4上 的機械臂1 1 5 〇 機械臂1 1 5設置用來在晶圓傳送操作期間,於水平位 置支撐基板W。另外,機械臂1 1 5能夠在z方向(垂直地) 相對於可移動基座1 14移動、在水平平面内樞轉,並在樞 半徑方向上前後移動。因此,利用基板傳送機構丨丨3,夾 持臂1 1 5能夠進入到每個晶舟C,且將一未處理的基板w 取出晶舟C外,並將處理的基板W儲存在每個晶舟c中。 晶舟C可為一或幾個類型,包括:一 SMIF(標準機械介面) 傳送盒;一 OC(開口晶舟),其將儲存的基板W曝露至空 氣;或一 FOUP(前開式晶圓盒),其將基板W儲存在一封 閉的或密封空間。 BARC方塊2的位置與製造處介面方塊1相鄰。隔離 物20可在製造處介面方塊1與BaRC方塊2之間提供氣 體密封。隔離物2 0具有一對垂直設置的基板托架部分3 0 及31,在製造處介面方塊1與BARC方塊2之間傳送基板 W時,該等托架部分可用作一傳送位置。 再參考第1圖,BARC方塊2包括一底部塗覆處理器 1 24,設置用來將AR膜塗覆基板W之表面;一對製程塔 1 22,用來執行伴隨形成Ar膜之一或多個熱製程;以及傳 送機械1 01,其用以從底部塗覆處理器1 24及該對製程塔 12 200818259 1 2 2,進行基板w的傳送與接收。每個塗覆製程單 一旋轉卡盤(chuck)126,當基板W透過吸力保持在 上水平位置時,基板W在旋轉卡盤126上旋轉。每 製程單元還包括一塗覆喷嘴(nozzle)128,用以將死 膜的溶液塗覆在旋轉卡盤1 2 6上的基板w ; —旋轉, 顯示),則設置用來旋轉驅動旋轉卡盤126 ; —杯狀 繞夾持在旋轉卡盤1 2 6上的基板w及類似物。 阻劑塗層方塊3為一製程方塊,其在bARC方 形成AR膜以後,於基板W上形成一阻劑膜。在一 施例中,則是使用一化學增幅之阻劑作為該光阻。 層方塊3包括阻劑塗覆處理器1 3 4,用於在該A R 形成阻劑膜;一對製程塔1 3 2,用於伴隨阻劑塗覆 執行一或多個熱製程;以及傳送機械丨0 2,用以從 覆處理器1 3 4及該對製程塔1 3 2,進行基板W的傳 收。每個塗覆處理單元包括一旋轉卡盤136、一喷°丨 以將阻劑塗覆於基板W、一旋轉馬達(未示出)、一 (未示出)及類似物。 製程塔132包括一定數目的垂直堆疊的烘烤腔 卻板。在一特定實施例中,在離製造處介面方塊1 製程塔處則包括烘烤腔室,離製造處介面方塊i最 程塔處’則包括冷卻板。在第1圖示出的實施例中 腔室包括一垂直堆疊的烘烤板及臨時基板夾持器, 邛傳送機構1 34 ’設置用來垂直並水平移動,以在 和臨時基板夾持器之間傳送基板w ,並且可包括一 元包括 一大體 個塗覆 >成AR 馬達(未 物,環 塊2中 特定實 阻劑塗 膜上之 製程, 阻劑塗 送與接 t 138, 杯狀物 室及冷 最近的 遠的製 ,烘烤 以及局 烘烤板 主動冷 13 200818259 卻傳送臂。傳送機械102在某些實施例中結構與傳送機械 101相同。傳送機械102能夠獨立地進入基板托架部分 及33、製程塔132、在阻劑塗覆處理器134中提供的塗覆 處理單元’以及基板托架部分3 4及3 5。 ' 顯影製程方塊4定位在阻劑塗層方塊3和掃描介面方 塊5之間。所提供的隔離物22係用以將顯影製程方塊密 封,以隔開阻劑塗層方塊3之氣體。顯影製程方塊4包括 :顯影處理器“4,在將過掃描器Εχρ曝光後,顯影處理 β 1 4 4會將顯影溶液施用於其 _ 欣她用於基板W,另有一對熱製程塔 141、142以及傳送機械1〇3。每個顯影製程單元包括一旋 轉卡盤146、一用於將顯影劑施用至基板W的噴嘴148、 旋轉馬達(未7F出—杯狀物(未示出)及類似物c 掃描面方塊5用於將一塗覆後基板w傳送至掃描哭 EXP,並將曝光基板傳送至顯影製程方塊4。在此示^ 實施例中的掃描介面方排 万鬼5包括一傳送機構丨54,用以 曝光單元EXP,進行其妃心h法 代 丁基板W的傳送與接收;一對邊緣 單元EEW,用於將.塗霜沾曾』l^ ι的基板的周邊曝光;以及傳送機 104。基板托架部分39芬μ、 嗎械 及39沿該對邊緣曝光單元eew楹 供,用於從掃描器及_岑 ^ 裔次顯衫製程方塊4往返傳送基板。 傳送機構154包;π 6[Prior Art] Modern integrated circuits include millions of individual components formed by patterning materials such as germanium, metal, and/or dielectric layers, which may comprise an integrated circuit that is much smaller than one micron. In the entire industry, the technology used to form this pattern is lithography. A typical lithography process generally includes depositing one or more uniform photoresist (resist) layers on a surface of a substrate; drying and curing the deposited layers; exposing the photoresist layer to a layer suitable for modifying the exposed layer The electromagnetic radiation is then developed by the patterned photoresist layer to pattern the substrate. In the semiconductor industry, it is common to perform a plurality of steps associated with a lithography process in a multi-chamber process system (eg, a cluster tool) that can be processed sequentially in a controlled manner. Semiconductor wafers. An example of a cluster tool for depositing (i.e., coating) and developing a photoresist material is commonly referred to as a guided lithography tool. Rail-type lithography tools typically include a main frame that houses a plurality of chambers (sometimes referred to herein as stations or modules in the text of 200818259), which are dedicated to holding and squatting clothes Wang Zhifei and the various tasks related to it later. Typically in a guided lithography tool there is a wet and dry processing chamber. The wetting chamber includes a coating and/or developing disk, and the drying chamber includes a thermal control element that houses the flooding and/or cooling plates. Guided lithography tools also often include one or more pod/cassette mounting components, such as a front opening unified pod (FOP) for use with a clean room. Receiving and returning a substrate; a plurality of substrate transfer mechanisms for transferring substrates between the various chambers/station of the guided tool; and an interface that allows the tool to be operatively coupled to a lithography exposure tool for The substrate is transferred to an exposure tool, and after the substrate is processed through the exposure tool, the substrate is received from the exposure tool. For many years, the size of semiconductor components has been strongly promoted in the semiconductor industry. The reduced feature size has led to industry tolerance for process variations, in other words, semiconductor manufacturing specifications have become more demanding for process uniformity and repeatability. One of the important factors in minimizing process variability in the rail-type lithography processing sequence is to ensure that in the chamber of the rail-type lithography tool, the surface of the substrate to be processed has a controllable (passive 1 often spatially uniform) key Size (CD) feature. Changes in wafer CD can lead to reliability issues and can adversely affect component yield. In view of these needs, methods and techniques have yet to be developed to provide a controllable wafer CD during semiconductor manufacturing operations using V-type lithography tools and other types of clustering tools. 200818259 SUMMARY OF THE INVENTION In accordance with an embodiment of the present invention, a method of controlling wafer critical dimension (CD) uniformity on a guided lithography tool is provided. The method includes obtaining a CD map of a wafer. The CD map includes a plurality of CD data points associated with a multi-zone heater geometry. In an embodiment of the invention, the multi-zone heater includes a plurality of heater zones. The method further includes determining a CD 第一 of the first heater zone of one of the heater zones based on the one or more of the CD data points, calculating a determined CD value of the first heater zone and the first heater A difference between the target CD values of one of the zones, and a temperature change of one of the first heater zones is determined in part based on the calculated difference and the temperature sensitivity of one of the photoresists deposited on the wafer. The method also includes modifying the temperature of the first heater zone based in part on the temperature change. In accordance with another embodiment of the present invention, a method of controlling a CD during semiconductor wafer processing is provided. The method includes measuring a CD distribution of a first semiconductor crystal, comparing the measured CD distribution to a target distribution, and determining that the measured CD distribution is not within a predetermined tolerance of the target CD distribution. The method further includes calculating a temperature offset of a region of the multi-zone bake plate according to the determining step, modifying a temperature set point of the region of the multi-zone bake plate, and processing the temperature using the modified temperature set point A second semiconductor wafer. According to another embodiment of the present invention, a rail type lithography tool is provided. The rail lithography tool includes a fabrication interface for receiving a wafer coupled to the tool interface and a process module. The process module includes a plurality of coating stations, a plurality of developing stations, and a process unit. The process unit 8 Ο 200818259 includes a baking plate. The baking plate includes a plurality of heater zones and has a multi-zone baking plate. The characteristics of the geometry. The rail-mounted lithography tool further includes a controller configured to receive the C-picture of the wafer. The c-D map includes a plurality of CD data points associated with the multi-zone bake plate geometry. The controller also includes a computer-readable medium that stores a plurality of instructions for controlling a processor to modify a wafer CD distribution. The instructions include instructions for causing the data processor to determine a CD value of the first heater zone of the heater zones based on one or more of the CD data points; The command of the first heater zone determines the difference between the CD value and a target c D value of the first heater zone. The instructions further include instructions for the data processor to determine a temperature change of one of the first heater zones based in part on the calculated difference and a temperature sensitivity of the photoresist deposited on the wafer; and processing the data The device changes the temperature of one of the first heater zones in part based on the temperature change. In accordance with an alternative embodiment of the present invention, a method of controlling wafer critical dimension uniformity on a guided microtool is provided. The method includes obtaining a CD map of one of the wafers. CD images can be obtained using an OCD precision measurement tool. The CD map includes a plurality of CD data points (e.g., 66 or more dots). The method also includes overlaying the map on a zone heater geometry. In an embodiment, the zoned heater geometry is based on a plurality of zoned heaters. The method further includes assigning each of the plurality of CD data to at least one of the zones, determining a temperature sensitivity of a light associated with the wafer, and in part relying on one or more of the c D sites 'Determine the temperature change of at least one of the zones. The temperature-sensitive control of the package is responsible for the correction of the CD site resistance. 200818259 can be correlated with the slope of the temperature curve of the CD. Moreover, the method includes modifying the temperature of one or more of the zones based in part on temperature changes. According to a particular alternative embodiment, the method additionally includes repeating the obtaining, overlapping, assigning, determining temperature changes, and modifying the one or more steps. As an example, a measured wafer CD is repeatedly modified to acquire a wafer CD within a predetermined value of a target wafer CD. Moreover, the method can include averaging a subset of the plurality of CD data points, wherein the data points are associated with a region of the regions to provide a zone CD average. The present invention achieves many advantages over conventional techniques. For example, embodiments of the present invention provide improved CD uniformity as compared to conventional techniques. In addition, embodiments provide a method of adjusting CD uniformity within a wafer that can be used as a high level process control at the lT-to-lot or even wafer-to-wafer level. Architecture. Moreover, the technique is not limited to achieving optimal CD uniformity, but can also be used to achieve a particular non-uniform CD distribution within a wafer. The methods and algorithms described herein also allow for an optimal uniformity distribution that can be achieved by manually adjusting the number of iterations. One or more of these and other advantages are achieved, depending on the embodiment. These and other advantages will be described in more detail in the present specification, and the following drawings are to be more specifically described. [Embodiment] Fig. 1 is a plan view showing a lithographic tool according to an embodiment of the present invention. In the embodiment as shown in Figure 1, the rail-type lithography tool is coupled to an immersion scanner. To clarify the directional relationship therein, an XYZ rectangular coordinate system is also shown in Fig. 1 of 2008 18259, in which the XY plane is defined as a horizontal plane and the Ζ axis is defined as extending in the vertical direction. In a particular embodiment, a guided lithography tool is used to form an anti-reflection (AR) and a photoresist film on a substrate (e.g., a semiconductor wafer) by using a coating process. After the substrate is subjected to the pattern exposure process, the guided lithography tool performs a development process on the substrate. Other processes performed on rail-type lithography tools that can be combined to an immersion scanner include ΡΕΒ or similar processes. The substrate processed by the rail type lithography tool is not limited to a semiconductor wafer, and may include a glass substrate and the like for a liquid crystal display device. The guiding lithography tool 10 shown in FIG. 1 includes a manufacturing interface block 1, a BARC (bottom anti-reflection coating) block 2, a resist coating block 3, a developing process block 4, and a Scan interface box 5. In the V-tracked shadow tool, the five processing blocks 1 to 5 are arranged side by side. An exposure unit (or stepper) EXP is provided and coupled to the scanning interface block 5, which is an external device separate from the guided lithography tool. In addition, the guided lithography tool and the exposure unit ΕΧρ are connected to a host computer 160 via the LAN line 162. The manufacturing interface block 1 is a process block for transferring the unprocessed substrate received from the outside of the rail lithography tool to the BARC block 2 and the resist coating block 3. The manufacturing interface block 1 is also used to transfer the substrate processed by the self-developing process block 4 to the outside of the rail-type lithography tool. The manufacturing interface block 1 includes a table 1 12 that is configured to receive a number (four in the illustrated embodiment) of a boat (or carrier, 11 200818259 and a substrate transport mechanism 113) For taking an unprocessed substrate W from each of the wafer boats c, and accommodating the processed substrate w in each of the wafer boats c. The substrate transfer mechanism 113 includes a movable base 114 (which can be along the work table 112) The robot arm 1 1 5 〇 in the γ direction (horizontally) and mounted on the movable base 设置1 is provided to support the substrate W in a horizontal position during the wafer transfer operation. The arm 1 15 can move in the z direction (vertically) relative to the movable base 1 14 , pivot in a horizontal plane, and move back and forth in the pivotal direction. Therefore, the substrate transfer mechanism 丨丨 3 is used to clamp The arm 1 15 can enter each of the boats C, and take an unprocessed substrate w out of the wafer C, and store the processed substrate W in each of the wafer boats c. The boat C can be one or several Types, including: a SMIF (standard mechanical interface) transfer box; an O C (opening boat) that exposes the stored substrate W to air; or a FOUP (front open wafer cassette) that stores the substrate W in a closed or sealed space. Location and manufacturing interface of the BARC block 2 The block 1 is adjacent. The spacer 20 can provide a gas seal between the manufacturing interface block 1 and the BaRC block 2. The spacer 20 has a pair of vertically disposed substrate carrier portions 30 and 31, which are fabricated at the interface block 1 When the substrate W is transferred between the BARC block 2, the carrier portions can be used as a transfer position. Referring again to Figure 1, the BARC block 2 includes a bottom coat processor 1 24 disposed to coat the AR film. a surface of the substrate W; a pair of process towers 1 22 for performing one or more thermal processes associated with forming the Ar film; and a transfer machine 101 for coating the processor 1 24 and the pair of process towers 12 from the bottom 200818259 1 2 2, transfer and reception of the substrate w. Each coating process is a single rotating chuck 126, and when the substrate W is held in the upper horizontal position by suction, the substrate W is rotated on the spin chuck 126. The process unit further includes a coating nozzle 128 for Applying a solution of the dead film to the substrate w on the spin chuck 1 2 6; - rotating, display), is provided for rotationally driving the spin chuck 126; - cup-shaped winding on the spin chuck 1 2 6 Substrate w and the like. The resist coating block 3 is a process block which forms a resist film on the substrate W after the AR film is formed on the BARC side. In one embodiment, a chemically amplified resist is used as the photoresist. Layer 3 includes a resist coating processor 134 for forming a resist film at the AR; a pair of process towers 133 for performing one or more thermal processes with resist coating; and transfer machinery丨0 2 is used to transmit the substrate W from the overlay processor 134 and the pair of process towers 133. Each of the coating processing units includes a spin chuck 136, a spray to apply a resist to the substrate W, a rotary motor (not shown), a (not shown), and the like. Process tower 132 includes a number of vertically stacked baking chamber plates. In a particular embodiment, the bake chamber is included at the manufacturing block from the manufacturing interface block 1 and the cooling plate is included at the manufacturing station block i. In the embodiment illustrated in Figure 1, the chamber includes a vertically stacked bake plate and a temporary substrate holder, and the transfer mechanism 1 34' is disposed for vertical and horizontal movement for use with the temporary substrate holder The substrate w is transferred, and may include a unit including a large coating > into an AR motor (not, a process on a specific real resist film in the ring block 2, a resist coating and a connection 138, a cup The chamber and the colder far-end system, the baking and the baking sheet are actively cooled. The transfer mechanism 102 is the same in some embodiments as the transfer machine 101. The transfer machine 102 can independently enter the substrate holder Portion 33, process tower 132, coating processing unit ' provided in resist coating processor 134, and substrate carrier portions 34 and 35. 'Developing process block 4 is positioned in resist coating block 3 and scanning Between the interface blocks 5. A spacer 22 is provided for sealing the development process block to separate the gas of the resist coating block 3. The development process block 4 includes: a development processor "4, in the overscan After exposure, development β 1 4 4 will apply the developing solution to the substrate W, another pair of thermal processing towers 141, 142, and a transfer machine 1〇 3. Each developing process unit includes a spin chuck 146, one for The developer is applied to the nozzle 148 of the substrate W, the rotary motor (not 7F-cup (not shown), and the like c scanning face block 5 for conveying a coated substrate w to the scanning crying EXP, and The exposure substrate is transferred to the development process block 4. The scanning interface of the embodiment shown in the embodiment includes a transfer mechanism 丨54 for exposing the unit EXP to perform the transfer of the h 法 butyl plate W. And receiving; a pair of edge units EEW for exposing the periphery of the substrate coated with frost; and a conveyor 104. The substrate holder portion 39 is swelled, mechanically, and 39 along the pair of edge exposure units Eew , for the round-trip transfer of the substrate from the scanner and the _ 岑 次 制 。 。 。 。 。 ; ;

了移動基座154A及一安裝在該 移動基座154A上之夾梏 T 待煮154Β。夾持臂j 54Β能夠垂吉 移動、樞轉、並在相盤 了移動基座154A的樞轉半徑方θ 上前後移動。如果曝光嵐向 早几EXP不能夠接收基板w,所楹 供發送緩衝器SBF則会腾盆4 ^ 曰將基板W在曝光製程之前先臨 14 200818259 收存,並包括一櫃,能夠層層排列儲存複數個基板w。 可利用控制器1 6 0來控制所有在該叢集工具中執行的 組件及製程。控制器160通常用以和掃描介面方塊5連接, 監視並控制在叢集工具中所執行的製程狀況,並可控制整 個基板製程程序的所有狀況。控制器丨6〇典型地係一基於 微處理器之控制器,可設置接收來自一使用者及/或在該製 程腔室中各個感測器之輸入,並根據各輸入及保留在該控 制器中的記憶體内的指令’正確地控制製程腔室組件。控 制器160通常包含記憶體及一 CPU(未示出),其可由控制 者用來保留各程式、處理該等程式、並在必要時執行該等 程式。連接至C P U的記憶體(未示出),可為一或多個容易 得到之記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體 (ROM)、軟碟、硬碟或任何其他形式的數位儲存器,局部 地或遠端的。軟體指令及資料可被編碼並儲存在該記憶體 中,用於對CPU下指令。支援電路(未示出)亦連接至該 CPU,以經由一傳統方式支援該處理器。該支援電路可包 括緩衝器、電源供應、時鐘電路、輸入/輸出電路、子系統 及在本領域内習知之所有類似物。可由控制器丨60讀取之 程式(或電腦指令)決定在製程腔室中執行哪些任務。較佳 地,該程式可用軟件由控制器160讀取並包括指令,以基 於定義的規則及輸入資料監視並控制該製程。 在美國專利申請公開案第2006/024585 5號,名為「基 板處理裝置」(其全部揭示内容以引用方式併入本文)的發 明中,提供根據本發明實施例之基板處理裝置的其他描 15The mobile base 154A and a clamp T 154 安装 mounted on the mobile base 154A. The gripping arm j 54 Β can be moved, pivoted, and moved back and forth on the pivot radius θ of the phase shifting base 154A. If the exposure is too early to receive the substrate w, the buffer SBF will be used to store the substrate W. The substrate W will be stored before the exposure process, and will include a cabinet, which can be arranged in layers. A plurality of substrates w are stored. Controller 160 can be utilized to control all of the components and processes executed in the cluster tool. Controller 160 is typically coupled to scan interface block 5 to monitor and control the process conditions performed in the cluster tool and to control all conditions of the entire substrate process. The controller 〇6〇 is typically a microprocessor-based controller that can be configured to receive input from a user and/or each sensor in the processing chamber and to remain in the controller based on each input The instructions in the memory are 'correctly controlling the process chamber components. The controller 160 typically includes a memory and a CPU (not shown) that can be used by the controller to retain programs, process the programs, and execute the programs as necessary. A memory (not shown) connected to the CPU may be one or more readily available memories such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk or any other memory. A form of digital storage, either locally or remotely. Software instructions and data can be encoded and stored in the memory for instructions to the CPU. A support circuit (not shown) is also coupled to the CPU to support the processor in a conventional manner. The support circuitry can include buffers, power supplies, clock circuits, input/output circuits, subsystems, and all of the similarities well known in the art. The program (or computer command) that can be read by controller 决定 60 determines which tasks are performed in the process chamber. Preferably, the program is readable by controller 160 and includes instructions for monitoring and controlling the process based on defined rules and input data. Further description of a substrate processing apparatus according to an embodiment of the present invention is provided in the invention of the "Patent Processing Apparatus", the entire disclosure of which is incorporated herein by reference.

〇· 200818259 述。儘管在第i圖中的導軌式微影工具其上下文描 發明之實施例,導軌式微影工具之其他架構亦包括 明實施例之範圍内。例如,採用Cartesian架構的導 影工具亦適用於如本申請案之全文中描述的實施例 特定實施例中,可經由購自日本京都的Sokudo有 的RF3i執行。 第2圖係本發明之實施例之整合熱單元之簡 圖。整合熱單元210可在第1圖所示出的製程塔中 其在一單個整合單元中同時提供烘烤及冷卻處理。 2圖,整合熱單元 21〇包括一烘烤站 220、一 (shuttle )230以及〆冷卻板24〇。為闡明清楚,未 圖中示出整合熱單元21〇之多個組件,包括控制電 殼、馬達升降機及類似物。 雖然在第2圖中未完全示出’但是整合熱單元 括由鋁或其他合適材料製成的外殼。部分該殼則 2 1 5所示。外殼包括一單元’而各個烘烤站組件則 密的方式安裝於此單元中。在如上述第1圖之一導 影工具中,該外殼可始多個整合熱單元相互堆疊於 該殼包括一或多個伸長開口(未示出)’其允許基板 元出入傳送。可提供閘門以密封一或多個伸長的開 傳送梭2 3 0可在烘烤 械(未示出)之間傳送基板 接進入該冷卻板240,以 ^恭臭板。在其他實 載及卸载暴极 ^ 站220、冷卻板240與一 。某些實施例中,該中央 在該整合熱單元内之多個 施例中,傳送梭2 3 0從冷 述了本 在本發 執式微 ° — 限公司 化透視 使用, 參考第 傳送梭 在第2 子、外 2 1 〇包 如面板 以一緊 軌式微 上方。 從熱單 π 〇 中央機 機械直 位置鞮 卻板上 16 200818259 之梢(pm)取下晶圓(晶圓係被主機械放置在該處),並將其 移動到烘烤板並移回。在此等實施例中,傳送梭23〇不必 由主機械通達。通常,該傳送梭能夠沿熱單元之長度方向 線性移動,並透過啟動垂直致動器25〇,在該熱單元内垂 直移動。 通㊉’在牙過對應於第2圖所示之傳送梭2 3 〇位置處 的伸長開口後,藉由將基板放置在傳送梭23〇上,可使基 板進入該熱單元。本發明之實施例不限於此傳送設置,因 為藉由將晶圓放置在冷卻板上的梢上,可將晶圓傳送至該 腔室並從中傳回。該梭將基板傳送至冷卻板24〇及烘烤站 220,以適於在基板上執行的特定熱製程。在傳送梭23〇 中提供升降梢槽(Lift Pin slots )232A及232B,使升降梢 支撐晶圓以通過該梭體。傳送梭安裝在垂直致動器25〇 上,其使該傳送梭在該整合熱單元内垂直移動。 烘烤站220在一夾持外殼(cUm sheU encl〇sure)中包 括一烘烤板,其在本說明書中詳細討論,該烘烤板可為一 多區加熱器板’以對熱量進行調控,以將所控制的熱量提 供至位在該烘烤板上之基板的各個部分。 本發明之實施例係利用於後端應用烘烤 (post-application-bake,PAB)及 / 或後端曝光烘烤 (post-exposure-bake,PEB)製程所進行的溫度控制製程 中。不限於此等製程中使用,因為冷卻溫度控制結構包括 在本發明實施例之範圍内。此等其他溫度控制結構包括冷 卻板、顯影板及類似物。本領域内熟習技術人員可理解有 17 200818259 許多變化、修改及替代物。 第3 A圖係根據本發明之實施例的多區烘烤板之 只 例之頂平面視圖,該多區烘烤板包括六個不同的獨立電加 熱區。根據本發明之實施例,烘烤板3 1 0係用於在第2圖 中示出的整合熱單元210中使用。如在第3A圖中示出’ 烘烤板3 1 0包括六個獨立加熱器區3 1 2 1 - 3 1 26,其沿著相 應數目的溫度感測器3 14 i - 3 1 4 6設置,並與每個加熱器區 312ι -3126 — 一 相對。 視特定應用之不同,可使用不同類型的溫度感測器(例 如,阻抗溫度偵測器(RTD)。在回饋環路中使用一控制器(未 示出)以控制各加熱器區3 1 2 i - 3 1 26之溫度。例如,在一 具體實施例中,烘烤板3 1 〇包括兩個或多個絕緣層,在該 等絕緣層之間夾有一聚酿亞胺(kapton)層。然後使用金屬 線來電阻加熱該等各個加熱器區3 1 2! - 3 1 26,該等金屬線 係在一圖案化佈線中的形成。本領域内熟習技術人員將理 解許多變化、修改及替代物。在美國專利申請案第 11/4 83,832號(於2006年7月7日申請,其全部内容共同 受讓,並以引用方式併入本文)中提供多區加熱器板之其他 描述。 雖然在第3A中示出的烘烤板310在每個區中僅使用 單個溫度感測器,但本發明之實施例不作此要求。在替代 實施例中,可在一或多個該等溫度區中使用多個溫度感測 器。另外,雖然烘烤板3 1 0使用六個溫度區,此特定區數 目,以及所示出的幾何佈置’亦不被本發明之實施例所要 18 200818259 求。在其他實施例中,區教目可增加或減少,各個區之空 間佈局可修改或作類似處理。本領域内熟習技術人員將理 解許多變化、修改及替代物。〇· 200818259. Although the rail-type lithography tool in Fig. i depicts an embodiment of the invention, other architectures of the rail-type lithography tool are also included within the scope of the embodiments. For example, a navigation tool employing a Cartesian architecture is also applicable to an embodiment as described throughout the present application. In a specific embodiment, it can be executed via RF3i available from Sokudo, Kyoto, Japan. Figure 2 is a simplified diagram of an integrated thermal unit in accordance with an embodiment of the present invention. The integrated thermal unit 210 can provide both baking and cooling processes in a single integrated unit in the process tower illustrated in Figure 1. 2, the integrated thermal unit 21A includes a baking station 220, a shuttle 230, and a crucible cooling plate 24〇. For clarity, various components of the integrated thermal unit 21A are shown, including control housings, motor lifts, and the like. Although not fully shown in Figure 2, the integrated thermal unit includes an outer casing made of aluminum or other suitable material. Some of the shells are shown in 2 15 . The housing includes a unit' and each of the toasting station assemblies is mounted in the unit in a compact manner. In the projector of Fig. 1 as described above, the housing may initially stack a plurality of integrated thermal units stacked on each other, the housing including one or more elongated openings (not shown) which allow the substrate to be transported in and out. A gate may be provided to seal one or more of the elongated opening shuttles 230. The substrate may be transferred between the bakeware (not shown) into the cooling plate 240 to smear the plate. In other loads and unloading the violent pole station 220, the cooling plate 240 and one. In some embodiments, the central portion of the plurality of embodiments in the integrated thermal unit transmits the shuttle 250 from the cold-spotted version of the present invention. 2 sub, outer 2 1 bag such as the panel with a tight track micro. Remove the wafer from the tip of the hot spring π 〇 central machine at the end of the 16 200818259 (the wafer is placed by the main machine) and move it to the bake plate and back. In these embodiments, the transfer shuttle 23 is not necessarily accessible by the primary machine. Typically, the transfer shuttle is linearly movable along the length of the thermal unit and is vertically moved within the thermal unit by actuating the vertical actuator 25A. After passing through the elongated opening at the position corresponding to the transfer shuttle 2 3 所示 shown in Fig. 2, the substrate can be brought into the thermal unit by placing the substrate on the transfer shuttle 23〇. Embodiments of the invention are not limited to this transfer arrangement because the wafer can be transferred to and from the chamber by placing the wafer on the tip of the cooling plate. The shuttle transports the substrate to the cooling plate 24 and the bake station 220 for a particular thermal process performed on the substrate. Lift pin slots 232A and 232B are provided in the transfer shuttle 23'' to support the wafer to pass the shuttle. The transfer shuttle is mounted on a vertical actuator 25A which moves the transfer shuttle vertically within the integrated thermal unit. The baking station 220 includes a baking plate in a clamping housing, which is discussed in detail in this specification, and the baking plate can be a multi-zone heater plate to regulate heat. The controlled heat is supplied to various portions of the substrate located on the baking sheet. Embodiments of the present invention are utilized in temperature control processes for post-application-bake (PAB) and/or post-exposure-bake (PEB) processes. It is not limited to use in such processes because the cooling temperature control structure is included in the scope of the embodiments of the present invention. These other temperature control structures include cooling plates, developing plates, and the like. Those skilled in the art will appreciate that there are many variations, modifications, and alternatives to 17 200818259. Figure 3A is a top plan view of an example of a multi-zone bake plate comprising six different independent electrical heating zones in accordance with an embodiment of the present invention. According to an embodiment of the present invention, the bake plate 310 is used in the integrated heat unit 210 shown in Fig. 2. As shown in Fig. 3A, 'bake plate 3 1 0 includes six independent heater zones 3 1 2 1 - 3 1 26, which are disposed along a corresponding number of temperature sensors 3 14 i - 3 1 4 6 And opposite each heater zone 312ι -3126. Different types of temperature sensors (eg, impedance temperature detectors (RTDs) may be used depending on the particular application. A controller (not shown) is used in the feedback loop to control each heater zone 3 1 2 The temperature of i - 3 1 26. For example, in one embodiment, the bake plate 3 1 〇 includes two or more insulating layers with a kapton layer interposed between the insulating layers. The metal wires are then used to electrically heat the respective heater zones 3 1 2! - 3 1 26, which are formed in a patterned wiring. Those skilled in the art will appreciate many variations, modifications, and alternatives. Other descriptions of multi-zone heater plates are provided in U.S. Patent Application Serial No. 1 1/4, 83, 832, filed on Jul. 7, the entire disclosure of which is hereby incorporated by reference. The bake plate 310 shown in section 3A uses only a single temperature sensor in each zone, but this embodiment does not require this. In alternative embodiments, one or more of these zones may be used. Use multiple temperature sensors in . In addition, although baking plates 3 1 0 uses six temperature zones, the number of specific zones, and the illustrated geometric arrangement 'is not required by the embodiment of the invention 18 200818259. In other embodiments, the zone teachings may be increased or decreased, each The spatial layout of the zones may be modified or treated similarly. Those skilled in the art will appreciate many variations, modifications, and alternatives.

第4A圖係根據本發明之實施例’說明一種控制晶圓 CD分佈之方法4〇〇流程圖。如在第4A圖中所示出,方法 400以步驟402開始。在步驟404中,利用一多區烘烤板 (BHP)透過一 CD製程處理晶圓(或一組晶圓)。在步驟406 中’利用一精密測定(metrology)工具來得到在晶圓中的CD 分佈%圖。例如,該精密測定工具可為一產自Nano metrics, Inc· of Milpitas, C A 的 Nanometrics Atlas 、產自 KLA-Tencor Corp. of San Jose,CA 的 SpectraCD 200 的精 密測定工具,或其他合適之精密測定工具。應注意,該步 驟406中的取得之CD圖可在對晶圓執行微影製程後取 得,或在其他製程步驟,包括蝕刻、沉積、退火及類似處 理之後取得。 在晶圓C D圖中所含的C D資料點數目,通常會依據 為該精密測定工具所選擇的特定操作參數而定。通常,此 等特定操作參數得依據製造情形。例如,精密測定工具的 產量通常與收集的C D資料點數目成反比,完成較高產量 將減少所提供的CD資料點數目。在一些實施例中,CD資 料點數目遠大於或等於由多區烘烤板提供的加熱器區的數 目。因此,一些實施例將提供6、29、66更多或更少CD 資料點。 如熟習此項技術者所理解,CD資料點收集的密度將 19 200818259 影響 CD資料點(測量點)與多區烘烤板之區域幾何佈置之 相關性。在一些實施例中,以一柵格配置來收集該等 CD 資料點,該等柵格配置在每個點之間具有一預定距離,例 如,約20-30公釐。此距離可以位置之函數變化,在晶圓 中央、邊緣或類似位置,提供增加或減少的密度,以適應 特定應用之需要。 根據多區加熱器板之幾何佈置,每個加熱器區的量測 點的數目以及在每個不同加熱器區的各量測點將有所變 化。因此,當CD資料點與加熱器區幾何佈置相關聯時, 一或多個CD資料點將會分配至每個加熱器區。典型地, 幾個量測點分將配給每個加熱器區,而被分配的量測點則 會遍佈在每一加熱器區的不同位置。 在一些實施例中,對CD資料點取平均,以作為每個 加熱器區計算平均CD值。此平均可藉由考慮在特定加熱 器區的每個CD資料點進行計算,或者可如以下詳述的加 權平均進行計算。而且,如果適用於特定應用,在一些實 施例中計算該區平均時,可使用該等 CD資料點之一子 集。因此,與C D量測製程相關的原始密度相較,量測點 的密度可變化或修改。通常,在較小的加熱器區中較佳具 有一較高的資料密度,例如位於如第3 A圖示出的烘烤板 的周邊的四個加熱器區3 1 2 3 - 3 1 2 6。 僅以實例方式,在一特定精密測定製程中(其可為光 CD,OCD),利用一掃描電子顯微鏡(或類似物)進行的CD 量測(CD-SEM),可量測60個CD資料點。此等60個量測 20 200818259 點在半導體晶圓之表面以一栅格圖案平均分佈。對於在第 3 A圖示出的多區烘烤板,在加熱器區3 1 2 i大約有1 0個量 測點,在加熱器區3 1 22大約有3 0個量測點,在加熱器區 3 1 2 3 - 3 1 2 6大約有5個量測點。 該方法還包括將量測的CD分佈與需要的CD分佈(408) 比較。如果量測的CD分佈在預定的限制之内,或者滿足 (4 1 0),則該CD控制製程在步驟4 1 6終止。可藉由與預定 規範(spec)或其他準則相比較,決定 CD分佈是否符合要 求。 如果所量測的CD分佈不滿足需要,則在步驟4 1 2中, 計算所量測的CD分佈與需要的CD分佈之間偏移。在本 說明書中將提供關於在步驟4 1 2中如何計算偏移量之討 論,以下將詳細說明。基於所計算的偏移,可修改該多區 烘烤板的各加熱器區的溫度,以在各加熱器區提供不同溫 度。修改一個區中的溫度典型地不僅將影響修改區的CD, 而且由於加熱器區之間的串擾(以及其他因素)導致的結 果,會影響其他區的CD。因此,如在第4A圖所示出,使 用一重複製程,重複製程400之一或多個步驟多次,直至 作為晶圓位置函數的量測的 CD,在一預定容限中符合目 標CD分佈或滿足其他需要。 在步驟4 1 4,為了決定適用於每個加熱器區的溫度修 改量,故利用在微影製程中所使用的特定光阻之溫度敏感 性分佈(例如,CD對溫度作圖的斜率)。 第5A圖係對於一第一實例光阻Resist 1之溫度敏感 21 200818259 性簡化圖。如在該圖中所示,對於Resist 1, 曲線通常為線性。如果目標CD為值B,而 的量測的平均C D為值A,則加熱器區的溫S 加到溫度T b。因此,利用與第5 A圖中示出 分佈類似的圖,對於每個加熱器區的ACD轉 熱器區的ΔΤ。第5B圖係對一第二實例光阻 度敏感性之簡化圖。如在第5B圖示出,不 敏感性為線性。在一些實施例中,利用 (polynomial fitting)或其他建立模型技術, 敏感性的模型。本領域内熟習技術人員將理 修改及替代物。 回到在第4 A圖中示出的步驟4 1 2,可以 算CD偏移。如以上討論,可平均覆蓋在每 的CD值,以為每個加熱器區提供一簡化的 另外,可利用對位於一加熱器區以及相鄰區 測,計算加權平均。在一特定實施例中,可 在一特定加熱器區之點及/或位於相鄰區之點 第3 B圖係一多區加熱器板之部分的簡 在本發明一特定實施例中,使用的加權平均 第3B圖中所示出,在第3A圖中的部份多區 定數目之CD量測點覆蓋。為清楚目的,沒 測點。在一特定實施例中,落入在一熱器區 域3 40間的量測點,則被用於決定加熱器區 C D平均。量測點的 10個點,即量測點 1 C D相對溫度 對一加熱器區 ί從溫度TA增 的溫度敏感性 換為對每個加 Resist 2 之溫 需要阻劑溫度 多項式擬合 建立阻劑溫度 解許多變化、 各方式執行計 個加熱器區上 平均CD値。 之點的CD量 將加權運用至 〇 化圖示,示出 的計算。如在 烘烤板係被一 有示出所有量 3 126至邊界區 3 1 2 6的一加權 330-336 以及 22 200818259 366-370,覆蓋加熱器區3126。Fig. 4A is a flow chart showing a method of controlling wafer CD distribution according to an embodiment of the present invention. Method 400 begins with step 402 as shown in FIG. 4A. In step 404, the wafer (or set of wafers) is processed through a CD process using a multi-zone bake plate (BHP). In step 406, a graph of % distribution of CD in the wafer is obtained using a precision metrology tool. For example, the precision measurement tool can be a precision measurement tool from Nanometrics Atlas of Nano metrics, Inc. of Milpitas, CA, SpectraCD 200 from KLA-Tencor Corp. of San Jose, CA, or other suitable precision measurement. tool. It should be noted that the acquired CD map in step 406 can be taken after performing a lithography process on the wafer, or after other processing steps, including etching, deposition, annealing, and the like. The number of C D data points contained in the wafer C D map will usually depend on the specific operating parameters selected for the precision measurement tool. Typically, these specific operating parameters are based on manufacturing conditions. For example, the yield of a precision measurement tool is usually inversely proportional to the number of collected CD data points, and completing a higher yield will reduce the number of CD data points provided. In some embodiments, the number of CD data points is much greater than or equal to the number of heater zones provided by the multi-zone bake plate. Thus, some embodiments will provide 6, 29, 66 more or fewer CD data points. As understood by those skilled in the art, the density of CD data points will be related to the geometrical arrangement of the CD data points (measurement points) and the multi-zone bake plates. In some embodiments, the CD data points are collected in a grid configuration having a predetermined distance between each point, for example, about 20-30 mm. This distance can be varied as a function of position, providing increased or decreased density at the center, edge, or the like of the wafer to suit the needs of the particular application. Depending on the geometry of the multi-zone heater plate, the number of measurement points per heater zone and the individual measurement points in each of the different heater zones will vary. Thus, when a CD data point is associated with a heater zone geometry, one or more CD data points will be assigned to each heater zone. Typically, several measurement points will be assigned to each heater zone, and the assigned measurement points will be spread across different locations in each heater zone. In some embodiments, the CD data points are averaged to calculate an average CD value for each heater zone. This averaging can be calculated by considering each CD data point in a particular heater zone, or can be calculated as a weighted average as detailed below. Moreover, if applicable to a particular application, a subset of the CD data points can be used when calculating the zone average in some embodiments. Therefore, the density of the measurement points can be varied or modified as compared to the original density associated with the CD measurement process. Generally, it is preferred to have a higher data density in the smaller heater zone, such as four heater zones 3 1 2 3 - 3 1 2 6 located around the periphery of the bake plate as shown in Figure 3A. . By way of example only, in a specific precision measurement process (which can be optical CD, OCD), a CD measurement (CD-SEM) using a scanning electron microscope (or the like) can measure 60 CD data. point. These 60 measurements 20 200818259 points are evenly distributed in a grid pattern on the surface of the semiconductor wafer. For the multi-zone bake plate shown in Figure 3A, there are approximately 10 measurement points in the heater zone 3 1 2 i and approximately 30 measurement points in the heater zone 3 1 22, in heating There are approximately 5 measuring points in the zone 3 1 2 3 - 3 1 2 6 . The method also includes comparing the measured CD distribution to a desired CD distribution (408). If the measured CD distribution is within a predetermined limit, or (4 1 0) is satisfied, the CD control process is terminated at step 416. Whether or not the CD distribution meets the requirements can be determined by comparison with a predetermined specification or other criteria. If the measured CD distribution does not meet the need, then in step 4 1 2, the offset between the measured CD distribution and the desired CD distribution is calculated. A discussion of how to calculate the offset in step 4 1 2 will be provided in this specification, which will be described in detail below. Based on the calculated offset, the temperature of each heater zone of the multi-zone bake plate can be modified to provide different temperatures in each heater zone. Modifying the temperature in one zone typically affects not only the CD of the modified zone, but also the results of crosstalk between heater zones (and other factors) that affect the CDs of other zones. Thus, as shown in FIG. 4A, one or more steps of the repetitive process 400 are repeated multiple times using a repetitive replication process until the CD as a function of wafer position function meets the target CD distribution in a predetermined tolerance. Or meet other needs. In step 4 14 4, in order to determine the amount of temperature modification applicable to each heater zone, the temperature sensitivity distribution of the particular photoresist used in the lithography process (e.g., the slope of the CD versus temperature plot) is utilized. Figure 5A is a temperature sensitive of a first example photoresist Resist 1 21 200818259 Simplified figure. As shown in this figure, for Resist 1, the curve is usually linear. If the target CD is the value B and the measured average C D is the value A, the temperature S of the heater zone is added to the temperature T b . Therefore, ΔΤ for the ACD heat exchanger area of each heater zone is used for a map similar to the distribution shown in Fig. 5A. Figure 5B is a simplified diagram of the sensitivity of a second example to photoresist. As shown in Fig. 5B, the insensitivity is linear. In some embodiments, a model of sensitivity is utilized using polynomial fitting or other modeling techniques. Modifications and alternatives will be apparent to those skilled in the art. Returning to step 4 1 2 shown in Fig. 4A, the CD offset can be counted. As discussed above, the average CD value can be covered to provide a simplified for each heater zone. Alternatively, a weighted average can be calculated using a pair of heater zones and adjacent zones. In a particular embodiment, a portion of a multi-zone heater panel can be used at a point in a particular heater zone and/or at a point in the adjacent zone, in a particular embodiment of the invention. The weighted average is shown in Figure 3B, and the partial multi-region CD number measurement points in Figure 3A are covered. For the sake of clarity, there is no point. In a particular embodiment, the measurement points that fall between a heater zone 3 40 are used to determine the heater zone C D average. The 10 points of the measurement point, that is, the relative temperature of the measurement point 1 CD, the temperature sensitivity of a heater zone ί from the temperature TA is changed to the resistance polynomial fitting of the temperature required for each Resist 2 to establish a resist. The temperature resolves many changes, and each mode performs an average CD 计 on the heater zone. The amount of CD at the point is applied to the calculation shown in Figure ,. The heater zone 3126 is covered as shown in the baking plate by a weighting 330-336 and 22 200818259 366-370 showing all quantities 3 126 to the boundary zone 3 1 2 6 .

其他量測點,例如六個量測點3 5 0、3 5 2、3 6 0、3 6 2、 364以及372(「邊界點」)位於感興趣的加熱器區外部,但 仍在邊界區域3 40以内。雖然此等邊界點沒有覆蓋加熱器 區,但在為加熱器區3 1 26計算「平均」CD時,可根據需 要包括與此等邊界點相關的CD值。因此與此等六個邊界 點相關的 CD值被加權(即小於或等於一的加權),以將其 對此區平均值的所占比重算入。該等加權可依據幾個因素 相等或不等,這些因素包括每個點與區中央或區邊緣的距 離、點對相鄰加熱器區的鄰近度等類似因素。一些量測點, 例如,3 5 4及3 5 8位於邊界區的邊緣上。根據所選擇的特 定演算法,可對此等值加權或忽略。雖然不需要,但在以 下實例中則將其忽略。最後,在此特定實施例中一些點(例 如量測點3 5 6在邊界區以外)則被忽略。 作為實例,利用以下演算法為加熱器區計算加權平 均,其中對所有邊界點給一相等加權w 一 (y (330 …336) + X (366 …370)) + <350 + 352 + 360 + 362 + 364 + 372) CDw, - -—-—~~:--, 其中,在分母中的值10等於覆蓋在加熱器區31 26上 的量測點數目,值6等於歸類為邊界點的量測點之數目。 當然,根據特定實施例,可將其他加權運用於量測值。在 以上演算法中,忽略在邊界區3 40以外的點,但本發明對 此不作要求。此等點亦可被加權,並然後包括在計算之中。 23 200818259Other measuring points, such as six measuring points 3 5 0, 3 5 2, 3 6 0, 3 6 2, 364 and 372 ("Boundary Point") are located outside the heater zone of interest, but still in the boundary zone Within 3 40. Although these boundary points do not cover the heater zone, when calculating the "average" CD for the heater zone 3 1 26, the CD values associated with such boundary points may be included as needed. Therefore, the CD values associated with these six boundary points are weighted (i.e., weighted less than or equal to one) to account for their share of the average of the zone. These weights may be equal or unequal depending on several factors, including the distance of each point from the center or zone edge of the zone, the proximity of the point to the adjacent heater zone, and the like. Some measurement points, for example, 3 5 4 and 3 5 8 are located on the edge of the boundary zone. These values can be weighted or ignored depending on the particular algorithm chosen. Although not required, it is ignored in the following examples. Finally, some points in this particular embodiment (e.g., measurement points 365 outside the boundary area) are ignored. As an example, the following algorithm is used to calculate a weighted average for the heater zone, where an equal weight w is given to all boundary points (y (330 ... 336) + X (366 ... 370)) + <350 + 352 + 360 + 362 + 364 + 372) CDw, - ----~~:--, where the value 10 in the denominator is equal to the number of measurement points covering the heater zone 31 26, and the value 6 is equal to the classification as the boundary point The number of measurement points. Of course, other weights can be applied to the measurements, depending on the particular embodiment. In the above algorithm, points outside the boundary area 3 40 are ignored, but the present invention does not require this. These points can also be weighted and then included in the calculation. 23 200818259

熟習此項技術者可理解,上述實例(其中邊界區點被加 權)可擴展為對附加CD量測點的計算,而不管其在晶圓上 的位置。如此一來,在決定多區烘烤板之CD分佈時,可 計算一個加熱器區對另一加熱器區的影響(即,加熱器區之 間的串擾)。僅以實例方式,對於一六區烘烤板可使用6x6 矩陣,此6x6矩陣則與每個加熱器區對所有其他加熱器區 之變化相關。作為一實例,在此基於矩陣的計算中,如果 第一區的溫度升高 0.5 °C,則一相鄰區的溫度可增加 0.2 °C,並且在該烘烤板之相對側的一區的溫度可減少 0.03 °C,等等。當然,可根據經驗、計算或二者之組合來決定 實際值。 而且,可採用該板的一實體模型,並於其中執行一計 算,以決定在該板上每個C D點的溫度,作為該偏移的函 數,並使用所計算的溫度來計算可能的 CD。然後使用一 最佳化技術,使預測的CD與所需要CD間的方差之和最 小化,以找到最佳預測偏移。在此一模型中,偏移可為可 調整的參數。另外,除了使在該板上平方和最小化以外, 本發明之實施例中還可包括更複雜的模型。本領域内熟習 技術人員將理解許多變化、修改及替代物。 應理解,根據本發明之實施例,在第4A圖中示出的 具體步驟,提供一種獲取所需要CD分佈之特定方法。根 據可替代實施例,亦可執行其他步驟順序。例如,本發明 之替代性實施例可以一不同順序執行以上列出的步驟。而 且,在第4A圖中示出的單個步驟可包括多個子步驟,該 24 200818259 等子步驟可以適用於單個步驟之各順序執行。而且,可根 據特定應用增加或去除附加步驟。本領域内熟習技術人員 將理解許多變化、修改及替代物。 在一替代性實施例中,提供另一種控制CD的方法。 繪示在第4 B圖之簡化流程圖中的方法4 3 0,包括以下步驟: 1) 獲取含有一定數目CD資料點之晶圓CD圖(432)。 該晶圓CD圖可利用上述幾個可用精密測定工具之 一獲取; 2) 使CD資料點(例如每個晶圓66個CD資料點)與加 熱器板區幾何佈置相互關聯(434); 3) 對該加熱器板的每個區分配至少一個 CD資料點 (436); 4) 將一或多個加熱器區的量測CD值與該加熱器區的 一目標 CD比較,為一或多個加熱器區提供一 △ CD(43 8)。在一特定實施例中,比較所量測CD值 包括如上所述,為各加熱器區計算一平均CD值, 並將此等值與每個加熱器區的目標CD值比較,以 產生一 C D偏移。如上所述,該平均值可為簡單平 均、為相鄰或所有區的加權平均或類似物。 5) 依據 CD 偏移修改一或多個加熱器區的溫度 (440);以及 6) 視情況重複步驟(1)至(5) —或多次(例如 3-5 次)(442)。根據本發明之一些實施例,利用在第4B 圖中示出的方法,可反覆控制作為晶圓位置函數之 25 200818259 CD岣句性。例如,步驟442可包括決定一或 加熱器區的△ CD是否小於一預定臨限值。 隹…丨些貫施例對CD採用線性函數作為溫度 本I明之實施例不對此作要求。本文也可提供 山數關係,包括多項式擬合,或其他與CD對溫度或 裝私,數變化相關的模型。因此,在步驟43 8,雖然 一差值’但該計算可包括一差分計算或其他擬合程序 適用於所使用的特定製程。本領域内熟習技術人員將 許多變化、修改及替代物。 應理解’根據本發明之實施例,在第4 B圖中示 具體步驟提供了 一種特定控制CD的方法,以在目損 刀佈預定谷限之内,得到量測的CD分佈。根據替代 】 方了執行其他步驟順序。例如,本發明之替代 施例可以一不同順序執行以上列出的步驟。而且,在 圖中示出的單個步驟可包括多個子步驟,該等子步驟 應於該單個步驟而以各種順序執行。而且,根據特定肩 可增加或移除附加步驟。本領域内熟習技術人員將理 多變化、修改及替代物。 利用本發明之實施例中提供的技術,可以晶圓位 函數來減少或最小化該CD非均勻性。通常在處理一 圓之前’先處理一或多個校準晶圓。因此,利用該校 圓來校準該系統’並決定該最小CD非均勻性。另外 本發明之實施例提供的技術,實質上可用於一製程控 算法之部分(例如,自動製程控制APC))及類似物。 多個 之函 其他 其他 示出 ,以 理解 出的 CD 性實 性實 % 4B 可適 t用, 解許 置之 批晶 準晶 ,由 制演 26 200818259 本發明之實施例提供之演算法可用於特定半^ 中的關鍵尺寸(CD)控制。作為一實例,可控制並1 烤板之溫度分彳布’以作為晶圓位置之函數’進而最 非均勻性。在另一實施例中,該CD調變演算法ΐ 步驟: 1) 量測一晶圓CD分佈; 2) 獲取一晶圓溫度圖; μ體製程 ^變一烘 小化CD 4括以下Those skilled in the art will appreciate that the above examples (where the boundary points are weighted) can be extended to the calculation of additional CD measurement points regardless of their position on the wafer. As a result, when determining the CD distribution of the multi-zone bake plate, the influence of one heater zone on the other heater zone (i.e., crosstalk between the heater zones) can be calculated. By way of example only, a 6x6 matrix can be used for a six-zone bake plate, which is related to each heater zone change for all other heater zones. As an example, in the matrix-based calculation, if the temperature of the first zone is increased by 0.5 ° C, the temperature of an adjacent zone may be increased by 0.2 ° C, and a zone on the opposite side of the bake plate The temperature can be reduced by 0.03 °C, and so on. Of course, the actual value can be determined based on experience, calculations, or a combination of both. Moreover, a solid model of the panel can be employed and a calculation performed therein to determine the temperature of each C D point on the panel as a function of the offset and use the calculated temperature to calculate the possible CD. An optimization technique is then used to minimize the sum of the variances between the predicted CD and the desired CD to find the best prediction offset. In this model, the offset can be an adjustable parameter. Additionally, in addition to minimizing the sum of squares on the board, more complex models may be included in embodiments of the present invention. Many variations, modifications, and alternatives will be apparent to those skilled in the art. It will be appreciated that the specific steps illustrated in Figure 4A, in accordance with an embodiment of the present invention, provide a particular method of obtaining the desired CD distribution. Other sequences of steps may also be performed in accordance with alternative embodiments. For example, alternative embodiments of the present invention may perform the steps listed above in a different order. Moreover, the individual steps illustrated in Figure 4A may include multiple sub-steps, which may be applied to each sequential execution of a single step. Moreover, additional steps can be added or removed depending on the particular application. Many variations, modifications, and alternatives will be apparent to those skilled in the art. In an alternative embodiment, another method of controlling a CD is provided. The method 430 shown in the simplified flowchart of FIG. 4B includes the following steps: 1) Acquiring a wafer CD map (432) containing a certain number of CD data points. The wafer CD map can be obtained by using one of the above several available precision measuring tools; 2) correlating the CD data points (eg, 66 CD data points per wafer) with the heater panel geometry (434); Assigning at least one CD data point (436) to each zone of the heater board; 4) comparing the measured CD value of one or more heater zones to a target CD of the heater zone, one or more The heater zone provides a Δ CD (43 8). In a particular embodiment, comparing the measured CD values includes calculating an average CD value for each heater zone as described above, and comparing the values to a target CD value for each heater zone to generate a CD. Offset. As noted above, the average may be a simple average, a weighted average of adjacent or all zones, or the like. 5) Modify the temperature of one or more heater zones according to the CD offset (440); and 6) Repeat steps (1) through (5) - or multiple times (for example, 3-5 times) (442) as appropriate. According to some embodiments of the present invention, the method shown in FIG. 4B can be used to repeatedly control the syllabicity as a function of wafer position. For example, step 442 can include determining if the ΔCD of a heater zone is less than a predetermined threshold.隹... These examples use a linear function as the temperature for the CD. This embodiment does not require this. This article also provides a mountain number relationship, including polynomial fits, or other models related to CD temperature or smuggling, number changes. Thus, at step 43 8, although a difference', the calculation may include a differential calculation or other fitting procedure applicable to the particular process being used. Many variations, modifications, and alternatives will be apparent to those skilled in the art. It will be understood that the specific steps shown in Figure 4B provide a method of specifically controlling the CD to obtain a measured CD distribution within a predetermined valley of the target damper, in accordance with an embodiment of the present invention. According to the alternative, the order of other steps is performed. For example, alternative embodiments of the present invention may perform the steps listed above in a different order. Moreover, a single step shown in the figures may include a plurality of sub-steps that are performed in various sequences in the single steps. Moreover, additional steps can be added or removed depending on the particular shoulder. Many variations, modifications, and alternatives will be apparent to those skilled in the art. With the techniques provided in embodiments of the present invention, the wafer bit function can be used to reduce or minimize the CD non-uniformity. One or more calibration wafers are typically processed prior to processing a circle. Therefore, the calibration is used to calibrate the system' and the minimum CD non-uniformity is determined. Additionally, the techniques provided by embodiments of the present invention are substantially applicable to portions of a programmed algorithm (e.g., automatic process control APC) and the like. A plurality of other functions are shown, in order to understand that the CD-like solid real %B can be used, and the solution of the batch crystallographic crystal is obtained. The algorithm provided by the embodiment of the present invention can be used for the production of 26 200818259. Critical size (CD) control in a specific half. As an example, the temperature distribution of the 1 baking sheet can be controlled as a function of wafer position and thus the least uniformity. In another embodiment, the CD modulation algorithm ΐ steps: 1) measuring a wafer CD distribution; 2) obtaining a wafer temperature map; μ system changing to a small drying CD 4

3)量測 d(CD);3) Measurement d (CD);

4)決定該加熱器區設置;以及 5 )如果有必要,執行其他步驟。 在一實施例中,可利用一量測晶圓得到該晶 圖,該量測晶圓諸如產自Sens Array Corporation ι Clara, CA 的 SensArray Process Probe ® 裝 (instrumented)基板、產自 Onwafer Technologies ( Clara,CA 的 BakeTemp SensorWafer 或類似裝置, 待處理晶圓的溫度圖。在步驟3,量測作為温度函 變化。可量測作為位置函數量測,提你4) Decide on the heater zone setting; and 5) Perform additional steps if necessary. In one embodiment, the crystallographic map can be obtained using a wafer, such as the SensArray Process Probe® instrumented substrate from Sens Array Corporation ι Clara, CA, produced by Onwafer Technologies (Clara) , CA's BakeTemp SensorWafer or similar device, the temperature map of the wafer to be processed. In step 3, the measurement as a temperature function change. Measure as a position function measurement, mention you

aT 量函數。CD與溫度之間的函數關係通常可為非線 並可利用熟習技藝者所習知之各個模型建立技; 化。 在使用散射量度器(scatterometer)的系統中, 化為基準之散射量度分佈中的光變化,可用來研 圓溫度 Santa 備儀器 Santa 以得到 數的CD ^多個變 ft函數, <來模型 々溫度變 &在晶圓 27 200818259 上執行的反應製程。加熱器區設置部分地基於—量測aT quantity function. The functional relationship between CD and temperature can generally be non-linear and can be accomplished using various models known to those skilled in the art. In a system using a scatterometer, the change in light in the scattering metric distribution of the reference can be used to round the temperature Santa to prepare the instrument to obtain the CD ^ multiple ft function of the number, < model Temperature change & reaction process performed on wafer 27 200818259. The heater zone setting is based in part on the measurement

dT 的結果。 在其他實施例中,則是利用於此所提供之技術,達成 一作為晶圓位置函數的預定C D分佈,而並非將作為晶圓 . 位置函數的CD非均勻性最小化。僅藉由實例方式,可需 要提供一以晶圓半徑函數減小的 CD分佈。在此實施例 中,可分析該作為晶圓半徑函數的 CD,並可使作為晶圓 f > 半徑函數的量測的 CD與所需要的 CD之間的差異最小 化。當然,利用本發明之實施例,可提供在C D與位置之 間的其他函數關係。 本發明之某些實施例則是用來校準一烘烤板,或使一 烘烤板溫度分佈最佳化。在此實施例中,採用一量測晶圓 或其他溫度量測裝置(例如如以上所述的 Sens Array Process Probe®裝備儀器基板)來量測作為烘烤板幾何佈置 函數的烘烤板溫度。執行一計算來決定所量測之溫度與作 為該烘烤板幾何佈置函數之目標溫度間的差別。然後調整 I 供烤板之加熱裔區,以對該決定的溫度差別作出回應。重 • 複此製程,直至作為位置函數的烘烤板溫度於預定容限以 内0 烘烤板校準製程之步驟可按如下執行: 1) 決定晶圓CD分佈; 2) 在該多區烘烤板上放置一量測晶圓; 3) 量測該作為一位置之函數的烘烤板溫度; 28 200818259 4) 計算作為位置之函數的 Tmeasured - Ttarget(即 △ T(位置)); 5) 計算作為位置之函數的 CDmeasured - CDtarget(即 ACD(位置)); 6) 調整加熱器區設置,以回應所計算的溫度差別; 7) 決定AT(位置)是否小於一預定容限;以及 8) 重複步驟2)-5),直至ΔΤ(位置)小於預定容限。 作為一實例,描述另一 CD調變製程。利用一量測晶The result of dT. In other embodiments, a predetermined CD distribution as a function of wafer position is achieved using the techniques provided herein, rather than minimizing CD non-uniformity as a function of the wafer. By way of example only, it may be desirable to provide a CD distribution that is reduced by a radius of the wafer. In this embodiment, the CD as a function of wafer radius can be analyzed and the difference between the measured CD as a function of radius f > radius and the required CD can be minimized. Of course, with the embodiments of the present invention, other functional relationships between C D and location can be provided. Some embodiments of the invention are used to calibrate a bake plate or to optimize a bake plate temperature profile. In this embodiment, a wafer or other temperature measuring device (e.g., the Sens Array Process Probe® instrument substrate as described above) is used to measure the temperature of the bake plate as a function of the geometric arrangement of the bake plate. A calculation is performed to determine the difference between the measured temperature and the target temperature as a function of the geometric arrangement of the bake plate. Then adjust the heating zone for the baking sheet to respond to the determined temperature difference. Repeat • Repeat this process until the bake plate temperature as a function of position is within the predetermined tolerance. 0 The bake plate calibration process can be performed as follows: 1) Determine the wafer CD distribution; 2) Bake the plate in the multi-zone Place a measurement wafer on it; 3) measure the temperature of the baking sheet as a function of position; 28 200818259 4) Calculate Tmeasured - Ttarget as a function of position (ie Δ T (position)); 5) Calculate as CDmeasured - CDtarget (ie ACD (position)); 6) adjust the heater zone setting in response to the calculated temperature difference; 7) determine if the AT (position) is less than a predetermined tolerance; and 8) repeat the steps 2)-5) until ΔΤ (position) is less than the predetermined tolerance. As an example, another CD modulation process will be described. Using a quantity of crystal

圓或其他溫度量測裝置來提供一晶圓溫度圖,其包括晶圓 之平均溫度以及作為位置之函數的溫度。該作為位置函數 的溫度與在該加熱器板中各區中之溫度相關。在執行一半 導體製程(例如顯影)之後,利用一工具來量測晶圓之CD, 該工具係位於該微影工具(整合精密測定)或在該微影工具 (獨立精密測定)之外。對於每個加熱器區,則對所對應的 晶圓區進行量測,以提供一平均CD,並為每個區提供CD 值。因此,對於每個區,提供了 一平均溫度及一平均C D。 變化一區之溫度,且重複該量測製程,以提供-△-呀)之A circular or other temperature measuring device provides a wafer temperature map that includes the average temperature of the wafer and the temperature as a function of position. The temperature as a function of position is related to the temperature in each zone in the heater plate. After performing a half-system (e.g., development), a tool is used to measure the CD of the wafer, either outside the lithography tool (integrated precision measurement) or outside the lithography tool (independent precision measurement). For each heater zone, the corresponding wafer area is measured to provide an average CD and provide a CD value for each zone. Thus, for each zone, an average temperature and an average C D are provided. Change the temperature of a zone and repeat the measurement process to provide -△-呀

AT 量測。使多個量測作為區溫度之函數,以提供AT measurement. Make multiple measurements as a function of zone temperature to provide

d{CD)dT 之繪 圖。將可理解,在區之間會交互作用,因此為生量測d{CD) drawing of dT. It will be understood that there will be interactions between the zones, so

dT 形成一矩陣,變化第一區以提供一個一維度行,並然後變 化另一區,然後變化二者。本領域内熟習技術人員將理解 29dT forms a matrix, changes the first zone to provide a one-dimensional row, and then changes another zone, and then changes both. Those skilled in the art will understand that 29

200818259 許多變化、修改及替代物。一旦得到---^量測200818259 Many changes, modifications and alternatives. Once you get the ---^ measurement

dT 達成所需要 C D,將加熱器區的溫度設置為達成 的CD 〇 應理解,根據本發明之實施例,以上示出的 提供一特定執行CD之方法。根據替代性實施例 行其他步驟順序。例如,本發明之替代性實施例 同順序執行以上列出的步驟。而且,在以上示出 驟可包括多個子步驟,該等子步驟可以適用於該 之各種順序執行。而且,根據特定應用,可增加 加步驟。本領域内熟習技術人員將理解許多變化 替代物。 上述CD調變演算法亦可用於最佳化一或多 體晶圓微影製程中使用的製程。此等製程包和 於):烘烤製程、顯影製程、光阻塗覆或其他塗覆 積製程或類似製程。在烘烤製程中,根據本發明 提供之方法包括以下步驟: 1) 量測一晶圓CD分佈 2) 獲取一晶圓溫度圖 矩陣,為 該所需要 具體步驟 ,亦可執 可以一不 的單個步 單個步驟 或移除附 、修改及 個在半導 (但不限 製程、沈 之實施例 3) 量測dT achieves the required C D , setting the temperature of the heater zone to the achieved CD 〇 It should be understood that, in accordance with an embodiment of the present invention, a method of specifically executing a CD is provided. Other sequence of steps is performed in accordance with an alternative embodiment. For example, alternative embodiments of the present invention perform the steps listed above in the same order. Moreover, the above description may include a plurality of sub-steps that may be applied to the various sequences performed. Moreover, depending on the particular application, additional steps can be added. Those skilled in the art will appreciate many variations and alternatives. The CD modulation algorithm described above can also be used to optimize processes used in one or more wafer lithography processes. Such process packages are:) a baking process, a developing process, a photoresist coating or other coating process or the like. In the baking process, the method provided according to the present invention comprises the following steps: 1) measuring a wafer CD distribution 2) obtaining a wafer temperature map matrix, for the specific steps required, or Step in a single step or remove the attachment, modification, and measurement in the semi-conductor (but not limit, Shen 3)

d{CD)dT 4) 決定加熱器區設置 5) 執行烘烤製程d{CD)dT 4) Determine the heater zone setting 5) Perform the baking process

6) 量測晶圓CD 306) Measuring wafer CD 30

200818259 7) 最佳化加熱器區設置 8 ) 必要時執行其他步驟 應理解上述示出的具體步驟,係根據本發明之實施 所提供的用於微影製程執行CD調變的特定方法。根據 代性實施例,亦可執行其他順序步驟。例如,本發明之 代性可以不同順序執行以上列出的步驟。而且,以上示 的單個步驟可包括多個子步驟,該等子步驟可以適用於 個步驟之多個順序執行。而且,可根據特定應用增加或 除附加步驟。本領域内熟習技術人員將理解許多變化、 改及替代物。 第6圖係根據本發明之實施例的多區加熱器板的簡 截面視圖。如第6圖所示,利用一定數目的輻射燈,來 供獨立於空間的加熱器區。這些可發射紅外線或其他波 輻射的燈可在與基板對齊的平面中,以二維度圖案佈置 如所示,該加熱器板可由石英或其他合適材料構成,以 節吸收由該等燈之輻射,利用鄰近的梢來支援晶圓。包 真空卡盤及靜電卡盤(E-chuck)的卡盤機構可用來適用 特定應用。 在回饋迴路(未示出)中使用一控制器,以改變單個 的強度,從而向加熱器板提供空間變化的熱負載。在某 實施例中,一電腦(未示出)與用來量測晶圓或基板溫度 感測器(未示出)相互作用,以對該控制器提供.輸入訊息 利用該等燈作為像素,在該加熱器板上可產生一兩維度 度圖案,進而形成一些可控制的區。結合以上討論的加 例 替 替 出 單 去 修 化 提 長 〇 調 括 於 燈 些 的 〇 強 熱 31 200818259 器板設計,包括分段的加熱器元件,兮妬 傳導性可結合在第丨中 以板在側方向上的熱 溫度分佈提供額外控制。 丁刀熟斋板的 第7圖係根據本發明之一每 卜 只施例之多區加埶哭始从 簡化截面視圖。如在第7 娇…w板的 me 圖所不’利用-空間光調變写, 例如一數位電子顯微鏡裝置 交^ 板上的輻射能量強度。 ‘、、'恭 一輻射源(例如紅外燈)可用 用朿為該空間光調變芎提供 輻射。在第7圖中示出的實 n U知供 m 中一數位光投影儀(DLP) 用來在加熱器板的背部建立 1豕言為基礎的影像。通常在 一回饋回路(未示出)中,利用一 控制裔來控制DLP陣列。 利用在第7圖中所繪之本發明一 ,^ ^ ^ ^ μ鼽例,在該加熱器板的 表面提供一二維度強度圖荦, 進而在晶圓上形成空間變化 的>JDL度分佈。 在一實施例中,除了吸收士 由燈所提供並利用D L P傳導 至加熱器板的熱輻射的材料以 L 卜,該加熱器板還包括一電 阻加熱元件。因此,藉由雷力 ,曰宙電加熱器及輻射加熱器元件,可 供加熱益板之溫度分佈的批生 工制。輻射及電阻加熱的結合 則提供了 一種加熱器板之厚户# 各扯> + 度較傳統加熱器板小的設計。 在一特定實施例中,—旦 —电阻加熱元件(其可在一分段 設計中設置)已經使該加埶界此土 ' ^ 又 A义 、、板達到一操作溫度後,則利用 D L P基於像素的幸畐射,來勃,上 ^ 丁调變溫度分佈。由第7圖之 貫施例所提供以像素為基礎的 ^ f , 、&制’可結合如上討論的加 熱器設計。本領域内熟習技彳t u人員將理解許多變化、修改 32 200818259 及替代物。 可將一可調整的散熱機構實體附加至加熱器板(例如 一烘烤板),以空間地改變該加熱器板的散熱。作為一貫 例,可利用一些可獨立控制的含有液體(例如水、油及類似 物)的鋼管網路,來改變空間散熱。 根據本發明之另一實施例,用於控制晶圓及底座之間 間隙的方法及系統,可用來在導執式微影工具應用中提供 熱控制。此等方法及系統包括利用一靜電卡盤(E-chuck)。 在美國專利申請公開案第2006/0238954號(2006年10月 26日公開,名為「用於導執式熱板的靜電卡盤」,其全文 以引用方式併入本發明)中對E-chuck提供額外的描述。應 注意,利用靜電卡盤會導致晶圓對卡盤或底座表面修平, 減少在晶圓及底座之間的間隙的非均勻性。 在另一實施例中,實體上分離的加熱器區(例如在—環 形配置中,在一基於象限(qUadrant_based)配置中,及類似 配置)提供可獨立調節的底座部高度。因此,在晶圓部分及 底座之間的間隙可藉由修改單個底座部分的高度而改變。 在另一實施例中,可空間地改變背部氣壓,以維持曰 圓與底座間的可控制間隙。通常地,該背部氣壓提供 導媒體,其在底座與晶圓之間傳導熱。在一特定實施例中, 在所選擇的部份底座處將氣壓減小, & j 以使在晶圓與底座之 間具有更小的間隙。或者,局部增加該氣壓,&局部婵力 在晶圓與底座之間铺。背邹氣壓與更薄的加熱器二 合變化則運用在某些實施例中。 33 200818259 第8圖係說明根據本發明之實施例的一調整式加熱器 表面的簡化原理圖。在第3圖中示出的實施例中,該加熱 器的表面在該底座的中央向上方彎曲。當然,在其他實施 例中,該彎曲在中央向下,或者在底座的表面上變化,在 某些位置局部彎曲,在其他位置向下彎曲,並且在另一些 位置不加改變。在一特定實施例中,則量測晶圓中不平坦 的變化,並將一可變的弧形物引入到底座,以符合晶圓中 的變化。因此,在晶圓與該加熱器之間的間隙,實質上係 作為位置之函數的一常數(即di = d2 = d3)。 本發明之實施例提供用於在導執式微影工具之模組 中,加熱晶圓的方法及系統。第9圖為根據本發明之實施 例之將加熱的氣體引入一烘烤腔室之裝置的簡化原理圖。 如在第9圖中所示,在相對於該晶圓之表面上,提供具有 一定數目的孔的蓮蓬頭(showerhead)。一加熱的氣體(例如 氮)透過在該蓮蓬頭上之孔擴散進入該腔室。在第9圖由符 號Qi、 Q2、 Q3與Q〆、Q2f、及Q3·表示加熱氣體的流速 (flow rate)。在一具體實施例中,該流速係對稱的(即Qi = Q i ’),儘管本發明不要求此對稱。在一些實施例中,可控 制該等流速,使該流速作為半徑之函數變化。因此,在具 有較高溫度的基板部分提供較少的熱氣體流。在一特定實 施例中,對輻射式的流速控制(radial control),可提供作 為位置函數之從基板的均勻蒸發。 本發明之實施例提供加熱底座及透過該蓮蓬頭之加熱 氣體流二者之組合。另外,根據本發明之實施例提供如在 34 200818259 本說明書全文中較詳細描述的各方法及系統之組合。 除了在該晶圓表面上之蓮蓬頭,可在該底座的下側使 用具有流體喷射的加熱的蓮蓬頭。可變化晶圓頂部與加熱 的蓮蓬頭之間的間隙,以控制加熱速率及均勻性。本領域 内熟習技術人員將理解許多變化、修改及替代物。 本發明之實施例採用傳導製程’以對微影工具提供熱 控制。舉例而言,在一實施例中,將一氣體引導入晶圓的 背部,以便加熱該晶圓。在一具體實施例中,利用通過該200818259 7) Optimized heater zone setup 8) Performing other steps as necessary It should be understood that the specific steps illustrated above are specific methods for performing CD modulation for lithography processes provided in accordance with implementations of the present invention. Other sequential steps can also be performed in accordance with an embodiment. For example, the generation of the present invention can perform the steps listed above in a different order. Moreover, the individual steps shown above may include multiple sub-steps that may be applied to multiple sequential executions of the steps. Moreover, additional steps may be added or removed depending on the particular application. Many variations, modifications, and alternatives will be apparent to those skilled in the art. Figure 6 is a simplified cross-sectional view of a multi-zone heater plate in accordance with an embodiment of the present invention. As shown in Figure 6, a number of radiant lamps are used to provide a space independent heater zone. The lamps that emit infrared or other wave radiation may be arranged in a two-dimensional pattern in a plane aligned with the substrate, as shown, the heater plate may be constructed of quartz or other suitable material to absorb radiation from the lamps. Use adjacent tips to support the wafer. The chuck mechanism of the vacuum chuck and electrostatic chuck (E-chuck) can be used for specific applications. A controller is used in the feedback loop (not shown) to vary the individual strength to provide a spatially varying thermal load to the heater plate. In one embodiment, a computer (not shown) interacts with a measurement wafer or substrate temperature sensor (not shown) to provide the controller with an input message utilizing the lamps as pixels. A two-dimensional pattern can be created on the heater plate to form some controllable zones. In combination with the additional examples discussed above, the replacement of the stencils and the sturdy heats of the lamps and lanterns 31 200818259, including the segmented heater elements, can be combined in the third The thermal temperature distribution of the plates in the lateral direction provides additional control. The seventh diagram of the Ding Knife is based on a simplified cross-sectional view of each of the multiple zones of the present invention. For example, in the 7th picture, the me picture of the board does not use the space-tone modulation, such as the intensity of the radiant energy on the board of a digital electron microscope. ‘,, 、, a source of radiation (such as an infrared light) can be used to provide radiation for the spatial light modulation. The digital light projector (DLP) shown in Figure 7 is used to create a rumor-based image on the back of the heater board. A DLP array is typically controlled by a control person in a feedback loop (not shown). Using the first invention of the invention depicted in Fig. 7, a two-dimensional intensity map is provided on the surface of the heater board to form a spatially varying > JDL degree distribution on the wafer. . In one embodiment, the heater board includes a resistive heating element in addition to a material that is provided by the lamp and that utilizes DL to conduct heat radiation to the heater plate. Therefore, the lightning force, the electric heater and the radiant heater element can be used to provide a batch system for the temperature distribution of the heating plate. The combination of radiation and resistance heating provides a design that is thicker than the traditional heater plate. In a particular embodiment, the resistive heating element (which may be provided in a segmented design) has caused the twisted boundary soil to be at an operating temperature and then based on DLP. Fortunately, the pixel is shot, and the temperature is distributed. The pixel-based ^f, &s' provided by the embodiment of Figure 7 can be combined with the heater design discussed above. Those skilled in the art will understand many variations, modifications 32 200818259 and alternatives. An adjustable heat sink mechanism can be physically attached to the heater plate (e.g., a bake plate) to spatially alter the heat dissipation of the heater plate. As a consistent example, some independently controllable steel pipe networks containing liquids (such as water, oil, and the like) can be used to change space heat dissipation. In accordance with another embodiment of the present invention, a method and system for controlling the gap between a wafer and a pedestal can be used to provide thermal control in a guided lithography tool application. These methods and systems include the use of an electrostatic chuck (E-chuck). U.S. Patent Application Publication No. 2006/0238954 (published on Oct. 26, 2006, entitled "Electrostatic Chuck for a Guided Hot Plate", which is incorporated herein by reference in its entirety) The chuck provides an additional description. It should be noted that the use of an electrostatic chuck can cause the wafer to be flattened to the surface of the chuck or the base, reducing the non-uniformity of the gap between the wafer and the base. In another embodiment, physically separate heater zones (e.g., in a toroidal configuration, in a qUadrant_based configuration, and the like) provide independently adjustable base height. Therefore, the gap between the wafer portion and the base can be changed by modifying the height of the single base portion. In another embodiment, the back air pressure can be spatially varied to maintain a controllable gap between the dome and the base. Typically, the back air pressure provides a conductive medium that conducts heat between the base and the wafer. In a particular embodiment, the air pressure is reduced at the selected portion of the base to provide a smaller gap between the wafer and the base. Alternatively, locally increase the pressure, & local force is spread between the wafer and the base. The combination of back pressure and thinner heaters is used in certain embodiments. 33 200818259 Figure 8 is a simplified schematic diagram showing the surface of an adjusted heater in accordance with an embodiment of the present invention. In the embodiment shown in Fig. 3, the surface of the heater is curved upward in the center of the base. Of course, in other embodiments, the bend is centered downward, or varies across the surface of the base, partially curved at some locations, downward at other locations, and unchanged at other locations. In a particular embodiment, the uneven variations in the wafer are measured and a variable arc is introduced into the pedestal to conform to variations in the wafer. Thus, the gap between the wafer and the heater is essentially a constant as a function of position (i.e., di = d2 = d3). Embodiments of the present invention provide methods and systems for heating wafers in a module of a guided lithography tool. Figure 9 is a simplified schematic diagram of an apparatus for introducing a heated gas into a torrefaction chamber in accordance with an embodiment of the present invention. As shown in Fig. 9, a showerhead having a certain number of holes is provided on the surface relative to the wafer. A heated gas (e.g., nitrogen) diffuses into the chamber through a hole in the showerhead. In Fig. 9, the flow rate of the heating gas is indicated by the symbols Qi, Q2, Q3 and Q〆, Q2f, and Q3·. In a specific embodiment, the flow rate is symmetrical (i.e., Qi = Q i '), although this invention does not require this symmetry. In some embodiments, the flow rates can be controlled such that the flow rate varies as a function of radius. Therefore, less hot gas flow is provided at the portion of the substrate having a higher temperature. In a particular embodiment, for radial mode control, uniform evaporation from the substrate as a function of position can be provided. Embodiments of the present invention provide a combination of both a heated base and a heated gas stream that passes through the showerhead. Further, a combination of methods and systems as described in greater detail throughout the specification of 34 200818259 is provided in accordance with an embodiment of the present invention. In addition to the showerhead on the surface of the wafer, a heated showerhead with fluid jets can be used on the underside of the base. The gap between the top of the wafer and the heated showerhead can be varied to control heating rate and uniformity. Many variations, modifications, and alternatives will be apparent to those skilled in the art. Embodiments of the present invention employ a conduction process to provide thermal control of the lithography tool. For example, in one embodiment, a gas is directed into the back of the wafer to heat the wafer. In a specific embodiment, the use of the

基板的多孔插頭,以對基板的背部提供加熱及/或冷卻氣 體。選擇該多孔插頭的數目、位置、尺寸及類似特性,以 供背部氣體的可控制分佈。在一特定實施例中,背部氣 體之分佈係均勻且為位置之函數,然後在其他實施例中, 該分佈根據特定應用而變化。在另一實施例中,在底座中 提供腔室,以允許背部氣體的均勻分佈。本發明之實施例 利用以上描述的多孔插頭或通道,提供朝向晶圓表面以及 離開晶圓表面的兩個氣體流。 本^明之另一實施例則利用了包括-「熱像素」陣列 的力”、、益板。在美國專利申請公開案第⑽料41號 (2007年1月4曰公佈, Plate》,其全文以引用方 之描述。 名為《Scalable Uniform Thermal $併入本發明)中對熱像素有額外 在一實施例中,利用轎射 面之發射率,以確保作為位置 施例中,加熱則呈位置之函數 來加熱晶圓,且修改晶圓表 函數的均勻加熱。在其他實 而變化,以使一彎曲的晶圓 35 200818259 均勻加熱。 在一實施例中,利用熱輕合至該底座背部的燃料電池 陣列來加熱底座。例如,一消耗氫及氧以產生水的燃料電 池,將產生有助於底座加熱的熱量。可控制提供至該燃料 電池的材料流速,以達成所需要的熱量產生及相關的底座 加熱。在一些實施例中,選擇燃料電池的尺寸、位置及類 似性質來提供為底座位置之函數的均勻加熱。由燃料電池 陣列提供的一優點係快速回應時間。The porous plug of the substrate provides heating and/or cooling of the gas to the back of the substrate. The number, location, size and similar characteristics of the porous plug are selected for a controlled distribution of back gas. In a particular embodiment, the distribution of the back gas is uniform and a function of position, and in other embodiments, the distribution varies depending on the particular application. In another embodiment, a chamber is provided in the base to allow for uniform distribution of back gas. Embodiments of the Invention The porous plug or channel described above provides two gas streams toward and away from the wafer surface. Another embodiment of the present invention utilizes a force including a "hot pixel" array, and a benefit plate. In US Patent Application Publication No. (10) No. 41 (published on January 4, 2007, Plate), the entire text In the description of the cited party, the name "Scalable Uniform Thermal $ is incorporated in the present invention" has an additional aspect for the thermal pixel in an embodiment, using the emissivity of the plane to ensure that the position is heated as a positional example. a function to heat the wafer and modify the uniform heating of the wafer table function. In other variations, a curved wafer 35 200818259 is uniformly heated. In one embodiment, heat is applied to the back of the base. A fuel cell array to heat the base. For example, a fuel cell that consumes hydrogen and oxygen to produce water will generate heat that contributes to the heating of the base. The flow rate of material supplied to the fuel cell can be controlled to achieve the required heat generation and Related base heating. In some embodiments, the size, location, and similar properties of the fuel cell are selected to provide uniform heating as a function of the position of the base. The advantage of a fast system response time.

本發明之實施例提供用於淬火(quench)晶圓的幾個方 法及系統。通常,需要在某些半導體製程期間,同時提供 快速及均勻的晶圓溫度淬火。例如,可將可調整的散熱機 構附著至該加熱器的背部,以提供晶圓淬火。僅藉由實例 方式,可在與底座的熱接觸中提供銅管或其他熱傳導材 料,以快速冷卻該加熱的底座。在另一實施例中,在附著 至底座背部的梢中引導氣體流’以提供一淬火行為。 而且,利用耦合至底座背部且充滿一熱傳輸流(例如 “ Galden”)的導管來淬火。在一具體實施例中,該導管直 接附著至底座的背部。第1 0 A圖係根據本發明之實施例’ 在一第一位置耦合至一底座的導管。如在第10A圖所示, 柱狀容器(cylinder)支援一熱傳輸流體,該柱狀容器底部則 形成一活塞(p 1u n se Γ)。5亥活塞係與一冷卻器(未不出)接觸 的冷卻板。在另一實施例中,該導管的底部包含一冷板。 第1 0Β圖係說明根據本發明之實施例在一第二位置耦 合至一底座的導管的簡化原理圖。如在第1 0 Β圖中所示 36Embodiments of the present invention provide several methods and systems for quenching wafers. In general, it is desirable to provide fast and uniform wafer temperature quenching during certain semiconductor processes. For example, an adjustable heat sink can be attached to the back of the heater to provide wafer quenching. By way of example only, a copper tube or other thermally conductive material may be provided in thermal contact with the base to rapidly cool the heated base. In another embodiment, the gas stream is directed in a tip attached to the back of the base to provide a quenching action. Moreover, the tube is quenched with a conduit coupled to the back of the base and filled with a heat transfer stream (e.g., "Galden"). In a specific embodiment, the catheter is attached directly to the back of the base. Figure 10A is a catheter coupled to a base in a first position in accordance with an embodiment of the present invention. As shown in Fig. 10A, the cylindrical cylinder supports a heat transfer fluid, and a piston (p 1u n se Γ) is formed at the bottom of the cylindrical container. A cooling plate that is in contact with a cooler (not shown). In another embodiment, the bottom of the conduit contains a cold plate. Figure 10 is a simplified schematic diagram of a catheter coupled to a base in a second position in accordance with an embodiment of the present invention. As shown in Figure 10

200818259 出,將該活塞已經移動到高於該第一位置的一第 因此’熱傳輸流體被大量驅除出該柱狀容器,以 器板之下部相接觸。因此,加熱器板透過與該熱 接觸而冷卻。在第10A圖與第丨〇B圖中示出的實 該熱傳輸流體不經受一相變(例如,從一液體到一 到液體)。當然,在替代性實施例中可採用相變材 活塞返回到在第1 〇 A圖中示出的位置之後,該熱 返回至該柱狀容器。視應用情況,利用冷卻活塞 導管底部的冷卻板,將熱傳輸流體冷卻至初始溫 第11 A圖係說明根據本發明之實施例之|馬合 的導管的簡化原理圖。如在第丨丨A圖所示,提供 感測器(ultrasonic transducer)與熱傳輸流體接觸 波啟動期間,該液體從池中噴出,如虛線蜂值所 加熱器板之下側接觸。藉由冷卻液體對加熱器板 返回到池中後’該液體透過與該冷板交互而再次; 第1 1 B圖係說明根據本發明實施例之耦合至 導管的簡化原理圖。如在第1 1B圖所示,利用一 來將液體喷灑在加熱器板下側,從而對加熱器板 特定應用不同,施加在該泵的電壓可為恒定或可今 弦、三角、脈衝等等)。如在由第11A圖所示之 在循環(circulation)製程中,液體透過冷板冷卻, 間將熱量從加熱器板移除,並再次由冷板冷卻。 用不同,可利用一非相變材料或相變材料。本領 技術人員將理解許多變化、修改及替代物。 二位置。 與該加熱 傳輸流體 施例中, 氣體並回 料。在該 傳輸流體 或柄合至 度。 至一底座 一超音波 。在超音 示,並與 淬火。在 令卻。 一底座的 泵(pump) 淬火。視 ί (例如正 實施例, 在接觸期 視特定應 域内熟習 37 200818259 弟1 2圖係說明根據本發明之實施例之一整合熱控制 系統之簡化圖。如在第1 2圖所示,將多個熱控制技術及系 統整合入一單一單元中。僅藉由實例方式,一烘烤/淬火板 之組合則與——多區底座整合。參考第12圖,一加熱器/冷 卻板包括複數個傳導結構,其可調節以提供熱輸入。在具 體實施例中,液體在孔1210進入加熱器/冷卻板,並在孔 1 2 1 2退出。當然,可利用電阻加熱器來替換液體。視特定 應用不同,該加熱/冷卻板可為加熱的或冷卻的。本領域内 熟習技術人員將理解許多變化、.修改及替代物。 將一熱傳輸液體(例如G a 1 d e n)放置在與該加熱器/冷 卻板熱接觸的裝置中。提供一泵(例如一壓電泵),以與該 熱傳輸液體接觸,並用於將該熱傳輸液體喷灑在底座的了 側,從而視特定應用不同加熱或冷卻該底座。返回池中後, 透過與該板交互作用,該熱傳輸液體再次返回至與該加熱 器/冷卻板相關之溫度。 如在第1 2圖所示,視特定應用不同’施加至該壓電泵 之電壓可為恒定的或可變的(例如正弦、三角、脈衝等等)。 在將加熱器/冷卻板用於淬火的製程的應用中,在循環製程 期間’透過該加熱器/冷卻板冷卻該熱傳輸液體,在接觸期 間將熱從底座移除,並由加熱器/冷卻板再次冷卻。視特定 應用不同’可利用一非相變材料或一相變材料。本領域内 热習技術人員將理解許多變化、修改及替代物。 參考第1 2圖,該泵結構還包括可用來調變熱傳輸液體 温度的如熱器元件。底座的厚度呈位置之函數變化,以提 38 200818259 供在區段之間的熱絕緣。如在第1 2所示,在一第一部分之 底座厚度為dl,而在一第二部分之厚度為d2,其小於dl。 從頂部俯視,該底座包括一些區,這些區定義為輻射狀、 圓周狀或其組合等等。在第二部分(厚度d2)減小的熱傳導 性,導致在區之間局部熱隔離,例如可使晶圓中央之溫度 維持在小於晶圓周邊部分之溫度。雖然在第7圖只示出兩 個區,但是此圖僅為說明意圖,不意欲限制本發明之實施 例的範圍。 在替代性實施例中,附加加熱或冷卻元件(例如電阻加 熱器(未示出))接合至底座或整合在底座中,以對底座溫度 分佈提供以區為基準的額外控制。本領域内熟習技術人員 將理解許多變化、修改及替代物。而且,本發明之一些實 施例提供組合底座。例如,一實施例利用一個兩部分加熱 器板,包括一底座及一熱元件,藉由一預定尺寸之間隙, 將其從底座的下表面分離。可在控制回路之控制下調節該 間隙,以改變在底座及熱元件之間的熱傳導性。 僅以實例方式,該熱元件可包括適用於接收液體之 孔、電阻加熱器、熱電元件,其組合及類似物。喷水器(water j et)可將水汽提供給熱元件之孔,以在晶圓烘烤製程期間 加熱熱元件。在一些製程期間,改變預定尺寸的間隙,以 在製程期間提供溫度控制。例如,在後曝光烘烤(PEB)製程 期間,可將該間隙依時間之函數調變,以改變在熱元件與 底座之間的熱傳導,並隨後改變在PEB製程期間的晶圓溫 度。在設置點改變操作期間,在熱元件及底座之間的間隙 39 200818259 可減小,以快速改變底座的溫度。在一具體實施例中, 將熱元件與該底座接觸,該間隙減小為零。 第1 3圖係說明根據本發明之一實施例,用於對一加 器板進行淬火之另一系統的簡化圖。如在第1 3圖所示, 冷“海綿體”支撐在一碗狀容器中,並於該碗狀容器中 供一冷卻液體(例如 DI水)源。該海綿體從彈性材料中 造,具有吸收液體之能力。該碗狀容器可垂直移動,以 該海綿體與底座的下側相接觸,從而淬火該底座,並進 對由該底座支撐的晶圓進行淬火。在第1 3圖,一聚醯亞 層接合至該底座之背部,並利用一 〇環建立起該支撐與 聚醯亞胺層之間的密封。並對該冷海綿體提供一冷卻 體,其在一些實施例中此冷卻液體為循環。在其他實施 中,該海綿體週期地與一冷液體接觸,或對其提供一冷 液體流。本領域内熟習技術人員將理解許多變化、修改 替代物。在該盤之外邊緣與該支撐之間提供一空間,以 許在該海綿體/聚醯亞胺層介面之任何液體或蒸汽被 除。在一實施例中,在海綿體中的 DI水被蒸發,且水 流向如第1 3圖示出的通風孔。 雖然已經關於特定實施例及其具體實例描述了本 明,但應理解其他實施例亦可落入本發明之精神及範 中。本發明之範圍從而應該由參考所附申請專利範圍以 其均等物之全部範圍所決定。 【圖式簡單說明】 當 熱 提 製 使 而 胺 該 液 例 卻 及 允 移 汽 發 圍 及 40 200818259 第1圖係根據本發明之一實施例之微影工具之簡化平 面視圖; 第2圖係根據本發明之一實施例之整合的熱單元之簡 化透視圖; 第3 A圖係根據本發明之一實施例,多區烘烤板示例 之頂平面視圖,該多區烘烤板包括六個不同獨立電加熱區; 第3 B圖係簡化說明多區加熱器板之部分,說明在本 發明之一特定實施例中使用的加權平均計算; 第4A圖係說明根據本發明之一實施例之一種控制晶 圓CD分佈之方法的簡化流程圖; 第4B圖係說明根據本發明之一實施例之另一種控制 晶圓CD之方法的簡化流程圖; 第5A圖係對一第一示例光阻Resist 1之溫度敏感性 簡化曲線圖; 第5B圖係對一第二示例光阻Resist 2之溫度敏感性 簡化曲線圖; 第6圖係根據本發明實施例,多區加熱器板之簡化截 面視圖; 第7圖係根據本發明另一實施例,多區加熱器板之簡 化截面視圖; 第8圖係根據本發明一實施例,可調整式加熱器表面 之簡化原理圖; 第9圖係根據本發明一實施例,一種用於將加熱氣體 引入一烘烤腔室之裝置的簡化原理圖; 41 200818259 第1 0 A圖係說明根據本發明之實施例,在第一位置耦 合至一底座(pedestal)的導管(vessel)之簡化原理圖; 第1 0B圖係說明根據本發明之實施例,在一第二位置 搞合至一底座(pdestal)的導管(vessel)之簡化原理圖; 第1 1 A圖係說明根據本發明之另一實施例,耦合至一 底座(p d e s t a 1)的導管的簡化原理圖; 第11 B圖係說明根據本發明之再一實施例,耦合至一 底座(p d e s t a 1)的導管的簡化原理圖; 第1 2圖係說明根據本發明之一實施例,整合熱控制系 統的簡化原理圖;以及 第1 3圖係說明根據本發明之一實施例,用於淬火一加 熱器板之另一系統的簡化原理圖。 【主要元件符號說明】 1 製造處介面方塊 2 BARC方塊 3 阻劑塗層方塊 4 顯影製程方塊 5 掃描介面方塊 20隔離物 22隔離物 3 0、3 1、3 2、3 3、3 4、3 5基板托架部分 100 導軌式微影工具 101、102、103 傳送機械 42 200818259 11 3基板傳送機構 11 4可移動基座 Π5機械臂 124底部塗覆處理器 126旋轉卡盤 128塗覆喷嘴200818259, the piston has moved to a higher level than the first position. The heat transfer fluid is driven out of the columnar container in large quantities to contact the lower portion of the plate. Therefore, the heater board is cooled by being in contact with the heat. The heat transfer fluid shown in Figures 10A and BB is not subjected to a phase change (e.g., from a liquid to a liquid). Of course, in an alternative embodiment, the phase change material piston can be used to return to the cylindrical container after returning to the position shown in Figure 1A. Depending on the application, the heat transfer fluid is cooled to the initial temperature using a cooling plate at the bottom of the cooling piston conduit. Figure 11A is a simplified schematic diagram of a catheter in accordance with an embodiment of the present invention. As shown in Fig. A, during the start of the contact between the ultrasonic transducer and the heat transfer fluid, the liquid is ejected from the cell, as indicated by the dotted line, the lower side of the heater plate. After the heater plate is returned to the pool by the cooling liquid, the liquid passes through again with the cold plate; Figure 1 1B illustrates a simplified schematic diagram coupled to the catheter in accordance with an embodiment of the present invention. As shown in FIG. 1B, the liquid is sprayed on the underside of the heater plate, so that the specific application to the heater plate is different, and the voltage applied to the pump can be constant or can be chord, triangle, pulse, etc. Wait). As in the circulation process shown in Fig. 11A, the liquid is cooled by the cold plate, heat is removed from the heater plate, and cooled again by the cold plate. Differently, a non-phase change material or a phase change material can be utilized. Skilled artisans will appreciate many variations, modifications, and alternatives. Two locations. In the case of the heat transfer fluid, the gas is returned. Transfer the fluid or handle to the degree. To a base, an ultrasonic wave. In supersonics, and with quenching. In the order. A pump of a base is quenched. A simplification of an integrated thermal control system in accordance with one embodiment of the present invention, as shown in Figure 12, for example, in the context of a contact period, in the context of a particular application area. Multiple thermal control technologies and systems are integrated into a single unit. By way of example only, a combination of baking/quenching plates is integrated with a multi-zone base. Referring to Figure 12, a heater/cooling plate includes a plurality of A conductive structure that is adjustable to provide heat input. In a particular embodiment, liquid enters the heater/cooling plate at orifice 1210 and exits at orifice 1 2 1 . Of course, a resistive heater can be used to replace the liquid. The heating/cooling plate may be heated or cooled depending on the particular application. Those skilled in the art will appreciate many variations, modifications, and alternatives. A heat transfer liquid (e.g., G a 1 den) is placed In the device in which the heater/cooling plate is in thermal contact, a pump (eg, a piezoelectric pump) is provided to contact the heat transfer liquid and to spray the heat transfer liquid on the side of the base, thereby depending on the particular application The base is heated or cooled. After returning to the pool, the heat transfer liquid is again returned to the temperature associated with the heater/cooling plate by interaction with the plate. As shown in Figure 12, depending on the particular application 'The voltage applied to the piezoelectric pump can be constant or variable (eg sinusoidal, triangular, pulsed, etc.). In applications where the heater/cooling plate is used for quenching, during the cycle process The heater/cooling plate cools the heat transfer liquid, removes heat from the base during contact, and is again cooled by the heater/cooling plate. A non-phase change material or a phase change material may be utilized depending on the particular application. Those skilled in the art will appreciate many variations, modifications, and alternatives. Referring to Figure 12, the pump structure also includes a thermal element that can be used to modulate the temperature of the heat transfer liquid. The thickness of the base varies as a function of position. The thermal insulation between the segments is provided by 38 200818259. As shown in Figure 12, the thickness of the base in a first portion is dl, and the thickness in a second portion is d2, which is less than dl. The base includes a plurality of zones defined as radial, circumferential, or combinations thereof, etc. The reduced thermal conductivity in the second portion (thickness d2) results in local thermal isolation between the zones, such as The temperature in the center of the circle is maintained at a temperature less than the temperature of the peripheral portion of the wafer. Although only two regions are shown in Fig. 7, this figure is for illustrative purposes only and is not intended to limit the scope of the embodiments of the invention. Additional heating or cooling elements (e.g., electrical resistance heaters (not shown)) are coupled to the base or integrated into the base to provide zone-based additional control of the base temperature profile. Those skilled in the art will appreciate many Variations, modifications, and alternatives. Moreover, some embodiments of the present invention provide a combination base. For example, an embodiment utilizes a two-part heater plate including a base and a thermal element, with a gap of a predetermined size Separated from the lower surface of the base. The gap can be adjusted under the control of the control loop to change the thermal conductivity between the base and the thermal element. By way of example only, the thermal element can include a hole suitable for receiving liquid, a resistive heater, a thermoelectric element, combinations thereof, and the like. A water jet provides water vapor to the holes of the thermal element to heat the thermal element during the wafer baking process. During some processes, a gap of a predetermined size is changed to provide temperature control during the process. For example, during a post exposure bake (PEB) process, the gap can be modulated as a function of time to alter the heat transfer between the thermal element and the submount and subsequently change the wafer temperature during the PEB process. During the set point change operation, the gap between the heat element and the base 39 200818259 can be reduced to quickly change the temperature of the base. In a specific embodiment, the thermal element is brought into contact with the base and the gap is reduced to zero. Figure 13 is a simplified diagram of another system for quenching an adder plate in accordance with an embodiment of the present invention. As shown in Fig. 3, the cold "sponge body" is supported in a bowl-shaped container in which a source of cooling liquid (e.g., DI water) is supplied. The sponge is made of an elastic material and has the ability to absorb liquid. The bowl container is vertically movable with the sponge body in contact with the underside of the base to quench the base and to quench the wafer supported by the base. In Figure 13, a polythene sublayer is bonded to the back of the base and a seal is established between the support and the polyimide layer using a loop. A cooling body is provided to the cold sponge, which in some embodiments is a circulation of the cooling liquid. In other implementations, the sponge is periodically contacted with a cold liquid or provided with a stream of cold liquid. Those skilled in the art will appreciate many variations and modifications. A space is provided between the outer edge of the disk and the support such that any liquid or vapor at the interface of the sponge/polyimine layer is removed. In one embodiment, the DI water in the sponge is evaporated and the water flows to the vents as shown in Figure 13. While the invention has been described with respect to the specific embodiments and specific embodiments thereof, it is understood that other embodiments may also fall within the spirit and scope of the invention. The scope of the invention should be determined by the scope of the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS [Simplified plan view of a lithography tool according to an embodiment of the present invention is shown in FIG. 2 when the heat is extracted to make the amine and the liquid transfer and 40 200818259. FIG. 1 is a simplified plan view of the lithography tool according to an embodiment of the present invention; A simplified perspective view of an integrated thermal unit in accordance with an embodiment of the present invention; FIG. 3A is a top plan view of an example of a multi-zone bake plate in accordance with an embodiment of the present invention, the multi-zone bake plate comprising six Different independent electric heating zones; Figure 3B is a simplified illustration of a multi-zone heater plate illustrating a weighted average calculation used in a particular embodiment of the invention; Figure 4A illustrates an embodiment in accordance with the invention A simplified flow chart of a method of controlling wafer CD distribution; FIG. 4B is a simplified flow chart illustrating another method of controlling wafer CD in accordance with an embodiment of the present invention; FIG. 5A is a first example photoresist Simplified cross-sectional view of temperature sensitivity of Resist 1; Figure 5B is a simplified graph of temperature sensitivity of a second example photoresist Resist 2; Figure 6 is a simplified cross-sectional view of multi-zone heater plate according to an embodiment of the present invention Figure 7 is a simplified cross-sectional view of a multi-zone heater panel in accordance with another embodiment of the present invention; Figure 8 is a simplified schematic diagram of an adjustable heater surface in accordance with an embodiment of the present invention; A simplified schematic diagram of an apparatus for introducing heated gas into a torrefaction chamber, in accordance with an embodiment of the invention; 41 200818259 FIG. 10A illustrates a coupling to a base at a first location in accordance with an embodiment of the present invention ( A simplified schematic diagram of a pedestal; a 10B diagram illustrating a simplified schematic of a vessel that is spliced to a base in a second position, in accordance with an embodiment of the present invention; 1A illustrates a simplified schematic diagram of a catheter coupled to a base (pdesta 1) in accordance with another embodiment of the present invention; FIG. 11B illustrates a coupling to a base (pdesta) in accordance with yet another embodiment of the present invention 1) a simplified schematic diagram of a conduit; FIG. 2 is a simplified schematic diagram of an integrated thermal control system in accordance with an embodiment of the present invention; and a third embodiment illustrates an embodiment of the present invention for quenching Plus simplified schematic diagram of another system of the heater plate. [Main component symbol description] 1 Manufacturing interface block 2 BARC block 3 Resistive coating block 4 Development process block 5 Scanning interface block 20 spacer 22 spacer 3 0, 3 1, 3 2, 3 3, 3 4, 3 5 substrate carrier portion 100 rail-type lithography tool 101, 102, 103 transfer mechanism 42 200818259 11 3 substrate transfer mechanism 11 4 movable base Π 5 robot arm 124 bottom coating processor 126 rotating chuck 128 coating nozzle

122 、 132 、 141 、 142 熱製程塔 1 3 4 阻劑塗覆處理器 1 3 6 旋轉卡盤 1 3 8 塗覆喷嘴 144 顯影處理器 146 旋轉卡盤 1 4 8 噴嘴 154 傳送機構 154A可移動基座 154B夾持臂 160 控制器 210 整合熱單元 215 面板 220 烘烤站 230 傳送梭 232A、232B 升降梢槽 240 冷卻板 250 垂直致動器 43 200818259 3 1 0 烘烤板 312ι · 3126獨立加熱器區 3 1叫-3 146溫度感測器 340 邊界區域 330-336、366-370、350、352、360、362、364、372、 3 5 6量測點 400方法122, 132, 141, 142 Thermal Process Tower 1 3 4 Resistor Coating Processor 1 3 6 Rotating Chuck 1 3 8 Coating Nozzle 144 Development Processor 146 Rotating Chuck 1 4 8 Nozzle 154 Transfer Mechanism 154A Movable Base Seat 154B Clamping Arm 160 Controller 210 Integrated Thermal Unit 215 Panel 220 Baking Station 230 Transfer Shuttle 232A, 232B Lifting Tip Groove 240 Cooling Plate 250 Vertical Actuator 43 200818259 3 1 0 Baking Sheet 312ι · 3126 Independent Heater Zone 3 1 -3 146 temperature sensor 340 boundary area 330-336, 366-370, 350, 352, 360, 362, 364, 372, 3 5 6 measuring point 400 method

4〇2、404、406、408、410、412、414、416 步,驟 430方法 432、 434、 436、 438、 440、 442 步驟 444, 404, 406, 408, 410, 412, 414, 416 steps, step 430 methods 432, 434, 436, 438, 440, 442 Step 44

Claims (1)

200818259 十、申請專利範圍: 1· 一種在一導軌式微影工具中控制晶圓關鍵尺寸(CD)均 勻性之方法,該方法包括: 獲取一晶圓之一 CD圖,該CD圖包括複數個CD資料 點,該些C D資料點與一多區加熱器幾何圖相關,其中該多 區加熱器包括複數個加熱器區; 依據一或多個該等C D資料點,決定該些加熱器區之一 第一加熱器區的一 CD值; 計算該第一加熱器區所決定的CD值與該第一加熱器 區的一目標CD值之間的差值; 部分地依據該計算的差值以及沉積在該晶圓上一光阻 的敏感性,決定該第一加熱器區之一溫度變化; 部分地依據該溫度變化,修改該第一加熱器區的一溫 度0200818259 X. Patent Application Range: 1. A method for controlling wafer critical dimension (CD) uniformity in a rail-type lithography tool, the method comprising: acquiring a CD image of a wafer, the CD image comprising a plurality of CDs At a data point, the CD data points are associated with a multi-zone heater geometry, wherein the multi-zone heater includes a plurality of heater zones; and one of the heater zones is determined based on one or more of the CD data points a CD value of the first heater zone; calculating a difference between the CD value determined by the first heater zone and a target CD value of the first heater zone; based in part on the calculated difference and deposition Sensing a photoresist on the wafer to determine a temperature change of the first heater zone; partially modifying a temperature of the first heater zone according to the temperature change 2.如申請專利範圍第1項所述之方法,其進一步包括重複該 獲取、決定一 CD值、計算、決定一溫度變化以及修改步驟 一或多次。 3 .如申請專利範圍第2項所述之方法,其中重複修改一量測 的晶圓CD,以獲得落在一目標晶圓CD之一預定值内的一 晶圓C D。 4.如申請專利範圍第1項所述之方法,其中該晶圓之該CD 45 200818259 圖係利用一光學CD(optical CD,O CD)精密測定工具獲得。 5. 如申請專利範圍第1項所述之方法,其中該些C D資料點 包括數目大於或等於該些加熱器區的資料點。 I 6. 如申請專利範圍第5項所述之方法,其中該C D資料點之 數目係大於或等於29。 7. 如申請專利範圍第6項所述之方法,其中該C D資料點之 數目係大於或等於66。 8. 如申請專利範圍第1項所述之方法,其中該溫度敏感性係 與CD及溫度之間的一函數關係相關。 9. 如申請專利範圍第1項所述之方法,其中決定該CD值包 括對覆蓋於該第一加熱器區之CD資料點取平均。 1 0.如申請專利範圍第1項所述之方法,其中決定該CD值包 括計算一加權平均,該加權平均包括覆蓋該第一加熱器區 之一第一組CD資料點,以及未覆蓋該第一加熱器區的一第 二組C D資料點。 1 1. 一種在半導體晶圓製程期間控制CD之方法,該方法包 括: 462. The method of claim 1, further comprising repeating the obtaining, determining a CD value, calculating, determining a temperature change, and modifying the step one or more times. 3. The method of claim 2, wherein the wafer CD is repeatedly modified to obtain a wafer CD that falls within a predetermined value of a target wafer CD. 4. The method of claim 1, wherein the CD 45 200818259 image of the wafer is obtained using an optical CD (OCD) precision measurement tool. 5. The method of claim 1, wherein the CD data points comprise data points having a number greater than or equal to the heater zones. I 6. The method of claim 5, wherein the number of the CD data points is greater than or equal to 29. 7. The method of claim 6, wherein the number of C D data points is greater than or equal to 66. 8. The method of claim 1, wherein the temperature sensitivity is related to a functional relationship between CD and temperature. 9. The method of claim 1, wherein determining the CD value comprises averaging CD data points overlying the first heater zone. The method of claim 1, wherein determining the CD value comprises calculating a weighted average comprising covering a first set of CD data points of the first heater zone and not covering the A second set of CD data points of the first heater zone. 1 1. A method of controlling a CD during a semiconductor wafer process, the method comprising: 46 200818259 量測一第一半導體晶圓之一 CD分佈; 將該量測的CD分佈與一目標CD分佈相比較; 決定該量測的C D分佈不在該目標C D分佈之一預 限之内; 依據該決定步驟,為一多區烘烤板之一區計算一 偏移; 修改該多區烘烤板之該區的一溫度設置點;以及 利用該修改的溫度設置點處理一第二半導體晶圓 1 2.如申請專利第1 1項所述之方法,其進一步包括: 依據該決定步驟,為該多區烘烤板之一第二區計 第二溫度偏移;以及 修改該多區烘烤板的該第二區之一第二溫度設置 1 3.如申請專利第1 1項所述之方法,其中該CD分佈係 一 Ο CD或一 CD-SEM精密測定工具中至少之一者獲得。 1 4.如申請專利第1 1項所述之方法,其中該CD分佈包 CD資料點數目係大於或等於該多區烘烤板之加熱器 目° 1 5.如申請專利第1 1項所述之方法,其中決定該量測 分佈不在該目標CD分佈之一預定容限内包括計算一 平均,該加權平均包括覆蓋該區之一第一組CD資料S 定容 溫度 〇 算一 點。 利用 含的 區數 的CD 加權 ;,以 47 200818259 及沒有覆蓋該區的一第二組CD資料點。 16. —種導軌式微影工具,包括: 一製造處介面,設置用來接收一晶圓; 一製程模組,耦合至該製造處介面,該製程模組包括: 複數個塗覆站; 複數個顯影站;以及 一製程單元,該製程單元包括一多區烘烤板,其 中該多區烘烤板包含複數個加熱器區,並具有一多區烘烤 板幾何圖之特徵,以及 一控制器,設置用來接收該晶圓之一 CD圖,該CD圖 包括複數個與該多區烘烤板幾何圖相關的C D資料點,該控 制器包括一電腦唯讀媒體,用以儲存複數個指令,以控制 一資料處理器來修改一晶圓CD分佈,該些指令包括: 使該資料處理器依據一或多個該CD資料點,決定該些 加熱器區之一第一加熱器區的一 CD值之指令; 使該資料處理器計算.該第一加熱器區之該決定CD值 與該第一加熱器區一目標CD值間之差值的指令; 使該資料處理器部分地依據該計算差值與沉積在該晶 圓上一光阻的一溫度敏感性,決定該第一加熱器區之一溫 度變化的指令;以及 使該資料處理器部分地依據該溫度變化,修改該第一 加熱器區之一溫度的指令。 48 200818259 1 7.如申請專利範圍第1 6項所述之導軌式微影工具,其中該 晶圓之CD圖係利用整合在該導執式微影工具中的一精密 測定工具獲得。 1 8.如申請專利範圍第1 6項所述之導軌式微影工具,其中該 晶圓之該CD圖包含的CD資料點數目係大於或等於該多區 烘烤板之加熱器區數目。 19.如申請專利範圍第16項所述之導軌式微影工具,其中藉 由計算一加權平均決定該第一加熱器區之該決定CD值,該 加權平均包括覆蓋該第一加熱器區之一第一組CD資料點 及未覆蓋該第一加熱器區之一第二組CD資料點。 2 0.如申請專利範圍第19項所述之導執式微影工具,其中該 第二組CD資料點覆蓋在與該第一加熱器區相鄰的一邊 界區域。 49200818259 measuring a CD distribution of a first semiconductor wafer; comparing the measured CD distribution with a target CD distribution; determining that the measured CD distribution is not within a pre-limit of the target CD distribution; Determining a step of calculating an offset for a region of a multi-zone bake plate; modifying a temperature set point of the region of the multi-zone bake plate; and processing a second semiconductor wafer 1 using the modified temperature set point 2. The method of claim 11, further comprising: determining, according to the determining step, a second temperature offset for a second zone of the multi-zone bake plate; and modifying the multi-zone bake plate The second temperature setting of one of the second zones. The method of claim 11, wherein the CD distribution is obtained by at least one of a CD or a CD-SEM precision measuring tool. 1. The method of claim 11, wherein the number of CD distribution points of the CD distribution package is greater than or equal to the heater target of the multi-zone baking plate. The method of determining that the measurement distribution is not within a predetermined tolerance of the target CD distribution comprises calculating an average, the weighted average comprising covering a first set of CD data S of the region to a constant temperature. Use the CD weighting of the number of zones; to 47 200818259 and a second set of CD data points that do not cover the zone. 16. A track-type lithography tool comprising: a manufacturing interface configured to receive a wafer; a process module coupled to the manufacturing interface, the process module comprising: a plurality of coating stations; a developing station; and a process unit comprising a multi-zone baking plate, wherein the multi-zone baking plate comprises a plurality of heater zones, and has a feature of a multi-zone baking plate geometry, and a controller Providing a CD map for receiving the wafer, the CD map comprising a plurality of CD data points associated with the multi-zone baking sheet geometry, the controller including a computer-reading medium for storing a plurality of instructions Controlling a data processor to modify a wafer CD distribution, the instructions comprising: causing the data processor to determine one of the first heater zones of one of the heater zones based on one or more of the CD data points An instruction of the CD value; causing the data processor to calculate an instruction of the first heater zone to determine a difference between the CD value and a target CD value of the first heater zone; causing the data processor to partially Calculate the difference a temperature sensitivity of a photoresist on the wafer, an instruction to determine a temperature change of the first heater zone; and causing the data processor to modify the first heater zone based in part on the temperature change A temperature command. 48 200818259 1 7. The rail-type lithography tool of claim 16 wherein the CD image of the wafer is obtained using a precision measuring tool integrated in the guided lithography tool. 1 . The rail-type lithography tool of claim 16, wherein the CD map of the wafer comprises a number of CD data points greater than or equal to the number of heater zones of the multi-zone baking sheet. 19. The rail-type lithography tool of claim 16, wherein the determined CD value of the first heater zone is determined by calculating a weighted average comprising covering one of the first heater zones The first set of CD data points and the second set of CD data points that are not covered by the first heater zone. The guide lithography tool of claim 19, wherein the second set of CD data points are overlaid in a boundary region adjacent to the first heater zone. 49
TW096129033A 2006-08-07 2007-08-07 Methods and systems for controlling critical dimensions in track lithography tools TWI456627B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US83631006P 2006-08-07 2006-08-07
US83624806P 2006-08-07 2006-08-07

Publications (2)

Publication Number Publication Date
TW200818259A true TW200818259A (en) 2008-04-16
TWI456627B TWI456627B (en) 2014-10-11

Family

ID=44769524

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096129033A TWI456627B (en) 2006-08-07 2007-08-07 Methods and systems for controlling critical dimensions in track lithography tools

Country Status (1)

Country Link
TW (1) TWI456627B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672757B (en) * 2016-09-26 2019-09-21 日商斯庫林集團股份有限公司 Substrate processing apparatus

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100431655B1 (en) * 2001-08-28 2004-05-17 삼성전자주식회사 Heater assembly for heating a wafer
US6957120B1 (en) * 2003-01-06 2005-10-18 Advanced Micro Devices, Inc. Multi-level process data representation
KR100506315B1 (en) * 2003-08-22 2005-08-05 삼성전자주식회사 Wafer bake system and method for operating the same
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672757B (en) * 2016-09-26 2019-09-21 日商斯庫林集團股份有限公司 Substrate processing apparatus
US10748795B2 (en) 2016-09-26 2020-08-18 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
TWI456627B (en) 2014-10-11

Similar Documents

Publication Publication Date Title
US7534627B2 (en) Methods and systems for controlling critical dimensions in track lithography tools
US9831111B2 (en) Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
US7902485B2 (en) Temperature setting method of thermal processing plate, temperature setting apparatus of thermal processing plate, program, and computer-readable recording medium recording program thereon
US8308381B2 (en) Substrate processing method, computer-readable storage medium, and substrate processing system
US7957828B2 (en) Temperature setting method for thermal processing plate, temperature setting apparatus for thermal processing plate, and computer-readable storage medium
US7601934B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US11087983B2 (en) Thermal treatment apparatus, thermal treatment method, and non-transitory computer storage medium
US8916804B2 (en) Heat treatment method, recording medium having recorded program for executing heat treatment method, and heat treatment apparatus
US20090001071A1 (en) Method and System for Cooling a Bake Plate in a Track Lithography Tool
US20060237433A1 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
JP2008141163A (en) Integrated thermal unit
US7274005B2 (en) Bake plate having engageable thermal mass
US20080160462A1 (en) Method and system for bake plate heat transfer control in track lithography tools
US11899377B2 (en) System and method for thermal management of reticle in semiconductor manufacturing
US20080153182A1 (en) Method and system to measure and compensate for substrate warpage during thermal processing
US7297906B2 (en) Integrated thermal unit having a shuttle with two-axis movement
US7741585B2 (en) Integrated thermal unit having a shuttle with two-axis movement
JPH11168056A (en) Wafer-holding device
JP3755814B2 (en) Heat treatment method and heat treatment apparatus
US20080099181A1 (en) Method to cool a bake plate using an actively chilled transfer shuttle
TW200818259A (en) Methods and systems for controlling critical dimensions in track lithography tools
US20080145191A1 (en) Actively chilled substrate transport module
US20070254493A1 (en) Integrated thermal unit having vertically arranged bake and chill plates
US7288746B2 (en) Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US8135487B2 (en) Temperature setting method and apparatus for a thermal processing plate