TW200428528A - Metal damascene process - Google Patents

Metal damascene process Download PDF

Info

Publication number
TW200428528A
TW200428528A TW92115755A TW92115755A TW200428528A TW 200428528 A TW200428528 A TW 200428528A TW 92115755 A TW92115755 A TW 92115755A TW 92115755 A TW92115755 A TW 92115755A TW 200428528 A TW200428528 A TW 200428528A
Authority
TW
Taiwan
Prior art keywords
metal
layer
patent application
scope
item
Prior art date
Application number
TW92115755A
Other languages
Chinese (zh)
Inventor
Bih-Tiao Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW92115755A priority Critical patent/TW200428528A/en
Publication of TW200428528A publication Critical patent/TW200428528A/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A metal damascene process is disclosed. In the metal damascene process, a metal layer is formed to cover a dielectric layer and to fill up an opening in the dielectric layer by using a super-filling method. Next, a transient chemical mechanical polishing (CMP) step is performed on the metal layer to planarize the metal layer. Then, a reverse electrical plating step is performed to remove a portion of the metal layer until the dielectric layer is exposed. While the portion of the metal layer is removed, the end point of the reverse electrical plating step can be detected by monitoring the current of the electrolyte.

Description

200428528 五、發明說明(1) 【發明所屬之技術領域】 本發明是有關於一種金屬鑲嵌製程(Metal Damascene Process),且特別是有關於一種可避免金屬層淺碟化 _ (Di shing)現象,且可防止金屬層與介電層過蝕(Er〇si〇n), 現象以及介電層表面產生刮痕(Scratch)之金屬鑲嵌製程。 【先前技術】 面對市場對輕薄短小之電子元件的需求趨勢,積體電路元 件之線寬尺寸也不斷地縮小,而隨著積體電路元件之線寬200428528 V. Description of the invention (1) [Technical field to which the invention belongs] The present invention relates to a metal damascene process, and in particular, to a phenomenon capable of avoiding shallow metal disks (Di shing), The metal damascene process can prevent over-etching of the metal layer and the dielectric layer, the phenomenon, and the scratch on the surface of the dielectric layer. [Previous technology] Faced with the market's demand for thin, light and short electronic components, the size of the line width of integrated circuit components has been continuously reduced, and with the line width of integrated circuit components

尺寸的微縮化,致使元件之運算速度受到電阻電容延遲 (Resistance Capacitance Delay ; RC Delay)以及日趨嚴 重之電致遷移(£16(:1;1'01111忌^1:丨011)的影響而明顯減慢。因 ^,面,目前高密集度之電路設計,必須檢棄傳統電阻較 ’❿改用具有更低電阻且抗電致遷移能力 幸乂仏之金屬材料,例如銅(Cu)金屬,來作為元件之内連 線0 除此之 積體電 金屬層 元件之 得以銅 另一方 變導線 目前除 低介電 汗,由 路元件 數量。 運算速 為導線 面,由 面積等 了採用 常數材 於銅之 可承受 如此一 度。而 之元件 於製程 幾何上 金屬銅 料來作 低電阻 更密集 來,不 銅之較 具有更 上及導 的改變 來作為 為金屬 W ^ W Ί I 〜 的電路排列,因此可大幅縮減 僅可降低生產成本,更可提升 佳的抗電致遷移能力,更可使 長的壽命及較佳的穩定性。 線材料的限制,而難以藉由改 來降低寄生的電容值。因此, =件之内連線材料外,更利用 線間之絕緣材料,以進一步The miniaturization of the size has caused the operation speed of the device to be significantly affected by the resistance capacitance delay (Resistance Capacitance Delay; RC Delay) and the increasingly serious electromigration (£ 16 (: 1; 1'01111 avoid ^ 1: 丨 011). Slow down. Because of the current, high-density circuit designs, it is necessary to discard traditional resistors that have lower resistance and better resistance to electromigration, such as copper (Cu) metals. As the inner interconnection of the component, the integrated electric metal layer component can be divided into copper, and the other conductive wire is currently divided into low dielectric sweat and the number of components. The calculation speed is the wire surface, and the constant material is used for the area. Copper can withstand such a degree. And the components in the process geometry are made of metal copper material to make the low resistance more dense, and the non-copper has a more up-to-date change as the circuit arrangement for the metal W ^ W Ί I ~ Therefore, it can be greatly reduced, which can only reduce the production cost, can improve the anti-electromigration ability, and can achieve a long life and better stability. The limitation of the wire material makes it difficult to change Reduce the capacitance value of the parasitic Thus, within the outer member = wiring material, more insulating material between the lines, to further

$ 5頁 200428528 五、發明說明(2) 降低導線間之寄生電容值。寄生電容值的下降,不僅可使 得元件之速度性能大幅提高,更可減少功率的消耗(Power Dissipation)及雜訊干擾(Cross-talk Noise),有效提升、 元件之操作性能。 _ 然而,以銅作為元件之導線時,卻面臨銅無法利用傳統的 乾式蝕刻(Dry Etching)技術予以圖案化的問題。為了解決 銅導線之圖案化問題,目前已發展出利用鑲嵌的方式來進 行銅導線的製作。銅導線之鑲嵌技術,首先是將銅金屬充 填到已形成有金屬導線圖案之介電層開口中,再利用研磨 技術去除介電層開口外之多餘銅金屬,而完成銅金屬之鑲儀 散。上述金屬銅之研磨技術,目前一般係採用化學機械研 磨(Chemical Mechanical Polishing ;CMP)技術。 請參照第1圖至第3圖,第i圖至第3圖係繪示習知金屬鑲嵌 製程之製程剖面圖。首先,提供半導體之基材丨〇 〇,再沉積 介電層102覆蓋在基材1〇〇上。其中,介電層1〇2之材質為低 介電常數材料。介電層1 〇 2形成後,利用微影與蝕刻技術去 除部分之介電層1〇2,而在介電層1〇2中形成開口1〇4並暴露 出部分之基材1 〇 〇,如第1圖所示。 接著’共型(Conformal)沉積擴散阻障層1〇6覆蓋在介電層· 1〇2以及暴露出之基材1〇〇上。再利用電鍍方式形成金屬^ 1 〇 8覆蓋在擴散阻障層丨〇 6上,並填滿開口丨〇 4,而形成如9第 2圖所示之結構。其中,擴散阻障層丨〇 6係用以防止後續形 成之金屬層108擴散至介電層1〇2與基材1〇〇中。此外,為確 保金屬層1 0 8能填滿開口 1 〇 4,需形成較厚之金屬層1 〇 8。目 200428528 五、發明說明(3) 前’金屬層108之材質一般為鋼金屬。 ΐ ΐ二::化學機械研磨的方式去除部分之金屬層108 ,直$ 5 pages 200428528 V. Description of the invention (2) Reduce the parasitic capacitance between the wires. The reduction of the parasitic capacitance value can not only greatly improve the speed performance of the device, but also reduce the power consumption (Power Dissipation) and cross-talk noise (Cross-talk Noise), and effectively improve the operation performance of the device. _ However, when copper is used as a component wire, it faces the problem that copper cannot be patterned using traditional dry etching (Dry Etching) technology. In order to solve the patterning problem of copper wires, a damascene method has been developed to make copper wires. The copper wire inlay technology first fills copper metal into the openings of the dielectric layer where the metal wire patterns have been formed, and then uses a grinding technique to remove excess copper metal outside the openings of the dielectric layer to complete the copper metal inlay. At present, the above-mentioned metal copper polishing technology generally uses chemical mechanical polishing (CMP) technology. Please refer to Fig. 1 to Fig. 3. Figs. I to 3 are cross-sectional views showing a conventional metal inlaying process. First, a semiconductor substrate 100 is provided, and then a dielectric layer 102 is deposited to cover the substrate 100. The material of the dielectric layer 102 is a low dielectric constant material. After the dielectric layer 102 is formed, a portion of the dielectric layer 102 is removed using lithography and etching techniques, and an opening 104 is formed in the dielectric layer 102 and a portion of the substrate 100 is exposed. As shown in Figure 1. Next, a 'conformal' deposition of the diffusion barrier layer 106 covers the dielectric layer 102 and the exposed substrate 100. Then, metal ^ 1 08 is formed by plating to cover the diffusion barrier layer 6 and fill the opening 4 to form a structure as shown in FIG. 9 and FIG. 2. Among them, the diffusion barrier layer 6 is used to prevent the subsequently formed metal layer 108 from diffusing into the dielectric layer 102 and the substrate 100. In addition, to ensure that the metal layer 108 can fill the opening 104, a thicker metal layer 108 needs to be formed. Head 200428528 V. Description of the invention (3) The material of the front metal layer 108 is generally steel. ΐ ΐ2: Remove part of the metal layer 108 by chemical mechanical polishing

LtrU層102 ’並在介電層102之開口104中形成金屬 層二第3圖所示。由於先前為確保 二〇4,因此金屬層108之厚度相當厂旱,故去除開口 1〇4外』 = 108需相當久的研磨時間。另外,由於用以阻止銅金 屬擴散之擴散阻障層1 06之材料大都為鈕(Ta)或氮化鈕 (TaN),而無論是鈕或氮化鈕均相當難以研磨。如此一來, 金屬層110與擴散阻障層106之間的研磨速度會產生相當大 的差距,而在金屬層1 1 0上形成凹陷之淺碟區丨i 2,如第3圖I 所了。並且_,使得介電層1〇2與金屬層11()產生過蝕區ιΐ6, 如第4圖所示,進而將大幅降低化學機械研磨製程之平坦化 與尺寸控制的效果。此外,由於介電層丨〇2係由結構相當脆 弱之低介電常數材料所組成。因此,介電層丨〇 2之經研磨表 面會產生相當嚴重之刮痕11 4,甚至碎裂,如此將會導致製 程良率下降。 【發明内容】 本發明之目的就是在提供一種金屬鑲嵌製程,其係利用超 填塞(Super-f i 11 ing)方式來將金屬填充至介電層中之開《 口’因此不需形成太厚之金屬層即可填滿開口。如此一 來’不僅可縮減形成金屬層之時間,更可降低材料支出與 製程成本。 本發明之另一目的是在提供一種金屬鑲嵌製程,其係利用 知*暫之化學機械研磨製程來平坦化金屬層後,再利用反電The LtrU layer 102 'and a metal layer are formed in the opening 104 of the dielectric layer 102 as shown in FIG. 3. Because the thickness of the metal layer 108 was relatively dry in order to ensure 204, the removal of the opening 104 ”= 108 requires a considerable grinding time. In addition, since the material of the diffusion barrier layer 106 used to prevent the diffusion of copper metal is mostly a button (Ta) or a nitride button (TaN), it is quite difficult to grind either the button or the nitride button. As a result, the polishing speed between the metal layer 110 and the diffusion barrier layer 106 will cause a considerable difference, and a shallow dish area pit i 2 will be formed on the metal layer 110, as shown in FIG. 3 I . In addition, _6 is caused in the dielectric layer 102 and the metal layer 11 (), as shown in FIG. 4, and the effects of planarization and size control of the chemical mechanical polishing process are greatly reduced. In addition, since the dielectric layer is composed of a low dielectric constant material with a rather fragile structure. Therefore, the polished surface of the dielectric layer 〇 2 will produce quite severe scratches 11 4 or even crack, which will cause the process yield to decrease. [Summary of the Invention] The object of the present invention is to provide a metal damascene process, which uses super-filling (Super-Fi 11 ing) method to fill metal into the dielectric layer. A metal layer can fill the opening. In this way, not only can the time for forming the metal layer be reduced, but also the material expenditure and process cost can be reduced. Another object of the present invention is to provide a metal damascene process, which utilizes a known chemical mechanical polishing process to planarize the metal layer, and then utilizes counter-current

第7頁 200428528 五、發明說明(4) 鑛(Reverse Electrical Plating)方式(亦即電解方式) 除開口外之多餘金屬層。如此一來’化學機械研磨製程 會直接作用在介電層上。因此,可有效防止介電層表面I 傷以確保介電層品質,並避免介電層與金屬層產生過餘現 象,提升對介電層與金屬層之尺寸的控制能力。 本發明之又一目的是在提供一種金屬鑲嵌製程,化學機械 研磨僅用以平坦化金屬層,而利用反電鍍方式移除開口二 之金屬層。因此,可防止開口中之金屬導線的表面產生 陷之淺碟區,而可提升金屬導線之電性品質,進而提言一 件之電性穩定度。 回凡 根據本發明之上述目的,提出一種金屬鑲嵌製程,至少勺 括下列步驟:首先,提供一基材,其中此基材上至少 成一介電層,且此介電層中至少包括一開口暴露出部分I 基材。再形成一金屬層覆蓋在上述之介電層以及暴露=美 材上,、並填滿開口。其中,形成金屬層前,可先二共型$ 積方式形成擴散阻障層覆蓋在介電層以及暴露之基^ ^ 並以濺鍍沉積(Sputtering DeposUi〇n)方式形土曰 接著,利用例如化學機械研^ 矛夕除部分之金屬層,藉以平坦化金屬層。然 = 二解液+’並以金屬屠為陽i進行, 暴i::::擴層; 開口中形成金屬導線。 在;丨電層之 依照本發明一較佳實施例,上 電層可為低介電常數 200428528 五、發明說明(5) 材料,金屬層可為銅。而形成金屬層之 塞的方式,以使金屬層呈有一突出a 5 U用超填 曰$ 犬出部位於開口卜古。山 外,在反電鍍步驟中,更可利用 仏、βϊ 1 上方 此 電流,以獲得反電鍍步驟之終點。 术幻里電解液之 由於,利用超填塞方式形成金屬層,可 出部。因此,金屬層不需電铲 在開口上方形成突 口,而且僅需進行短暫之;^Ρ 了丨員利填滿開 平坦。故,可縮短金屬層雷 使金屬層之表面 屬材料之用里,而達到降低製程成本的目的咸"金 此外,由於化學機械研磨步驟僅 、 口外多餘之金屬層則係利用反 ^化金屬層,而開 此,化學機械研磨作用並未接觸至;予以移除。因 導線,而可防止介電属 電層以及開口中金屬 碟化、以及介電層以^金屬裂、金屬導線表面產生淺 效控制金屬導線之尺寸、, 、、友產生過蝕現象。故,可有 進而達到改善元件之雷 保;丨電層與金屬導線之品質, 【實施方式】 I性品質的目的。 本發明揭露一種金屬鑲爭制 少 屬層填入開口,接著利^衣程’其係利用超填塞方式將金 層,然後以反電鍍的方短暫之化學機械研磨平坦化金屬 中形成金屬導線。因此工f除開口外之金屬層,而於開口 磨時間,降低製程與材料二 1細減金屬層之電鍍以及研 避免金屬層與介電層產生^本外,更可防止介電層刮傷, 表面產生淺碟狀現象。如過餘現象,並可防止金屬導線之 此來’可提升製程可靠度,達 200428528Page 7 200428528 V. Description of the invention (4) Reverse Electrical Plating method (ie electrolytic method) Excess metal layer except opening. In this way, the CMP process will directly act on the dielectric layer. Therefore, it is possible to effectively prevent the surface of the dielectric layer from being damaged to ensure the quality of the dielectric layer, to avoid excessive phenomena of the dielectric layer and the metal layer, and to improve the ability to control the size of the dielectric layer and the metal layer. Another object of the present invention is to provide a metal damascene process. Chemical mechanical polishing is only used to planarize the metal layer, and the metal layer in the opening 2 is removed by an anti-electroplating method. Therefore, the surface of the metal wire in the opening can be prevented from generating a shallow dish area, and the electrical quality of the metal wire can be improved, thereby further mentioning the electrical stability of the piece. In light of the above-mentioned object of the present invention, a metal inlaying process is proposed, which includes at least the following steps: First, a substrate is provided, wherein at least a dielectric layer is formed on the substrate, and at least one opening is exposed in the dielectric layer. Part I substrate. A metal layer is formed to cover the above-mentioned dielectric layer and the exposed material, and fill the opening. Wherein, before forming the metal layer, a diffusion barrier layer may be formed in a bi-composite mode to cover the dielectric layer and the exposed substrate ^ ^ and then formed by sputtering deposition (Sputtering DeposUon). Then, for example, Chemical Mechanical Research ^ The metal layer is partially removed to planarize the metal layer.然 = 二 解 液 + ’and the metal tube is used as the anode i, and the explosion i :::: is expanded; a metal wire is formed in the opening. According to a preferred embodiment of the present invention, the power-on layer may have a low dielectric constant. 200428528 V. Description of the invention (5) The material, and the metal layer may be copper. The plug of the metal layer is formed in such a manner that the metal layer has a protrusion a 5 U and is overfilled. In addition, in the reverse plating step, the current above 仏 and βϊ 1 can be used to obtain the end of the reverse plating step. Because of the electrolyte in the surgery magic, the metal layer is formed by the overfill method, so that the part can be removed. Therefore, the metal layer does not need a shovel to form a notch above the opening, and it only needs to be carried out for a short period of time; Therefore, the metal layer can be shortened so that the surface of the metal layer belongs to the material, and the purpose of reducing the cost of the process is reduced. In addition, because the chemical mechanical polishing step is only required, the extra metal layer outside the mouth is made of demetalized metal. Layer, which is not exposed to chemical mechanical polishing; remove it. Due to the wires, it is possible to prevent the dielectric metal layer and the metal dishing in the openings, and the metal layer from cracking, and the surface of the metal wires to effectively control the size of the metal wires. Therefore, it is possible to further improve the lightning protection of the component; the quality of the electrical layer and the metal wire, [implementation] The quality of the first embodiment. The present invention discloses a metal inlaying system for filling a small metal layer into an opening, followed by a garment process, which uses an overfilling method to form a gold layer, and then chemically grinds and flattenes the metal with an anti-plating method to form a metal wire. Therefore, in addition to the metal layers other than the openings, the grinding time of the openings can reduce the process and materials. 1 Reduce the plating of the metal layers and avoid the metal layer and the dielectric layer. Besides, it can prevent the dielectric layer from scratching , The surface has a shallow dish-like phenomenon. Such as excess phenomenon, and can prevent the coming of metal wires ’can improve the process reliability, up to 200428528

到增加產品良率的目的。為了使本發明之敘 完備,可參照下列描述並配合第5圖至第1〇圖之更力^與 請參照第5圖至第10圖,第5圖至第1()圖料示依照本發明 一較佳實施例的一種金屬鑲嵌製程之製程剖面圖。首^, 提供半導體之基材20 0,其中此基材2〇〇可能包括有元件以 及内連線結構。再利用例如化學氣相沉積(Chemical Deposition ; CVD)的方式形成介電層2〇2覆蓋在基材2〇〇 上。其中,介電層202之材質可例如為低介電常數材料。待 介電層202形成後,利用例如微影以及蝕刻技術進行定義, 而將導線圖案轉移至介電層2〇2中,並在介電層2〇2中形成· 開口 204,且暴露出部分之基材2〇〇,而形成如第5圖所示之 結構。 開口 2 0 4形成後,利用例如共型沉積的方式形成薄薄的擴散 阻障層206覆蓋在介電層202以及開口204所暴露之基材200 上,以防止後續形成之金屬材料擴散至基材2 〇 〇以及介電層 2 〇 2中’進而確保電性品質。完成擴散阻障層2 〇 6後,利用 例如賤鍍沉積的方式,共型沉積一層相當薄之晶種層(未繪 示)覆蓋在擴散阻障層2 〇 6上。其中,此晶種層之材質可例 如為銅。接著,以例如超填塞技術,並利用電鍍沉積方式4 於晶種層上形成金屬層208,並使金屬層208填滿開口204, 且金屬層208於開口204上方具有突出部210,而形成如第6 圖所示之結構。其中,金屬層208之材質可例如為銅。在本 發明之一較佳實施例的超填塞技術中,係於金屬層2 〇 8之電 鏟液中加入—種負型介電活性劑,而使凹陷之開口 2 〇 4區域To the purpose of increasing product yield. In order to complete the description of the present invention, you can refer to the following description and cooperate with Figures 5 to 10 for more power ^ and please refer to Figures 5 to 10, and Figures 5 to 1 (). A cross-sectional view of a metal inlay process in a preferred embodiment of the invention. First, a semiconductor substrate 200 is provided. The substrate 200 may include components and interconnect structures. A dielectric layer 200 is formed on the substrate 200 by using a method such as chemical vapor deposition (CVD). The material of the dielectric layer 202 may be, for example, a low dielectric constant material. After the dielectric layer 202 is formed, it is defined using, for example, lithography and etching techniques, and the wire pattern is transferred to the dielectric layer 202, and an opening 204 is formed in the dielectric layer 202, and a part is exposed The substrate 200 is formed into a structure as shown in FIG. 5. After the opening 204 is formed, a thin diffusion barrier layer 206 is formed on the dielectric layer 202 and the substrate 200 exposed by the opening 204 by, for example, conformal deposition to prevent the subsequently formed metal material from diffusing to the substrate. Material 200 and the dielectric layer 200 to ensure electrical quality. After the diffusion barrier layer 2006 is completed, a relatively thin seed layer (not shown) is co-deposited on the diffusion barrier layer 2006 by means of, for example, base plating deposition. The seed layer may be made of copper, for example. Next, a metal layer 208 is formed on the seed layer by using, for example, an overfill technique and an electroplating deposition method 4, and the metal layer 208 fills the opening 204, and the metal layer 208 has a protrusion 210 above the opening 204, and is formed as Figure 6 shows the structure. The material of the metal layer 208 may be copper, for example. In the overfilling technology of a preferred embodiment of the present invention, a negative dielectric active agent is added to the electric shovel solution of the metal layer 208, so that the recessed opening area 204

第10頁 200428528 五、發明說明(7) 的電鍍速率高於開口 204兩側平面區域之電鍍速率。如此, 可順利於開口 2 0 4上方形成金屬層2 0 8之突出部21 0。 本發明之一特徵就是利用超填塞方式形成金屬層2〇8,可加 快金屬層2 0 8填滿開口 2 0 4之速度。因此,在開口 2 〇 4外之介-電層202上的金屬層208不會太厚的情況下,開口204已為金 屬層2 0 8所填滿。故’可縮短金屬層2 〇 8之電鑛沉積時間, 且可減少形成金屬層2 0 8所需之材料。 金屬層208形成後,利用例如化學機械研磨的方式,並以例 如時間模式的方式控制研磨終點,研磨除去部分之金屬層 208 ’以平坦化金屬層208之表面,而形成如第7圖所示之結麵 構。其中,上述之研磨步驟僅需使金屬層2〇8之表面達到平 坦的狀態即可,無須將擴散阻障層2〇6上方之金屬層2〇8予 以去除。平坦化金屬層2 〇 8時,僅需進行相當短暫的研磨程 序來將金屬層2〇8之突出部210磨除,即可使金屬層208的 表面平坦。 本發明之又一特徵就是金屬層2〇8於開口 2〇4上方且有突出 部210,因此相較於習知共型沉積技術,本發明於平坦化金 屬層208時,大部分只需磨除開口 2 04上方之突出部21〇即 :二而不像習知一般必須磨除開口 2〇4兩側 完成金屬展工 可縮短研磨時間。 =成金屬^層208之平坦化後,將基材2〇〇連同其上之介電層 内。再VV且//:6、晶種層、以及金屬層2°8浸入電解液 屬層:作^ 陽和 在此同日τ,將電鍍之陰極2 1 2浸 200428528 五、發明說明(8) 入電,液,並使陰極212與金屬層2〇8之間 所示之結構。其中,陰極212之材質可例如 為銅,或一般之金屬。 藉二t反電鍍的方式’亦即電解的方式,使原先電 二:障層206上之金屬層208的-部分電鍵沉積 丢 ,直至暴露出開口 204外之擴散阻障層206為 上形成金屬層216,並在開口 204中形成金 ^ Γ,τ ㈣所示之結構。在金屬層208之反電鑛 i二〇8Λ”整陽極電極在金屬層208之分布,來控制 :屬二208,在反電鍍期間的厚度均句度。在本發明之一較佳< ί & η - : Γ用檢測器來量測電解液之銅離子(Cu2+)的濃 L = 4 3之電流,來偵測反電鍍之終點。當反電 ί (電解::::出開口 204外大部分之擴散阻障層20 6 之終:Ξί,Γ:會產生明顯下降,即可得知反電鍍步驟 故點甘^ ^明亦可運用其他方式檢測反電鍍步驟之 終點,並不限於上述方式。 〜 本發明之另一特微就4 k ^ π A 行倣就疋紐暫的研磨程序僅用以平坦化金屬 式,也就是電解的方\句度=制力相當優良之反電鍵的方( 予以移除。於是,研開口m外之多餘的金屬層2〇8 上,也不會接觸到開=並二會直人接作用在介電層2〇2 之應力而產生刮:d〇4。因此,介電層2°2不會因研磨 也不會產生淺碟區:土雷=204中之金屬層214的表面 且介電層20 2與金屬層214更不會有過Page 10 200428528 V. Description of the invention (7) The plating rate is higher than the plating rate on the planar areas on both sides of the opening 204. In this way, the protruding portion 21 0 of the metal layer 208 can be smoothly formed above the opening 204. One feature of the present invention is that the metal layer 208 is formed by the overfill method, which can accelerate the speed at which the metal layer 208 fills the opening 204. Therefore, in the case where the metal layer 208 on the dielectric-electrical layer 202 outside the opening 204 is not too thick, the opening 204 is already filled with the metal layer 208. Therefore, ′ can shorten the time of electro-mineral deposition of the metal layer 208, and can reduce the material required to form the metal layer 208. After the metal layer 208 is formed, the end point of the polishing is controlled by, for example, chemical mechanical polishing, and in a time mode, for example, and a portion of the metal layer 208 ′ is polished to flatten the surface of the metal layer 208 to form a surface as shown in FIG. 7. Knot structure. Among them, the above grinding step only needs to make the surface of the metal layer 208 flat, and there is no need to remove the metal layer 208 above the diffusion barrier layer 206. When the metal layer 208 is planarized, the surface of the metal layer 208 can be made flat only by a relatively short grinding process to remove the protrusion 210 of the metal layer 208. Another feature of the present invention is that the metal layer 208 is above the opening 204 and has a protrusion 210. Therefore, compared with the conventional co-deposition technique, most of the present invention requires only grinding when planarizing the metal layer 208. In addition to the protruding portion 21 above the opening 204, that is, two, instead of having to grind both sides of the opening 204 to complete the metal exhibition, it is possible to shorten the grinding time. After the planarization of the metallization layer 208, the substrate 200 is placed in the dielectric layer thereon. Then VV and //: 6, seed layer, and metal layer 2 ° 8 immersed in the electrolyte metal layer: as ^ and on the same day τ, immerse the electroplated cathode 2 1 2 200428528 5. Description of the invention (8) Power , And the structure shown between the cathode 212 and the metal layer 208. The material of the cathode 212 may be, for example, copper, or a general metal. By means of the two-t anti-electroplating method, that is, the electrolytic method, the original part of the electrical layer 2: the metal layer 208 on the barrier layer 206 is deposited and lost until the diffusion barrier layer 206 outside the opening 204 is exposed to form a metal. Layer 216, and a structure shown by gold ^ Γ, τ㈣ in the opening 204 is formed. The distribution of the anode electrode in the metal layer 208 is the distribution of the entire anode electrode in the metal layer 208 to control: it belongs to 208, and the thickness is uniform during the electroplating. One of the present invention is preferred < ί & η-: Γ uses a detector to measure the current of the copper ion (Cu2 +) in the electrolyte at a concentration of L = 4 3 to detect the end of the back electroplating. The end of most of the diffusion barrier layer 20 6: Ξί, Γ: There will be a significant drop, you can know the reason of the anti-plating step ^ ^ It is also possible to use other methods to detect the end of the anti-plating step, not limited to The above method. ~ Another special feature of the present invention is the 4 k ^ π A line. The grinding process is only used to flatten the metal type, that is, the square of the electrolysis. Remove the square (removed. Therefore, the extra metal layer 208 outside the opening m will not touch the opening = and will directly cause stress on the dielectric layer 002 to scratch: d 〇4. Therefore, the dielectric layer 2 ° 2 will not cause a shallow dish area due to grinding: the surface of the metal layer 214 in torpedo = 204 and the dielectric layer 20 2 and metal layer 214

第12頁 200428528 5»、發明說明(9) 蝕現象產生。Page 12 200428528 5 », description of the invention (9) Erosion occurs.

待於開口 204中开^ 士、人B 刻的技術,去除、成至屬層214後’利用例如乾蝕刻或濕蝕 下之介電屛20?、 204外之擴散阻障層206,而暴露出底 金屬層21:之鑲嵌J J如第1〇圖所示之結構。至此’已完成 實施例可知,本發明之-優點就是因為 可不需沉積太:即^屬填充至介電層中之開口,金屬層 屬声之沉有效填滿開〇 °因& ’不僅可縮減金 的:用,進=及平坦化時間,並可減少金屬層之材料 到降低製程成本支出的目的。 僅利^在-^月車又佳貫施例可知,本發明又一優點就是因為 反電學機械研磨製程來平坦化金屬層,而利用 磨势裎首桩你田r入 屬層,可防止化學機械研 作用在介電層並接觸到開口。因此,可有效防 陷之淺 力而文知,並可避免金屬層表面產生凹 也^;:°°,更可防範介電層與金屬層產生過蝕現象。 升介電層與金屬鎮嵌結構之品質,增加對介 電二、ΐ::尺寸的控制能力外’更可達到提高元件之 電f生口口貝與可靠度的目的。 :ϊίΓ月f以:較佳實施例揭露如上’然其並非用以限 r „ ^二壬何热習此技藝者,在不脫離本發明之精神和 内,當可作各種之更動與潤飾, 圍當視後附之申請專利範圍所界定者為準。土月保善乾 200428528 圖式簡單說明 【圖式簡單說明】 第1圖至第3圖係繪示習知金屬鑲嵌製程之製程剖面圖。 第4圖係繪示習知金屬鑲嵌結構之上視圖。 第5圖至第1 0圖係繪示依照本發明一較佳實施例的一種金屬 鑲嵌製程之製程剖面圖。 【元件代表符號簡單說明】 100 :基材 1 0 2 :介電層 104 :開口 I 0 6 :擴散阻障層 108 :金屬層 110 :金屬層 II 2 :淺碟區 11 4 :刮痕 1 1 6 :過餘區 2 0 0 :基材 2 02 :介電層 204 :開口 2 0 6 :擴散阻障層 208 :金屬層 2 1 0 :突出部 2 1 2 :陰極 214 :金屬層 2 1 6 :金屬層After the technique of etching in the opening 204 is performed, the metal layer 214 is removed and formed into the metal layer 214, and the diffusion barrier layer 206 outside the dielectric layer 20 ?, 204 under, for example, dry etching or wet etching is exposed and exposed. The inlaid JJ of the bottom metal layer 21: has the structure shown in FIG. 10. So far, the example has been completed, it can be known that the advantage of the present invention is that it can be deposited without: too, that is, the opening filled in the dielectric layer, and the metal layer is effectively filled with sound. Reduced gold: use, advance = and flattening time, and can reduce the material of the metal layer to the purpose of reducing process costs. It can only be seen from the example of the 车-月 moon car that the present invention has another advantage. Another advantage of the present invention is that the anti-electromechanical grinding process is used to planarize the metal layer. The mechanical action acts on the dielectric layer and contacts the opening. Therefore, the shallow force that can effectively prevent the sinking is well known, and the surface of the metal layer can be prevented from being depressed; °°, which can also prevent the over-etching of the dielectric layer and the metal layer. By increasing the quality of the dielectric layer and the metal embedded structure, and increasing the ability to control the size of the dielectric II, ΐ ::, the purpose of improving the electrical reliability and reliability of the component can be achieved. : ϊίΓ 月 f To: The preferred embodiment discloses the above, but it is not intended to limit r ^ ^ Er Ren He is eager to learn this skill, without departing from the spirit and scope of the present invention, it can be modified and retouched. Wei Dang is subject to the definition of the scope of the patent application attached. Tuyue Baoshangan 200428528 Brief Description of the Drawings [Simplified Description of Drawings] Figures 1 to 3 are cross-sectional views showing the process of the conventional metal inlaying process. Figure 4 is a top view of a conventional metal mosaic structure. Figures 5 to 10 are cross-sectional views of a metal mosaic process according to a preferred embodiment of the present invention. ] 100: Substrate 1 0 2: Dielectric layer 104: Opening I 0 6: Diffusion barrier layer 108: Metal layer 110: Metal layer II 2: Shallow dish area 11 4: Scratch 1 1 6: Excess area 2 0 0: substrate 2 02: dielectric layer 204: opening 2 0 6: diffusion barrier layer 208: metal layer 2 1 0: protrusion 2 1 2: cathode 214: metal layer 2 1 6: metal layer

第14頁Page 14

Claims (1)

200428528 六、申請專利範圍 1· 一種金屬鑲嵌製程(Metal Damascene Process),至少 包括: 提供一基材,其中該基材上至少已形成一介電層,且該介 電層中至少包括一開口暴露出部分之該基材; — 形成一金屬層覆蓋在該介電層以及暴露之該基材上,並填 滿該開口; 移除部分之該金屬層,藉以平坦化該金屬層;以及 進行一反電鍍(Reverse Electrical Plating)步驟,直至 移除該開口外之該金屬層。 遍 2. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中該介 電層之材質為低介電常數材料。 3. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中該金 屬層之材質為銅(C u)。 4. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中於形 成該金屬層之步驟前,更至少包括形成一擴散阻障層覆蓋 在該介電層以及暴露之該基材上。 4 5. 如申請專利範圍第4項所述之金屬鑲嵌製程,其中形成 該金屬層之步驟前,更至少包括形成一晶種層(Seed Layer)覆蓋在該擴散阻障層上。200428528 VI. Scope of patent application 1. A metal damascene process at least includes: providing a substrate, wherein at least a dielectric layer has been formed on the substrate, and at least one opening in the dielectric layer is exposed; Part of the substrate;-forming a metal layer to cover the dielectric layer and the exposed substrate, and filling the opening; removing part of the metal layer, thereby flattening the metal layer; and performing a Reverse Electrical Plating step until the metal layer outside the opening is removed. Pass 2. The metal damascene process as described in item 1 of the scope of patent application, wherein the material of the dielectric layer is a low dielectric constant material. 3. The metal inlaying process described in item 1 of the scope of patent application, wherein the material of the metal layer is copper (C u). 4. The metal damascene process according to item 1 of the scope of patent application, wherein before the step of forming the metal layer, it further comprises forming at least a diffusion barrier layer to cover the dielectric layer and the exposed substrate. 4 5. The metal damascene process as described in item 4 of the scope of the patent application, wherein before the step of forming the metal layer, at least a seed layer is formed to cover the diffusion barrier layer. 200428528 六、申請專利範圍 6. 如申請專利範圍第4項所述之金屬鑲嵌製程,其中於該 反電鍍步驟後,更至少包括去除該開口外之該擴散阻障 層。 7. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中形成 該金屬層之步驟係利用一超填塞(Super-filling)方式,而 使該金屬層具有一突出部位於該開口上方。 8. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中形成 該金屬層之步驟係利用一電鍍沉積法。 < 9. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中移除 部分之該金屬層之步驟係利用一化學機械研磨(CMP )方式。 10. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中該反 電鍍步驟係以該金屬層為陽極。 11. 如申請專利範圍第1項所述之金屬鑲嵌製程,其中於該 反電鍍步驟時,更至少包括: 將該基材、該介電層、以及該金屬層浸泡在一電解液中; 以及 利用一檢測器來測量該電解液之電流,藉以獲得一反電鍍 終點。200428528 6. Scope of patent application 6. The metal inlaying process as described in item 4 of the scope of patent application, wherein after the anti-plating step, it further includes removing at least the diffusion barrier layer outside the opening. 7. The metal inlaying process as described in item 1 of the scope of patent application, wherein the step of forming the metal layer is by using a super-filling method, so that the metal layer has a protrusion above the opening. 8. The metal damascene process described in item 1 of the scope of patent application, wherein the step of forming the metal layer uses an electroplating deposition method. < 9. The metal damascene process described in item 1 of the scope of patent application, wherein the step of removing a portion of the metal layer is by a chemical mechanical polishing (CMP) method. 10. The metal damascene process described in item 1 of the scope of the patent application, wherein the anti-plating step uses the metal layer as an anode. 11. The metal damascene process according to item 1 of the scope of patent application, wherein during the anti-electroplating step, the method further comprises at least: immersing the substrate, the dielectric layer, and the metal layer in an electrolyte; and A detector is used to measure the current of the electrolyte to obtain an anti-plating end point. 第16頁 200428528 六、申請專利範圍 12. —種金屬鑲嵌製程,至少包括: 提供一基材,其中該基材上至少已形成一介電層,且該介 _ 電層中至少包括一開口暴露出部分之該基材; 形成一擴散阻障層覆蓋在該介電層以及暴露之該基材上; _ 以一超填塞方式形成一金屬層覆蓋在該擴散阻障層上,並 — 填滿該開口; 移除部分之該金屬層,藉以平坦化該金屬層;以及 進行一反電鍍步驟,直至移除該開口外之該金屬層。 13. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中該· 介電層之材質為低介電常數材料。 14. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中該 金屬層之材質為銅。 15. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中於 形成該擴散阻障層之步驟與形成該金屬層之步驟之間,更 至少包括形成一晶種層覆蓋在該擴散阻障層上。 16. 如申請專利範圍第1 5項所述之金屬鑲嵌製程,其中形 成該晶種層之步驟係利用一丨賤鐘沉積(S p u 11 e r i n g Deposition)法 o 17.如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中於Page 16 200428528 6. Application for patent 12. A metal inlaying process at least includes: providing a substrate, wherein at least a dielectric layer has been formed on the substrate, and at least one opening is exposed in the dielectric layer Part of the substrate; forming a diffusion barrier layer to cover the dielectric layer and the exposed substrate; _ forming a metal layer to cover the diffusion barrier layer in an overfilling manner, and — filling The opening; removing a portion of the metal layer to planarize the metal layer; and performing an anti-plating step until the metal layer outside the opening is removed. 13. The metal damascene process described in item 12 of the scope of the patent application, wherein the material of the dielectric layer is a low dielectric constant material. 14. The metal inlaying process as described in item 12 of the scope of patent application, wherein the material of the metal layer is copper. 15. The metal damascene process described in item 12 of the scope of patent application, wherein between the step of forming the diffusion barrier layer and the step of forming the metal layer, it further includes at least forming a seed layer to cover the diffusion barrier. Barrier. 16. The metal inlaying process as described in item 15 of the scope of the patent application, wherein the step of forming the seed layer is by a method called S pu 11 ering Deposition. 17. As the scope of the patent application, No. 1 2 The metal inlaying process described in item 第17頁 200428528 六、申請專利範圍 該反電鍍步驟後,更至少包括去除該開口外之該擴散阻障 層。 _ 18. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中於 形成該金屬層之步驟時,更至少包括使該金屬層具有一突 出部位於該開口上方。 19. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中形 成該金屬層之步驟係利用一電鍍沉積法。 •丨 2 0.如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中移 除部分之該金屬層之步驟係利用一化學機械研磨方式。 21. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中該 反電鍵步驟係以該金屬層為陽極。 22. 如申請專利範圍第1 2項所述之金屬鑲嵌製程,其中於 該反電鍍步驟時,更至少包括: 將該基材、該介電層、該擴散阻障層、以及該金屬層浸泡· 在一電解液中;以及 利用一檢測器來測量該電解液之電流,藉以獲得一反電鍍 終點。 23. 一種金屬鎮散製程,至少包括:Page 17 200428528 VI. Scope of patent application After the anti-electroplating step, it includes at least removing the diffusion barrier layer outside the opening. _ 18. The metal inlaying process described in item 12 of the scope of patent application, wherein the step of forming the metal layer further includes at least making the metal layer have a protrusion above the opening. 19. The metal damascene process described in item 12 of the scope of the patent application, wherein the step of forming the metal layer is by an electroplating deposition method. • 20. The metal inlaying process described in item 12 of the scope of the patent application, wherein the step of removing a portion of the metal layer is by a chemical mechanical polishing method. 21. The metal inlaying process as described in item 12 of the scope of the patent application, wherein the step of anti-electron bonding uses the metal layer as an anode. 22. The metal damascene process according to item 12 of the scope of patent application, wherein during the anti-plating step, the method further includes at least: immersing the substrate, the dielectric layer, the diffusion barrier layer, and the metal layer. · In an electrolytic solution; and measuring the electric current of the electrolytic solution with a detector to obtain an anti-plating end point. 23. A metal ballasting process including at least: 第18頁 200428528 六、申請專利範圍 提供一基材’其中該基材上至少已形成一介電層,且該介 電層中至少包括一開口暴露出部分之該基材; 以一超填塞方式形成一金屬層覆蓋在該介電層以及暴露之 該基材上,並填滿該開口; 進行一研磨步驟,藉以平坦化該金屬層;以及 進行一反電鍍步驟,直至移除該開口外之該金屬層。 24·如申請專利範圍第23項所述之金屬鑲嵌製程.,其中該 介電層之材質為低介電常數材料。 2 5·如申請專利範圍第2 3項所述之金屬鑲嵌製程,其中該 金屬層之材質為銅。 26·如申請專利範圍第23項所述之金屬鑲嵌製程,其中於 形成該金屬層之步驟前,更至少包括形成一擴散阻障層覆 蓋在該介電層以及暴露之該基材上。 27·如申請專利範圍第26項所述之金屬鑲嵌製程,其中形 成該金屬層之步驟前,更至少包括形成一晶種層覆蓋在該 擴散阻障層上。 28.如申請專利範圍第26項所述之金屬鑲嵌製程,其中於 該反電鍵步驟後,更至少包括去除該開口外之該擴散阻障 層0Page 18 200428528 6. The scope of the patent application provides a substrate 'wherein at least a dielectric layer has been formed on the substrate, and the dielectric layer includes at least an opening exposed portion of the substrate; using an overfill method Forming a metal layer to cover the dielectric layer and the exposed substrate, and filling the opening; performing a grinding step to planarize the metal layer; and performing an anti-plating step until removing the outside of the opening The metal layer. 24. The metal damascene process as described in item 23 of the scope of patent application, wherein the material of the dielectric layer is a low dielectric constant material. 25. The metal inlaying process as described in item 23 of the scope of patent application, wherein the material of the metal layer is copper. 26. The metal damascene process according to item 23 of the scope of patent application, wherein before the step of forming the metal layer, it further comprises at least forming a diffusion barrier layer to cover the dielectric layer and the exposed substrate. 27. The metal damascene process as described in item 26 of the scope of patent application, wherein the step of forming the metal layer further includes at least forming a seed layer to cover the diffusion barrier layer. 28. The metal damascene process according to item 26 of the scope of patent application, wherein after the step of anti-electric bonding, at least further includes removing the diffusion barrier layer outside the opening. 第19頁 200428528 六、申請專利範圍 29. 如申請專利範圍第23項所述之金屬鑲嵌製程,其中形 成該金屬層時,更至少包括使該金屬層具有一突出部位於 該開口上方。 30. 如申請專利範圍第23項所述之金屬鑲嵌製程,其中形 成該金屬層之步驟係利用一電鍍沉積法。 31. 如申請專利範圍第23項所述之金屬鑲嵌製程,其中該 研磨步驟係利用一化學機械研磨法。 3 2.如申請專利範圍第2 3項所述之金屬鑲嵌製程,其中該 反電鍍步驟係以該金屬層為陽極。 33.如申請專利範圍第23項所述之金屬鑲嵌製程,其中於 該反電鍍步驟時,更至少包括: 將該基材、該介電層、以及該金屬層浸泡在一電解液中; 以及 利用一檢測器來測量該電解液之電流,藉以獲得一反電鍍φ 終點。 34. —種金屬鎮喪製程,至少包括: 提供一基材,其中該基材上至少已形成一介電層,且該介 電層中至少包括一開口暴露出部分之該基材; 200428528 六、申請專利範圍 形成一擴散阻障層覆蓋在該介電層以及暴露之該基材上; 形成一晶種層覆蓋在該擴散阻障層上; 以一超填塞方式形成一金屬層覆蓋在該晶種層上,並填滿 該開口 ; 進行一化學機械研磨步驟,藉以平坦化該金屬層;以及 進行一反電鍍步驟,直至移除該開口外之該金屬層以及該 晶種層。 35. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中該 介電層之材質為低介電常數材料。 36. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中該 金屬層之材質為銅。 37. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中形 成該擴散阻障層之步驟係利用一共型(Conformal )沉積法。 38. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中形 成該晶種層之步驟係利用一錢鍵沉積法。 39. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中於 該反電鍍步驟後,更至少包括去除該開口外之該擴散阻障 層0Page 19 200428528 6. Scope of patent application 29. The metal inlaying process described in item 23 of the scope of patent application, wherein the forming of the metal layer further includes at least a protruding portion of the metal layer located above the opening. 30. The metal damascene process described in item 23 of the scope of the patent application, wherein the step of forming the metal layer is by an electroplating deposition method. 31. The metal inlaying process as described in item 23 of the patent application scope, wherein the grinding step uses a chemical mechanical grinding method. 3 2. The metal inlaying process as described in item 23 of the scope of patent application, wherein the anti-plating step uses the metal layer as an anode. 33. The metal damascene process as described in item 23 of the scope of patent application, wherein the anti-electroplating step further includes at least: immersing the substrate, the dielectric layer, and the metal layer in an electrolyte; and A detector is used to measure the current of the electrolyte to obtain an anti-electroplating φ end point. 34. A metal ballasting process, at least including: providing a substrate, wherein at least a dielectric layer has been formed on the substrate, and the dielectric layer includes at least an opening exposed portion of the substrate; 200428528 six The scope of the patent application forms a diffusion barrier layer covering the dielectric layer and the exposed substrate; a seed layer is formed to cover the diffusion barrier layer; a metal layer is formed to cover the diffusion barrier layer The seed layer is filled with the opening; a chemical mechanical polishing step is performed to planarize the metal layer; and an anti-plating step is performed until the metal layer and the seed layer outside the opening are removed. 35. The metal damascene process described in item 34 of the scope of the patent application, wherein the material of the dielectric layer is a low dielectric constant material. 36. The metal inlaying process as described in item 34 of the scope of patent application, wherein the material of the metal layer is copper. 37. The metal damascene process described in item 34 of the scope of the patent application, wherein the step of forming the diffusion barrier layer uses a conformal deposition method. 38. The metal damascene process described in item 34 of the scope of patent application, wherein the step of forming the seed layer is by a coin bond deposition method. 39. The metal damascene process described in item 34 of the scope of patent application, wherein after the anti-electroplating step, it further includes at least removing the diffusion barrier layer outside the opening. 第21頁 200428528 六、申請專利範圍 40. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中形 成該金屬層時,更至少包括使該金屬層具有一突出部位於 該開口上方。 41. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中形 成該金屬層之步驟係利用一電鍍沉積法。 42. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中該 反電鍍步驟係以該金屬層為陽極。 43. 如申請專利範圍第34項所述之金屬鑲嵌製程,其中於 該反電鍍步驟時,更至少包括: 將該基材、該介電層、該擴散阻障層、該晶種層、以及該 金屬層浸泡在一電解液中;以及 利用一檢測器來測量該電解液之電流,藉以獲得一反電鍍 終點。Page 21 200428528 6. Scope of patent application 40. The metal inlaying process as described in item 34 of the scope of patent application, wherein the forming of the metal layer further includes at least making the metal layer have a protrusion above the opening. 41. The metal damascene process described in item 34 of the scope of the patent application, wherein the step of forming the metal layer uses an electroplating deposition method. 42. The metal damascene process described in item 34 of the patent application scope, wherein the anti-plating step uses the metal layer as an anode. 43. The metal damascene process described in item 34 of the scope of patent application, wherein the anti-electroplating step further includes at least: the substrate, the dielectric layer, the diffusion barrier layer, the seed layer, and The metal layer is immersed in an electrolytic solution; and a detector is used to measure the current of the electrolytic solution to obtain an anti-plating end point. 第22頁Page 22
TW92115755A 2003-06-10 2003-06-10 Metal damascene process TW200428528A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW92115755A TW200428528A (en) 2003-06-10 2003-06-10 Metal damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW92115755A TW200428528A (en) 2003-06-10 2003-06-10 Metal damascene process

Publications (1)

Publication Number Publication Date
TW200428528A true TW200428528A (en) 2004-12-16

Family

ID=52341471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92115755A TW200428528A (en) 2003-06-10 2003-06-10 Metal damascene process

Country Status (1)

Country Link
TW (1) TW200428528A (en)

Similar Documents

Publication Publication Date Title
TW584907B (en) Semiconductor device and method for manufacturing the same
KR101130557B1 (en) Interconnect structure and process of making the same
JP2001313371A (en) Metallic capacitor and its formation method
JP2002343900A (en) Chip carrier substrate
TWI260740B (en) Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
TW200522265A (en) A novel method to reduce Rs pattern dependence effect field of the invention
US7553743B2 (en) Wafer bonding method of system in package
KR100613388B1 (en) semiconductor device having copper wiring layer by damascene process and formation method thereof
KR100559041B1 (en) Method of forming a copper wiring in a semiconductor device
US6841458B2 (en) Dopant interface formation
US6093647A (en) Method to selectively electroplate conductive material into trenches
US7541279B2 (en) Method for manufacturing semiconductor device
JP2006120870A5 (en)
US20060228934A1 (en) Conductive materials for low resistance interconnects and methods of forming the same
TW200428528A (en) Metal damascene process
TW201017821A (en) Structure to facilitate plating into high aspect ratio vias
KR100924865B1 (en) Method for forming metal interconnection layer of seniconductor device
KR100572825B1 (en) Method of manufacturing metal layer of semiconductor device
US6479898B1 (en) Dielectric treatment in integrated circuit interconnects
JP2001077195A (en) Semiconductor device
TWI299370B (en) Apparatus and method for electrochemically depositing a metal layer
US20070151859A1 (en) Method of forming copper interconnections in semiconductor devices
KR100702805B1 (en) Method for forming metal wiring layer of semiconductor device
KR100563785B1 (en) Method for forming Cu interconnection line in semiconductor device
JP3685645B2 (en) Manufacturing method of semiconductor device