SU1107318A1 - Автоматический генератор кода Морзе - Google Patents

Автоматический генератор кода Морзе Download PDF

Info

Publication number
SU1107318A1
SU1107318A1 SU833554291A SU3554291A SU1107318A1 SU 1107318 A1 SU1107318 A1 SU 1107318A1 SU 833554291 A SU833554291 A SU 833554291A SU 3554291 A SU3554291 A SU 3554291A SU 1107318 A1 SU1107318 A1 SU 1107318A1
Authority
SU
USSR - Soviet Union
Prior art keywords
inputs
outputs
counter
output
input
Prior art date
Application number
SU833554291A
Other languages
English (en)
Inventor
Рудольф Николаевич Назаренко
Виктор Михайлович Данилов
Original Assignee
Череповецкое высшее военное инженерное училище радиоэлектроники
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Череповецкое высшее военное инженерное училище радиоэлектроники filed Critical Череповецкое высшее военное инженерное училище радиоэлектроники
Priority to SU833554291A priority Critical patent/SU1107318A1/ru
Application granted granted Critical
Publication of SU1107318A1 publication Critical patent/SU1107318A1/ru

Links

Landscapes

  • Noise Elimination (AREA)

Abstract

АВТОМАТИЧЕСКИЙ ГЕНЕРАТОР КОДА МОРЗЕ, содержащий распределитель, выходы которого через коммутатор знаковых  чеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки, отличающийс   тем, что, с целью расширени  функциональных возможностей за счет генерировани  стартстопных и синхронных кодов нар ду с кодом Морзе, введены блок установки коэффициента счета , счетчик с переменным коэффициентом делени , программный счетчик, первый и второй регистры пам ти, шифратор , мультиплексер, комбинатор, сумматор, счетчик интервалов, комбинатор длительности пауз, первый и второй элементы И и генератор шума , выход которого соединен с тактовым входом счетчика с переменным коэффициентом делени  и с тактовым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом делени , выходы которого соединены с установочными входами первого регистра пам ти, стробирующий вход которого подключен к стробирующему входу второго регистра пам ти и к выходу первого элемента И, входы которого подключены к выходам знакового счетчика, к первым входам сумматора и к первым входам мультиплексора , вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами про (Л граммной матрицы и с входами комбинатора , выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной | установки, причем выход мультиплекСАЭ 00 сора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра пам ти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра пам ти соединены с входами распределител .

Description

Изобретение относитс  к телеграфной св зи и может быть использовано при обучении радиотелеграфистов приему на слух. Известен электронный датчик кода Mqpse, содержащий включенные соответ ствующим образом опорный генератор, пусковой датчик, триггеры, генератор тактовых импульсов, управл ющий блок, выходной согласун ций блок, ре точек тире и гистры, датчики логический блок СП. Недостатком датчика  вл етс  сложность конструкции. Наиболее близким к предлагаемому  вл етс  автоматический генератор кода Морзе, содержащий распределитель , выходы которого через коммутатор знаковых  чеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки 12. Однако этот генератор не позвол ет измен ть закон распределени  знаков и генерировать стартстопные и синхронные коды. Цель изобретени  - расширение функциональных возможностей за счет генерировани  стартстопных и синхронных кодов, нар ду с кодом Морзе. Дл  достижени  поставленной цели в автоматический генератор кода Морзе, содержащий распределитель выходы которого через коммутатор зна ковых  чеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика , установочные входы которого подключены к выходам блока начальной ус тановки, введены блок устновки коэффициента счета, счетчик с переменным коэффициентом делени , программный счетчик, первый и второй регистры пам ти, шифратор, мультиплексер, комбинатор, сумматор, счетчик интервалов , комбинатор длительности па , уз, первый и второй элементы И и генератор шума, выход которого соеди нен с тактовым входом счетчика с пер менным коэффициентом делени  и с татоквым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом делени , выходы которого соединены с установочными входами первого регистра пам ти, стробирующий вход которого подключен к стробирующему входу второго регистра пам ти и к выходу первого элемента И, входы которого подключены к выходам энакового счетчика, к первым входам сумматора и к первым входам мультиплексора , вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами про- . граммной матрицы и с входами комбинатора , выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов , первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной установки, причем выход мультиплексора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра пам ти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра пам ти соединены с входами распределител . На фиг.1 изображена структурна  электрическа  схема генератора; на фиг.2 - временные диаграммы. Автоматический генератор кода Морзе содержит генератор 1 шума, счетчик 2 с переменным коэффициентом делени , первый регистр 3 пам ти, блок 4 установки коэффициента счета, распределитель 5, коммутатор 6 знаковых  чеек, программную матрицу 7, комбинатор 8, сумматор 9, знаковый счетчик 10, блок 11 начальной установки , генератор 12 импульсов, комбинатор 13 длительности пауз, счетчик 14 интервалов, первый элемент И 15, шифратор 16, мультиплексор 17, программный счетчик 18, второй регистр 19 пам ти, второй элемент И 20. Генератор работает следующим образом . Генератор 1 шума формирует окрашенный поток импульсов (фиг.2а). Полученный сигнал подаетс  на тактовые входы счетчика 2 с переменньпч коэфициентом делени  и программного
311073
четчика 18. Коэффициент счета счетика 2 с переменным коэффициентом елени  { (Ч ) может измен тьс  от 1 о 15. Коэффициент счета программноо счетчика 18 (М) измен етс  от 1 5 о 16. Таким образом, из комбинаций осто ний счетчика 2 с переменным оэффициентом делени  и программного счетчика 18 (фиг.) возможно ормировать до 240 различных равно- Ю веро тных комбинаций. Количество знаков азбуки кода Морзе ограничено и равно 40. Коэффициент счета счетчика 2 с переменным коэффициентом елени  устанавливаетс  в зависи- 15 мости от объема алфавита с помощью блока 4 установки коэффициента счета путем подачи на установочные входы i счетчика 2 с переменным коэффициентом делени  соответствующих потен- 20 циалов, формируемьк на вторых выходах блока 4 установки коэффициента счета . Первые выходы блока 4 установки коэффициента счета служат дл  ограничени  коэффициента счета про- . 25 граммного счетчика 18. Первый 3 и второй 11 регистры пам ти осуществл ют запись и хранение на период формировани  знака кодовых комбинаций счетчика 2 с переменным коэффи-30 циентом делени  и программного счетчика 18 соответственно. Запись информации в первый 3 и второй 19 регистры пам ти осуществл етс  импульсом записи (фиг.2 W), подаваемым 35 с выхода первого элемента И 15. Сигнал с выхода первого регистра 3 пам ти поступает в параллельном коде на распределитель 5, представл ющий собой дешифратор. Распреде- 40 литель 5 имеет четыре входа и п тнадцать выходов. При по влении комбинации на входах распределител  5 на одном из его выходов по вл етс  сигнал, соответствующий уровню 45 логической 1, на всех остальных уровню логического О. При ограничении коэффициента счета счетчика 2 с переменным коэффициентом делени  ограничиваетс  и количество 50 выходов распределител  5, которые могут переводитьс  в состо ние логической 1. Сигнал с выходов распреде лител  5 поступает на коммутатор 6 знаковых  чеек, где механическим 55 способом осуществл етс  соединение выходов распределител  5 с соответствующими сигнальными входами
184
программной матрицы 7. Таким образом осуществл етс  изменение объема алфавита. Сигнал с выхода регистра 1 пам ти в параллельном коде поступает на , вторые (управл ющие) входы программной матрицы 7, представл ющей многоканальный мультиплексор, который имеет сорок выходов. При этом изменение закона распределени  знаков осуществл етс  изменением числа формируемых на вторых входах программной матрицы 7 комбинаций путем изменени  коэффициента счета программного счетчика 18 и соответствующей коммутацией первых сигнальных входов программной матрицы 7.-При этом на одном из сорока выходов программной матрицы 7 формируетс  сигнал разрешени , соответствующий уровню логической t. Веро тность по влени  сигнала разрешени  на L-м выходе в ограниченной выборке зависит от коэффициента счета счетчика 2 с переменным коэффициентом делени , коэффициента счета программного счетчика 18, коэффициента разветвлени  выхода коммутатора 6 знаковьгх  чеек ( n-i ) и может быть определена дл  равноверо тных независимых событий по формуле
.
N-M
По вление сигнала разрешени  на i,-M выходе программной матрицы 7 определ ет генерируемый знак. На выходе комбинатора 8 и шифратора 16 одновременно поступает сигнал разрешени  (фиг.2о). В зависимости от генерируемого знака на шести выходах комбинатора 8 формируетс  одна из дес ти кодовых комбинаций, соответствующих длительности генерируемых знаков
1 О 1 О 1 О - Е
101 1 О О - Т, И
1 О 1 1 1 О - А, Н, С
1 1 О О О О - У, М, Д, Р, X
1 1 О О 1 О - 5, В, Г, Б, К, Л, Ф, Ж
1 1 О 1 О О - 4, 6, О, 3, Ц, П, Ю,
Я (знак)
1 101 1 О - 3, 7, Ы, Щ, И, Ч 1 1 1 О О О - 2, 8, Ш. 111010-1,9 111100-0
Одновременно на выходах шифратора 16 формируютс  комбинации 19-разр дного кода, соответствующие форме генерируемых знаков 10111011101 I 10111111 A, P, B, П, И 111011101110111011 9,0 Т, М, Н, О, Г, Ш 101010101111101111 4. 5, Е, И, С, X 111010101011101011 6,Д, Б 11101lf01010101011 7,3 111011101110101011 8,4 101010111011111111 I1 1 о 1 о 1 1 1 о 1 1 1 1 1 о 1 1 К, Ы, Ц 101011101110111111 2, У, Ф, Ю 111010101110111011 6 (знак) 101110101111111111 Л, Я II101 1 1010 1 1 1 11011 1 ш Генератор 12 импульсов работает в. режиме автогенерации и вырабатьшае импульсы тактовой частоты, период TO следовани  которых соответству ет длительности элементарных посылок точек (фиг.2г). Эти импульсы поступают на вход знакового счетчика 10.Сигнал с выходов разр дов знакового счетчика 10 (фиг.2а) одновременно поступает на первые входы муль типлексора 17, сумматора 9 и первого элемента И 15. При по влении на входах первого элемента И 15 кодовой комбинации 100111 на выходе его формируетс  импульс записи (фиг.). При совпадении комбинаций на выходах комбинатора 8 и соответствующих выхо дах разр дов знакового счетчика 10 на выходе сумматора 9 по вл етс  импульс конца знака (фиг.2е), который  вл етс  стробирующим и производит установку знакового счетчика 10 в исходное состо ние, которое опреде л ет длительность паузы. Например, при паузе между знаками соответствую щей трем элементарным посылкам исходное состо ние знакового счетчика 10 будет 100110, дл  5 - 100100 (фиг.2(). Таким образом, при изменении длительности пауз между знаками и группами знаков измен етс  только начальное состо ние знакового счетчика 10. Дл  формировани  З-значных групп сигнал с вькода сумматора 9 поступает на вход счетчика 14 интер|Валов , который служит дл  подсчета количества генерируемых знаков. Коэффициент счета счетчика 14 интервалов равен 250, причем на первом выходе управл ющий сигнал форм ру1етс  делением стробирующих импуль ,сов (фиг.2е ) с коэффициентом счета равным п ти {фиг.2з), с уровнем, соответствующим логической 1, а на BTopdM выходе с коэффициентом счета равным 250, с уровнем логического О. Сигнал с первого выхода счетчика 14 интервалов поступает на вход комбинатора 13 длительности пауз, при этом, например, если уровень сигнала соответствует логическому О, на выходе комбинатора 13 длительности пауз формируетс  комбинаци  100110 .(дл  паузы длительностью в три точки ), а при уровне 1 - комбинаци  ,100100 (дл  паузы длиной в п ть точек ) . Длительность пауз регулируетс  раздельно дл  различных уровней управл ющего сигнала в пределах от трех до сорока тактовых интервалов. Мультиплексор 17 предназначен дл  преобразовани  параллельного кода на выходе шифратора 16 в последовательный код. На (сигнальные) вторые входы мультиплексора 17 поступает сигнал с выходов шифратора 16. Опрос входов мультиплексора 17 осуществл етс  знаковым генератором 10 путем формировани  на первых (управл ющих) входах мультиплексора 17 соответствующих кодовых комбинаций, при этом первому входу мультиплексора 17 соответствует комбинаци  101001. Выходной сигнал, например, дл  выбранных знаков А, Р, С (пауза между знаками 3 точки, а между группами 5 точек) поступает на первый вход второго элемента И 20, на второй вход которого подаетс  сигнал с второго выхода счетчика 14 интервалов (фиг.2 и ). При по влении на втором выходе счетчика 14 интервалов сигнала с уровнем логического О заканчиваетс  формирование текста. Таким образом, на выходе устройства формируетс  импульсный поток сигналов азбуки Морзе с измен емым объемом алфавита и законом распределени  знаков в текстах. Структуру текстов, веро тность по влени  необходимых знаков Б тексте, из сжатость и скорость можно вводить практически любые. измен   коэффициенты счета программн го счетчика 18, счетчика 2 с перемен ным коэффициентом делени  и знакового счетчика 10 соответствующей комму ции в блоке 4 установки коэффициента счета, комбинатора 13 длительност пауз, а также измен   частоту генера тора 12 импульсов. Кроме генерировани  кода Морзе ге нератор может быть использован дл  генерировани  кода Катана и любого синхронного и стартстопного кода с кодовой комбинацией до 19 элементарных посыпок, Например, дл  формировани  знаков меладународного телеграфного кода № 2 на выходах комбинатора 8 формируетс  кодова  комбинаци  111000. Одновременно на выходах шифратора 16 формируетс  комбинаци  19 - ра р дного кода, соответствующа  форме генерируемых знаков стартстопного МТК 2. 0011110000001111111 0011000011111111111 0011110000111111111 0000110011111111111 т.д. Дл  формировани  знаков международного телеграфного кода № 3 (7-значный синхронный код) на выходах комбинатора 8 формируетс  кодова  комбинаци  110000. Одновременно на выходах шифратора 16 формируетс  комбинаци  19 разр дного кода. 0011010010110011111 0011001010110011111 0100101010110011111 1100001010110011111 и т.д. В данном примере первые 7 элементов комбинации соответствуют форме кодовой комбинации 7-элементного кода , вторые 7 элементов - комбинации Холостой ход. Это позвол ет путем измерени  комбинации на выходе комбинатора 8 формировать сигнал двухканальной передачи с временным уплотнением . Дл  этого кодова  комбинаци  на выходе комбинатора 8 имеет вид 110110 Дл  генерировани  стартстопных и синхронных кодов на выходе комбинатора 13 длительности пауз формируетс  в любом случае комбинаци  101001.
Выход
Фиг.1

Claims (1)

  1. АВТОМАТИЧЕСКИЙ ГЕНЕРАТОР КОДА МОРЗЕ, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки, отличающий- с я тем, что, с целью расширения функциональных возможностей за счет генерирования стартстопных и синхронных кодов наряду с кодом Морзе, введены блок установки коэффициента счета, счетчик с переменным коэффициентом деления, программный счетчик, первый и второй регистры памяти, шифратор, мультиплексер, комбинатор, сумматор, счетчик интервалов, комбинатор длительности пауз, первый и второй элементы И и генератор шума, выход которого соединен с тактовым входом счетчика с переменным коэффициентом деления и с тактовым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициен та счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом деления, выходы которого соединены с установочными входами первого регистра памяти, стробирующий вход которого подключен к стробирующему входу второго регистра памяти и к выходу первого элемента И, входы которого подключены к выходам знакового счетчика, к первым входам сумматора и к первым входам мультиплексо ра, вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами программной матрицы и с входами комбинатора, выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого .С соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной установки, причем выход мультиплексора соединен с первым входом вто рого элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра памяти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра памяти соединены
    11073L8 с входами распределителя.
SU833554291A 1983-02-18 1983-02-18 Автоматический генератор кода Морзе SU1107318A1 (ru)

Priority Applications (1)

Application Number Priority Date Filing Date Title
SU833554291A SU1107318A1 (ru) 1983-02-18 1983-02-18 Автоматический генератор кода Морзе

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
SU833554291A SU1107318A1 (ru) 1983-02-18 1983-02-18 Автоматический генератор кода Морзе

Publications (1)

Publication Number Publication Date
SU1107318A1 true SU1107318A1 (ru) 1984-08-07

Family

ID=21050280

Family Applications (1)

Application Number Title Priority Date Filing Date
SU833554291A SU1107318A1 (ru) 1983-02-18 1983-02-18 Автоматический генератор кода Морзе

Country Status (1)

Country Link
SU (1) SU1107318A1 (ru)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU172739U1 (ru) * 2017-01-10 2017-07-21 Российская Федерация, от имени которой выступает Министерство обороны Российской Федерации Устройство для автоматизированной и ручной передачи сигналов кода Морзе

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
1. Авторское свидетельство СССР № 253113, кл. Н 04 L 15/28, 1968. 2. Авторское свидетельство СССР № 310347, кл. G 06 F 1/02, 1970 (ПРОТОТИП. *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU172739U1 (ru) * 2017-01-10 2017-07-21 Российская Федерация, от имени которой выступает Министерство обороны Российской Федерации Устройство для автоматизированной и ручной передачи сигналов кода Морзе

Similar Documents

Publication Publication Date Title
US3761621A (en) Method for the transmission of information using time multiplex principles
KR900012423A (ko) 조정가능한 반앨리어스 필터
SU831093A3 (ru) Устройство дл передачи служебныхСигНАлОВ дл ТЕлЕфОННОй СТАНцииС ВРЕМЕННыМ уплОТНЕНиЕМ цифРОВыХСигНАлОВ
SU1107318A1 (ru) Автоматический генератор кода Морзе
SU1309324A2 (ru) Автоматический генератор кода Морзе
KR920009212A (ko) 디스플레이 장치용 로우 전극 구동회로
SU1078659A1 (ru) Устройство дл измерени скорости телеграфировани
SU1274126A1 (ru) Управл емый генератор импульсных последовательностей
SU1626346A1 (ru) Генератор случайного потока импульсов
SU1072283A1 (ru) Устройство дл измерени скорости телеграфировани кодом Морзе
SU945857A1 (ru) Многоканальное устройство дл сбора и регистрации информации
SU1510075A1 (ru) Коммутирующее устройство
SU430368A1 (ru) Устройство для генерирования случайных чисел с задан'ными законами распределения
SU974579A1 (ru) Коммутатор
SU1092747A1 (ru) Устройство дл автоматической регистрации радиотелеграфных сигналов
SU1185633A1 (ru) Устройство дл передачи-приема информации
SU1307587A1 (ru) Делитель частоты с переменным коэффициентом делени
SU1356251A1 (ru) Устройство выделени циклового синхросигнала
SU723561A1 (ru) Устройство дл сопр жени
SU1444964A1 (ru) Кодер двоичного кода 3В4В-3
SU1376083A1 (ru) Генератор потоков случайных событий
SU884119A1 (ru) Преобразователь частоты импульсов в напр жение
SU1264222A1 (ru) Устройство дл передачи телеметрической информации
SU1076892A1 (ru) Генератор функций Уолша
SU970719A1 (ru) Устройство формировани телеграфных сигналов