SG11201404918YA - Etching composition - Google Patents

Etching composition

Info

Publication number
SG11201404918YA
SG11201404918YA SG11201404918YA SG11201404918YA SG11201404918YA SG 11201404918Y A SG11201404918Y A SG 11201404918YA SG 11201404918Y A SG11201404918Y A SG 11201404918YA SG 11201404918Y A SG11201404918Y A SG 11201404918YA SG 11201404918Y A SG11201404918Y A SG 11201404918YA
Authority
SG
Singapore
Prior art keywords
etching composition
etching
composition
Prior art date
Application number
SG11201404918YA
Inventor
Kazutaka Takahashi
Atsushi Mizutani
Tomonori Takahashi
Original Assignee
Fujifilm Electronic Materials
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Electronic Materials, Fujifilm Corp filed Critical Fujifilm Electronic Materials
Publication of SG11201404918YA publication Critical patent/SG11201404918YA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/20Acidic compositions for etching aluminium or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
SG11201404918YA 2012-09-10 2013-07-31 Etching composition SG11201404918YA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261698830P 2012-09-10 2012-09-10
US13/827,861 US8709277B2 (en) 2012-09-10 2013-03-14 Etching composition
PCT/US2013/052863 WO2014039186A1 (en) 2012-09-10 2013-07-31 Etching composition

Publications (1)

Publication Number Publication Date
SG11201404918YA true SG11201404918YA (en) 2014-09-26

Family

ID=50233686

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201404918YA SG11201404918YA (en) 2012-09-10 2013-07-31 Etching composition

Country Status (8)

Country Link
US (1) US8709277B2 (en)
EP (1) EP2807289B1 (en)
JP (1) JP6086982B2 (en)
KR (1) KR102150134B1 (en)
CN (1) CN104395502B (en)
SG (1) SG11201404918YA (en)
TW (1) TWI577833B (en)
WO (1) WO2014039186A1 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI577834B (en) * 2011-10-21 2017-04-11 富士軟片電子材料美國股份有限公司 Novel passivation composition and process
US9680027B2 (en) * 2012-03-07 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Nickelide source/drain structures for CMOS transistors
US20150162213A1 (en) * 2012-05-11 2015-06-11 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
WO2014124006A1 (en) 2013-02-05 2014-08-14 The Johns Hopkins University Nanoparticles for magnetic resonance imaging tracking and methods of making and using thereof
JP6776125B2 (en) * 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド Use of non-oxidizing strong acids for removal of ion-implanted resists
US20160319444A1 (en) 2013-12-20 2016-11-03 Greene Lyon Group, Inc. Method and apparatus for recovery of noble metals, including recovery of noble metals from plated and/or filled scrap
WO2016210051A1 (en) 2015-06-24 2016-12-29 Greene Lyon Group, Inc. Selective removal of noble metals using acidic fluids, including fluids containing nitrate ions
JP6846351B2 (en) 2015-01-27 2021-03-24 ザ・ジョンズ・ホプキンス・ユニバーシティー Hypotonic hydrogel formulation for enhanced transport of active agents on mucosal surfaces
US11649558B2 (en) 2015-03-13 2023-05-16 Okuno Chemical Industries Co., Ltd. Electrolytic stripping agent for jig
EP3159432B1 (en) * 2015-10-23 2020-08-05 ATOTECH Deutschland GmbH Surface treatment agent for copper and copper alloy surfaces
CN109594079B (en) * 2017-09-30 2021-02-12 深圳新宙邦科技股份有限公司 Molybdenum-aluminum common etching solution and etching method
KR102503788B1 (en) * 2017-11-21 2023-02-27 삼성디스플레이 주식회사 Etchant and manufacturing method of display device using the same
US10858544B2 (en) * 2018-05-24 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Chemical mechanical polishing slurry and chemical mechanical polishing process using the same
KR20220159054A (en) * 2021-05-25 2022-12-02 주식회사 이엔에프테크놀로지 Etching composition
KR20220160796A (en) * 2021-05-28 2022-12-06 주식회사 이엔에프테크놀로지 Etching composition

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4271965A (en) 1978-07-28 1981-06-09 Picker Chemicals Inc. Container for film processing chemicals
US4285761A (en) 1980-06-30 1981-08-25 International Business Machines Corporation Process for selectively forming refractory metal silicide layers on semiconductor devices
US4632727A (en) 1985-08-12 1986-12-30 Psi Star Copper etching process and solution
US4804438A (en) 1988-02-08 1989-02-14 Eastman Kodak Company Method of providing a pattern of conductive platinum silicide
US4944851A (en) 1989-06-05 1990-07-31 Macdermid, Incorporated Electrolytic method for regenerating tin or tin-lead alloy stripping compositions
DE4100839A1 (en) 1991-01-14 1992-07-16 Basf Ag Aq. acidic oxidising bath for removing tin@ or alloy layers - from printed circuit boards, contg. phenyl or benzyl quat. ammonium salt to prevent corrosion of copper
US5326724A (en) 1991-12-27 1994-07-05 Texas Instruments Incorporated Oxide-capped titanium silicide formation
US5232563A (en) 1992-07-27 1993-08-03 Motorola, Inc. Method of cleaning a semiconductor wafer
EP0617144B1 (en) 1993-03-26 1997-08-06 Nippon Paint Co., Ltd. Use of an aqueous acidic cleaning solution for aluminum and aluminum alloys and process for cleaning the same
US5591354A (en) 1994-10-21 1997-01-07 Jp Laboratories, Inc. Etching plastics with nitrosyls
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
US5755950A (en) 1995-06-07 1998-05-26 Dulin Metals Company Process for removing plating materials from copper-based substrates
US6494960B1 (en) 1998-04-27 2002-12-17 General Electric Company Method for removing an aluminide coating from a substrate
JP2000183047A (en) * 1998-12-16 2000-06-30 Sharp Corp Semiconductor processing method
US6221746B1 (en) 1998-12-30 2001-04-24 United Microelectronics Corp. Method for forming a poly gate structure
US20010054706A1 (en) 1999-07-19 2001-12-27 Joseph A. Levert Compositions and processes for spin etch planarization
US6630433B2 (en) 1999-07-19 2003-10-07 Honeywell International Inc. Composition for chemical mechanical planarization of copper, tantalum and tantalum nitride
JP2001140084A (en) * 1999-08-27 2001-05-22 Mec Kk Etching solution for nickel or nickel alloy
US6407047B1 (en) 2000-02-16 2002-06-18 Atotech Deutschland Gmbh Composition for desmutting aluminum
US20040099637A1 (en) 2000-06-16 2004-05-27 Shipley Company, L.L.C. Composition for producing metal surface topography
JP3386041B2 (en) 2000-07-25 2003-03-10 日本電気株式会社 Photoacid generator, photoresist composition containing the same, and method of forming a pattern using the composition
KR100433391B1 (en) 2001-07-07 2004-05-31 김기태 Method for preparing electroless metal plated fiber for protecting electromagnetic waves
CN101058713B (en) 2001-10-31 2011-02-09 日立化成工业株式会社 Polishing slurry and polishing method
US6703291B1 (en) 2002-12-17 2004-03-09 Intel Corporation Selective NiGe wet etch for transistors with Ge body and/or Ge source/drain extensions
US20040169013A1 (en) 2003-02-28 2004-09-02 General Electric Company Method for chemically removing aluminum-containing materials from a substrate
US6878624B1 (en) 2003-09-30 2005-04-12 International Business Machines Corporation Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi
US7514363B2 (en) 2003-10-23 2009-04-07 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition having benzenesulfonic acid and per-compound oxidizing agents, and associated method for use
US7419911B2 (en) 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
EP1562226B1 (en) 2004-02-06 2020-05-20 IMEC vzw A method for removing oxides from a Germanium semiconductor substrate surface
US7517765B2 (en) 2004-03-08 2009-04-14 Interuniversitair Microelektronica Centrum (Imec) Method for forming germanides and devices obtained thereof
US7544610B2 (en) 2004-09-07 2009-06-09 International Business Machines Corporation Method and process for forming a self-aligned silicide contact
US7846989B2 (en) 2005-02-25 2010-12-07 John A. Kanca Dental gel etchants
US20060201360A1 (en) 2005-03-14 2006-09-14 Agfa-Gevaert N.V. Method for making a processless lithographic printing plate
CN101248516A (en) * 2005-04-08 2008-08-20 塞克姆公司 Selective wet etching of metal nitrides
CA2608285A1 (en) * 2005-05-13 2006-11-23 Sachem, Inc. Selective wet etching of oxides
JP2008547202A (en) 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Compositions and methods for selective removal of metals or metal alloys after formation of metal silicides
US7344978B2 (en) 2005-06-15 2008-03-18 United Microelectronics Corp. Fabrication method of semiconductor device
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070020925A1 (en) 2005-07-22 2007-01-25 Chao-Ching Hsieh Method of forming a nickel platinum silicide
US7560791B2 (en) 2005-10-28 2009-07-14 Icemos Technology Ltd. Front lit PIN/NIP diode having a continuous anode/cathode
US20070161246A1 (en) 2006-01-10 2007-07-12 Texas Instruments Incorporated Process For Selectively Removing Dielectric Material in the Presence of Metal Silicide
US7618891B2 (en) 2006-05-01 2009-11-17 International Business Machines Corporation Method for forming self-aligned metal silicide contacts
KR100819557B1 (en) 2006-08-17 2008-04-07 삼성전자주식회사 Etching solution for etching metal layer, etching method using the etching solution, and methods of fabricating a semiconductor application using the etching solution
TW200831710A (en) 2006-09-25 2008-08-01 Mec Co Ltd Metal removing solution and metal removing method using the same
JP2008115310A (en) * 2006-11-07 2008-05-22 Tsurumi Soda Co Ltd Etching liquid for conductive polymer and method for patterning conductive polymer
EP2071591A4 (en) 2006-09-29 2010-03-31 Tsurumi Soda Kk Etching liquid for conductive polymer and method for patterning conductive polymer
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TW200833871A (en) * 2006-11-17 2008-08-16 Sachem Inc Selective metal wet etch composition and process
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
KR101378469B1 (en) 2008-05-07 2014-03-28 삼성전자주식회사 Method of forming a contact structure and method of manufacturing a semiconductor device using the same
US7790624B2 (en) 2008-07-16 2010-09-07 Global Foundries Inc. Methods for removing a metal-comprising material from a semiconductor substrate
US7927959B2 (en) 2008-09-30 2011-04-19 Intel Corporation Method of patterning a metal on a vertical sidewall of an excavated feature, method of forming an embedded MIM capacitor using same, and embedded memory device produced thereby
JP5403535B2 (en) * 2008-12-10 2014-01-29 奥野製薬工業株式会社 Method for electrolytic treatment of etching solution
DE102009045114B4 (en) 2009-09-29 2017-01-05 Universität Leipzig Process for the wet-chemical structuring of superconducting, ferromagnetic or ferroelectric oxides and its use
KR101666516B1 (en) 2009-11-27 2016-10-17 삼성전자주식회사 Composition for etching copper and method of manufacturing a semiconductor device
US20110146724A1 (en) 2009-12-19 2011-06-23 Mr. WAI MUN LEE Photoresist stripping solutions
CN102290325A (en) 2010-06-21 2011-12-21 无锡华润上华半导体有限公司 Method for cleaning metallic silicides
US20130130500A1 (en) 2010-08-05 2013-05-23 Showa Denko K.K. Composition for removal of nickel-platinum alloy-based metals
US20120091100A1 (en) 2010-10-14 2012-04-19 S.O.I.Tec Silicon On Insulator Technologies Etchant for controlled etching of ge and ge-rich silicon germanium alloys
KR102064487B1 (en) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions
KR101938022B1 (en) * 2011-03-11 2019-01-11 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Novel etching composition

Also Published As

Publication number Publication date
KR20150054708A (en) 2015-05-20
US8709277B2 (en) 2014-04-29
EP2807289A1 (en) 2014-12-03
KR102150134B1 (en) 2020-09-01
CN104395502B (en) 2017-08-08
EP2807289B1 (en) 2016-09-21
TWI577833B (en) 2017-04-11
CN104395502A (en) 2015-03-04
TW201413057A (en) 2014-04-01
EP2807289A4 (en) 2015-10-28
US20140073140A1 (en) 2014-03-13
JP6086982B2 (en) 2017-03-01
WO2014039186A1 (en) 2014-03-13
JP2015529981A (en) 2015-10-08

Similar Documents

Publication Publication Date Title
SG11201404918YA (en) Etching composition
GB2506426B (en) Composition
GB201322986D0 (en) Compositions
PL2804487T3 (en) Composition
GB201218954D0 (en) Composition
GB2498803B (en) Composition
HK1207989A1 (en) Composition
HRP20190326T1 (en) Composition
ZA201500519B (en) Composition
HK1199198A1 (en) Composition
EP2878295A4 (en) Composition
ZA201407419B (en) Composition
EP2837670A4 (en) Fluorobiphenyl-containing composition
GB201206035D0 (en) Composition
HK1210966A1 (en) Misprostol composition
HRP20190033T1 (en) Composition
EP2910537A4 (en) Gas-generating-agent composition
EP2910538A4 (en) Gas-generating-agent composition
GB201208133D0 (en) Composition
GB201311517D0 (en) Composition
GB201219383D0 (en) Composition
GB201218195D0 (en) Composition
GB201209597D0 (en) Composition
HK1204230A1 (en) Composition
GB201223526D0 (en) Composition