RU2780813C2 - Energy production device, and energy generator containing such a device - Google Patents

Energy production device, and energy generator containing such a device Download PDF

Info

Publication number
RU2780813C2
RU2780813C2 RU2020123403A RU2020123403A RU2780813C2 RU 2780813 C2 RU2780813 C2 RU 2780813C2 RU 2020123403 A RU2020123403 A RU 2020123403A RU 2020123403 A RU2020123403 A RU 2020123403A RU 2780813 C2 RU2780813 C2 RU 2780813C2
Authority
RU
Russia
Prior art keywords
voltage
capacitor
energy
paragraphs
node
Prior art date
Application number
RU2020123403A
Other languages
Russian (ru)
Other versions
RU2020123403A (en
RU2020123403A3 (en
Inventor
Махмуд ШУША
Мартин ХАУГ
Original Assignee
Вюрт Электроник айСос ГмбХ унд Ко. КГ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE102018201925.8A external-priority patent/DE102018201925A1/en
Application filed by Вюрт Электроник айСос ГмбХ унд Ко. КГ filed Critical Вюрт Электроник айСос ГмбХ унд Ко. КГ
Publication of RU2020123403A publication Critical patent/RU2020123403A/en
Publication of RU2020123403A3 publication Critical patent/RU2020123403A3/ru
Application granted granted Critical
Publication of RU2780813C2 publication Critical patent/RU2780813C2/en

Links

Images

Abstract

FIELD: electrical engineering.
SUBSTANCE: invention relates to electrical engineering, in particular to a device for collection of electrical energy and to an energy generator containing such a device. Proposed device (3) for collection of electrical energy contains rectifier module (9) and control device (10). Rectifier module (9) contains the first charging circuit for collection of energy at positive voltage of energy collector (2) and the second charging circuit for collection of energy at negative voltage of energy collector (2). The mentioned charging circuits contain common coil (L) and common electronic switch (13). In addition, each of these charging circuits contains capacitor (Cp, Cn) and shut-off element (Dp, Dn). Charging circuits use coil (L) together, so device (3) has a simple circuit solution and a compact design. In addition, energy is collected efficiently due to the single-stage conversion of alternating voltage to constant voltage and a function of tracking a point of maximum power of control device (10).
EFFECT: creation of a simple and compact device for provision of electrical energy collection from extremely low-voltage (in millivolt range) sources.
22 cl, 12 dwg

Description

Для данной заявки на патент испрашивается приоритет по заявке на патент Германии №DE 102018201925.8, содержание которой включено в данную заявку по ссылке.This patent application claims priority to German patent application No. DE 102018201925.8, the contents of which are incorporated herein by reference.

Предлагаемое изобретение относится к устройству для сбора электрической энергии и к генератору энергии, содержащему такое устройство.The present invention relates to a device for collecting electrical energy and to a power generator containing such a device.

Под сбором энергии понимается сбор малых количеств электрической энергии из источников в окрестностях какого-либо совершающего движения электротехнического устройства, например, от его вибраций или перемещений. Соответствующие сборщики энергии, например, пьезоэлектрические генераторы или сборщики энергии электромагнитных полей создают низковольтное электрическое напряжение переменной полярности (переменное напряжение), от которого следует отбирать электрическую энергию, чтобы использовать ее как можно более эффективным образом.Energy harvesting refers to the collection of small amounts of electrical energy from sources in the vicinity of any moving electrical device, for example, from its vibrations or movements. Appropriate energy collectors, such as piezoelectric generators or energy collectors of electromagnetic fields, create a low-voltage electrical voltage of alternating polarity (alternating voltage), from which electrical energy must be taken in order to use it as efficiently as possible.

Цель предлагаемого изобретения состоит в создании простого и компактного устройства, которое обеспечивало бы возможность собирать электрическую энергию эффективным и надежным образом. В частности, оно должно обеспечивать эффективный сбор электрической энергии из чрезвычайно низковольтных (в диапазоне милливольт) источников.The aim of the present invention is to provide a simple and compact device that would allow the collection of electrical energy in an efficient and reliable manner. In particular, it must ensure efficient collection of electrical energy from extremely low voltage (in the millivolt range) sources.

Эта цель достигается созданием устройства, имеющего признаки, раскрываемые в п. 1 формулы изобретения. Выпрямительный модуль содержит первую зарядную цепь для сбора энергии положительного напряжения сборщика энергии на входных клеммах, и вторую зарядную цепь для сбора энергии отрицательного напряжения сборщика энергии на входных клеммах, причем упомянутые зарядные цепи имеют общую катушку и общий электронный переключатель. В этом случае, когда приложено положительное напряжение, электронный переключатель замкнут, он находится в первом состоянии переключения, и происходит накопление энергии в катушке благодаря приложенному положительному напряжению и протекающему по ней току. В этом первом состоянии переключения первый запирающий элемент не позволяет первому конденсатору заряжаться. Упомянутый первый запирающий элемент может быть реализован в виде диода. В следующем за этим втором состоянии переключения электронный переключатель разомкнут, при этом первый конденсатор заряжается энергией, накопленной в катушке. В этом втором состоянии переключения первый запирающий элемент пропускает ток от катушки к первому конденсатору для его зарядки. Когда на входных клеммах приложено отрицательное напряжение, электронный переключатель замкнут, он находится в первом положении переключения, и происходит накопление энергии в катушке благодаря приложенному отрицательному напряжению и протекающему по ней току. В этом втором состоянии переключения второй запирающий элемент не позволяет второму конденсатору заряжаться. Упомянутый второй запирающий элемент может быть реализован в виде диода. В следующем за этим втором состоянии переключения электронный переключатель разомкнут, при этом второй конденсатор заряжается энергией, накопленной в катушке. В этом втором состоянии переключения второй запирающий элемент пропускает ток от катушки ко второму конденсатору для его зарядки.This goal is achieved by creating a device having the characteristics disclosed in paragraph 1 of the claims. The rectifier module comprises a first charging circuit for collecting energy from the energy harvester's positive voltage at the input terminals, and a second charging circuit for collecting energy from the energy harvester's negative voltage at the input terminals, said charging circuits having a common coil and a common electronic switch. In this case, when a positive voltage is applied, the electronic switch is closed, it is in the first switching state, and energy is stored in the coil due to the applied positive voltage and the current flowing through it. In this first switching state, the first blocking element prevents the first capacitor from being charged. Said first locking element can be implemented as a diode. In the second switching state following this, the electronic switch is opened and the first capacitor is charged with the energy stored in the coil. In this second switching state, the first blocking element passes current from the coil to the first capacitor to charge it. When a negative voltage is applied to the input terminals, the electronic switch is closed, it is in the first switching position, and energy is stored in the coil due to the applied negative voltage and the current flowing through it. In this second switching state, the second blocking element prevents the second capacitor from being charged. Said second blocking element can be implemented as a diode. In the following second switching state, the electronic switch is open, while the second capacitor is charged with the energy stored in the coil. In this second switching state, the second blocking element passes current from the coil to the second capacitor to charge it.

Выпрямительный модуль содержит только одну катушку, используемую зарядными цепями совместно как при положительном, так и при отрицательном напряжении на входных клеммах, поэтому выпрямительный модуль является простым и компактным. Стоимость устройства существенно зависит от магнитного объема, который ограничен общей катушкой. Поскольку в выпрямительном модуле только одна катушка, магнитный объем ограничен объемом этой единой катушки и стоимость устройства является сравнительно низкой. Кроме того, переменное напряжение на входных клеммах преобразуется в напряжение одной полярности или в постоянное напряжение в один прием, так что сбор электрической энергии осуществляется эффективным и надежным образом. Входные клеммы не соединены с диодом выпрямительного модуля непосредственно, так что чрезвычайно низкое напряжение сборщика энергии тоже может быть выпрямлено и использовано просто и эффективно. Выпрямительный модуль может быть реализован как вольтодобавочный преобразователь.The rectifier module contains only one coil shared by the charging circuits in both positive and negative voltage at the input terminals, so the rectifier module is simple and compact. The cost of the device significantly depends on the magnetic volume, which is limited by a common coil. Since there is only one coil in the rectifier module, the magnetic volume is limited by the volume of this single coil and the cost of the device is relatively low. In addition, the AC voltage at the input terminals is converted into a single polarity voltage or a DC voltage in one step, so that the electrical energy is collected in an efficient and reliable manner. The input terminals are not directly connected to the diode of the rectifier module, so the extremely low voltage of the power harvester can also be rectified and used simply and efficiently. The rectifier module can be implemented as a booster converter.

Зарядные цепи используют электронный переключатель совместно и соответствующим образом. Этот электронный переключатель содержит по меньшей мере один электронный переключательный элемент. Этот по меньшей мере один электронный переключательный элемент может быть реализован как полевой транзистор со структурой металл-оксид-полупроводник (полевой МОП-транзистор), предпочтительно - как нормально открытый n-канальный полевой МОП-транзистор. Первое состояние переключения и следующее за ним второе состояние переключения длятся в течение периода времени TS. Для этого периода TS соблюдается следующее равенство: TS=1/fS, где через fS обозначена частота переключения управляющего устройства. Первое состояние переключения имеет продолжительность D⋅TS, а соответствующее второе состояние переключения имеет продолжительность (1-D)⋅TS, где D - это продолжительность включения.The charging circuits use the electronic switch in common and appropriately. This electronic switch comprises at least one electronic switching element. This at least one electronic switching element can be implemented as a metal-oxide-semiconductor field-effect transistor (MOSFET), preferably as a normally open n-channel MOSFET. The first switching state and the second switching state following it last for a period of time T S . For this period T S , the following equality is observed: T S =1/f S , where f S denotes the switching frequency of the control device. The first switching state has a duration D⋅T S and the corresponding second switching state has a duration (1-D)⋅T S , where D is the on duration.

Устройство, охарактеризованное в п. 2 формулы изобретения, сочетает простоту и компактность и обеспечивает эффективный сбор энергии. Цепь, состоящая из последовательно соединенных катушки и электронного переключателя, соединена с входными клеммами. Если электронный переключатель замкнут, то эта последовательная цепь образует контур из катушки и электронного переключателя вместе со сборщиком энергии, который подсоединен к входным клеммам. В результате под действием присутствующего на входных клеммах напряжения через катушку течет ток и катушка накапливает энергию. В следующем за этим втором состоянии переключения электронный переключатель разомкнут и в силу того, что цепь является последовательной, отсутствует соединение катушки с одной из входных клемм и контур, таким образом, разомкнут. В результате в этом втором состоянии переключения обеспечена возможность для зарядки первого конденсатора или второго конденсатора. Представляется предпочтительным такое решение, когда катушка соединена с первой входной клеммой, а электронный переключатель соединен со второй входной клеммой.The device described in paragraph 2 of the claims combines simplicity and compactness and provides efficient energy collection. A circuit consisting of a series-connected coil and an electronic switch is connected to the input terminals. If the electronic switch is closed, then this series circuit forms a circuit of the coil and the electronic switch along with a power collector that is connected to the input terminals. As a result, under the action of the voltage present at the input terminals, current flows through the coil and the coil stores energy. In the second switching state following this, the electronic switch is open and, because the circuit is in series, there is no coil connection to one of the input terminals and the circuit is thus open. As a result, in this second switching state, the first capacitor or the second capacitor can be charged. It seems preferable that the coil is connected to the first input terminal and the electronic switch is connected to the second input terminal.

Устройство, охарактеризованное в п. 3 формулы изобретения, сочетает надежность и эффективность. Благодаря использованию двух последовательно соединенных электронных переключающих элементов удалось избежать паразитных токов и связанных с этим потерь. Упомянутые два электронных переключающих элемента могут быть включены встречно. Тем самым удается избежать потерь и отказов, связанных с паразитными токами. Паразитные токи вызываются, в частности, паразитными диодами. Благодаря встречному включению электронных переключающих элементов эти паразитные диоды имеют разные запирающие направления. Электронные переключающие элементы выбирают из группы, состоящей из полевых транзисторов (n-канальных или р-канальных) и биполярных транзисторов (n-p-n или p-n-р). Представляется предпочтительным такое решение, когда эти электронные переключающие элементы реализованы как полевые МОП-транзисторы, в частности, n-канальные полевые МОП-транзисторы.The device described in paragraph 3 of the claims combines reliability and efficiency. By using two electronic switching elements connected in series, parasitic currents and associated losses are avoided. Said two electronic switching elements can be turned on in opposite directions. This avoids losses and failures due to parasitic currents. Parasitic currents are caused, in particular, by parasitic diodes. Due to the reverse connection of the electronic switching elements, these parasitic diodes have different blocking directions. The electronic switching elements are selected from the group consisting of field effect transistors (n-channel or p-channel) and bipolar transistors (n-p-n or p-n-p). It seems preferable that these electronic switching elements are implemented as MOSFETs, in particular n-channel MOSFETs.

Устройство, охарактеризованное в п. 4 формулы изобретения сочетает простоту и высокую надежность. Электронный переключатель содержит по меньшей мере один электронный переключающий элемент, имеющий связанный с ним паразитный диод. Когда выпрямительный модуль начинает работать, этот паразитный диод используется для снабжения электрической энергией управляющего устройства. Для этого цепь питания управляющего устройства соединена с выпрямительным модулем таким образом, что катушка, один из конденсаторов, цепь питания и паразитный диод образуют контур вместе со сборщиком энергии, который подсоединен к входным клеммам. Через этот контур, то есть через паразитный диод, цепь питания заряжается за счет напряжения на входных клеммах и/или напряжения гальванического накопителя энергии, так что цепь питания обеспечивает напряжение питания для генерирования сигналов управления. Цепь питания может быть соединена с одной из выходных клемм и выводом электронного переключающего элемента. Представляется предпочтительным такое решение, когда цепь питания соединена со второй выходной клеммой и электродом истока электронного переключающего элемента, который реализован как полевой МОП-транзистор. Представляется предпочтительным такое решение, когда электрод стока электронного переключающего элемента соединен со второй входной клеммой.The device described in paragraph 4 of the claims combines simplicity and high reliability. The electronic switch comprises at least one electronic switching element having a parasitic diode associated with it. When the rectifier module starts up, this parasitic diode is used to supply electrical power to the control device. To do this, the power supply circuit of the control device is connected to the rectifier module in such a way that the coil, one of the capacitors, the power circuit and the parasitic diode form a circuit together with the energy harvester, which is connected to the input terminals. Through this circuit, i.e. via a parasitic diode, the supply circuit is charged by the voltage at the input terminals and/or the voltage of the galvanic energy storage device, so that the supply circuit provides the supply voltage for generating control signals. The power circuit can be connected to one of the output terminals and the output of the electronic switching element. It seems preferable that the power circuit is connected to the second output terminal and the source electrode of the electronic switching element, which is implemented as a MOSFET. It seems preferable that the drain electrode of the electronic switching element is connected to the second input terminal.

Устройство, охарактеризованное в п. 5 формулы изобретения, сочетает высокую надежность и высокую эффективность. Электронные переключающие элементы соединены последовательно и включены встречно, так что паразитные диоды имеют противоположные направления запирания. Такое решение в отношении электронных переключающих элементов обеспечивает блокирование протекания паразитного тока тем или другим паразитным диодом как при положительном, так и при отрицательном напряжении на входных клеммах. Таким образом удается избежать паразитных токов и связанных с ними потерь. Представляется предпочтительным такое решение, когда соединение между соединенными последовательно электронными переключающими элементами образует опорный потенциал («массу») управляющего устройства, так чтобы электронный переключающий элемент мог быть легко приведен в действие за счет заданного опорного потенциала. Представляется предпочтительным такое решение, когда электронные переключающие элементы реализованы как полевые МОП-транзисторы, соединенные с электродами истока и соединенными таким образом последовательно. Представляется предпочтительным такое решение, когда электроды истока соединены с цепью питания управляющего устройства, а полученный при этом узел образует опорный узел и соединен с опорным потенциалом («массой»). Последовательное соединение электронных переключающих элементов может быть соединено с катушкой и второй входной клеммой. Паразитный диод электронного переключающего элемента, который соединен со второй входной клеммой, может иметь проводящее направление включения в сторону второй входной клеммы.The device described in paragraph 5 of the claims combines high reliability and high efficiency. The electronic switching elements are connected in series and connected in opposite directions, so that the parasitic diodes have opposite turn-off directions. This solution for electronic switching elements ensures that parasitic current is blocked by one or another parasitic diode, both with positive and negative voltage at the input terminals. In this way, parasitic currents and associated losses are avoided. It seems preferable that the connection between the series-connected electronic switching elements forms a reference potential ("ground") of the control device, so that the electronic switching element can be easily actuated by a given reference potential. It seems to be preferable that the electronic switching elements are implemented as MOSFETs connected to the source electrodes and thus connected in series. It seems preferable that the source electrodes are connected to the power supply circuit of the control device, and the resulting node forms a reference node and is connected to the reference potential (“ground”). A series connection of the electronic switching elements can be connected to the coil and the second input terminal. The parasitic diode of the electronic switching element, which is connected to the second input terminal, may have a conductive turn-on direction towards the second input terminal.

Устройство, охарактеризованное в п. 6 формулы изобретения, сочетает простоту и компактность с эффективным сбором энергии. Если на входных клеммах присутствует положительное напряжение, то катушка, первый конденсатор и первый запирающий элемент во втором состоянии переключения образуют первый контур, так что энергия, накопленная в катушке в первом состоянии переключения, передается от катушки первому конденсатору. Во втором состоянии переключения первый запирающий элемент обеспечивает возможность протекания тока от катушки к первому конденсатору.The device described in claim 6 of the claims combines simplicity and compactness with efficient energy collection. If a positive voltage is present at the input terminals, then the coil, the first capacitor and the first blocking element in the second switching state form the first circuit, so that the energy stored in the coil in the first switching state is transferred from the coil to the first capacitor. In the second switching state, the first blocking element allows current to flow from the coil to the first capacitor.

Устройство, охарактеризованное в п. 7 формулы изобретения, сочетает простоту и компактность с эффективным сбором энергии. Если на входных клеммах присутствует отрицательное напряжение, то катушка, второй конденсатор и второй запирающий элемент во втором состоянии переключения образуют второй контур, так что энергия, накопленная в катушке в первом состоянии переключения, передается от катушки второму конденсатору. Во втором состоянии переключения второй запирающий элемент обеспечивает возможность протекания тока от катушки ко второму конденсатору.The device described in claim 7 combines simplicity and compactness with efficient energy collection. If a negative voltage is present at the input terminals, the coil, the second capacitor and the second blocking element in the second switching state form a second circuit so that the energy stored in the coil in the first switching state is transferred from the coil to the second capacitor. In the second switching state, the second blocking element allows current to flow from the coil to the second capacitor.

Устройство, охарактеризованное в п. 8 формулы изобретения, сочетает простоту и компактность. Первый запирающий элемент и второй запирающий элемент имеют разные проводящие направления или разные запирающие направления относительно катушки, поэтому для выпрямительного модуля обеспечено простое переключение между первой зарядной цепью и второй зарядной цепью. Когда электронный переключатель разомкнут, протекание тока обеспечено с помощью запорных элементов либо через первый контур, который содержит катушку, первый запорный элемент и первый конденсатор, либо через второй контур, который содержит катушку, второй запорный элемент и второй конденсатор. Представляется предпочтительным такое решение, когда запорные элементы реализованы как диоды. Первый запорный элемент может быть соединен, в частности, с первой входной клеммой и первой выходной клеммой и обеспечивает возможность протекания тока от катушки к первому конденсатору. Второй запорный элемент может быть соединен с первой входной клеммой и второй выходной клеммой и обеспечивает возможность протекания тока от катушки ко второму конденсатору.The device described in paragraph 8 of the claims combines simplicity and compactness. The first blocking element and the second blocking element have different conductive directions or different blocking directions with respect to the coil, so that the rectifier module can easily switch between the first charging circuit and the second charging circuit. When the electronic switch is open, current flow is ensured by means of the shut-off elements either through the first circuit, which contains the coil, the first shut-off element and the first capacitor, or through the second circuit, which contains the coil, the second shut-off element and the second capacitor. It seems to be preferable such a solution, when the locking elements are implemented as diodes. The first shut-off element can be connected, in particular, to the first input terminal and the first output terminal and allows current to flow from the coil to the first capacitor. The second shut-off element may be connected to the first input terminal and the second output terminal and allows current to flow from the coil to the second capacitor.

Устройство, охарактеризованное в п. 9 формулы изобретения, обеспечивает возможность эффективного сбора энергии. При наличии на входных клеммах положительного напряжения заряжается первый конденсатор, а при наличии на входных клеммах отрицательного напряжения заряжается второй конденсатор, так что на выходных клеммах обеспечено сравнительно высокое выходное напряжение, даже если величина напряжения на входных клеммах чрезвычайно мала.The device described in paragraph 9 of the claims, provides the possibility of efficient energy collection. When there is a positive voltage at the input terminals, the first capacitor is charged, and when there is a negative voltage at the input terminals, the second capacitor is charged, so that a relatively high output voltage is provided at the output terminals even if the magnitude of the voltage at the input terminals is extremely small.

Устройство, охарактеризованное в п. 10 формулы изобретения, сочетает простоту и компактность. Сборщик энергии соединен с входными клеммами и обеспечивает напряжение или входное напряжение на них. На выходных клеммах обеспечено выходное напряжение, за счет которого может работать нагрузка. Центральный узел зарядной цепи, с которым соединены единая и единственная катушка, электронный переключатель и конденсаторы, простым образом обеспечивает возможность накопления электрической энергии в катушке в первом состоянии переключения и возможность зарядки одного из конденсаторов электрической энергией, накопленной в катушке в следующем за тем втором состоянии переключения. Переключение между упомянутыми первым и вторым состояниями переключениями обеспечено с помощью электронного переключателя. Выбор первой зарядной цепи в случае положительного напряжения на входных клеммах и второй зарядной цепи в случае отрицательного напряжения на входных клеммах обеспечен с помощью запорных элементов. В частности, этот выбор может осуществляться автоматически, если запорные элементы реализованы как диоды.The device described in claim 10 of the claims combines simplicity and compactness. The power harvester is connected to the input terminals and provides voltage or input voltage to them. An output voltage is provided at the output terminals, due to which the load can be operated. The central node of the charging circuit, to which the single and single coil, the electronic switch and the capacitors are connected, in a simple manner allows the accumulation of electrical energy in the coil in the first switching state and the possibility of charging one of the capacitors with electrical energy stored in the coil in the subsequent second switching state. . Switching between said first and second switching states is provided by means of an electronic switch. The selection of the first charging circuit in case of a positive voltage at the input terminals and of the second charging circuit in the case of a negative voltage at the input terminals is ensured by locking elements. In particular, this selection can be made automatically if the shut-off elements are implemented as diodes.

Устройство, охарактеризованное в п. 11 формулы изобретения, обеспечивает возможность эффективного и надежного сбора энергии. Гальванический накопитель энергии заряжается от конденсаторов и накапливает собранную электрическую энергию. Таким образом, благодаря гальваническому накопителю энергии устройство обеспечивает выходное напряжение на выходных клеммах, даже если конденсаторы не заряжены. Кроме того, гальванический накопитель энергии стабилизирует выходное напряжение. Представляется предпочтительным такое решение, когда гальванический накопитель энергии соединен с выходными клеммами. Электрическая энергия, не востребованная нагрузкой, хранится или временно хранится в гальваническом накопителе энергии, поэтому использование собранной энергии осуществляется просто и эффективно.The device described in claim 11 of the claims enables efficient and reliable energy collection. The galvanic energy storage device is charged by capacitors and accumulates the collected electrical energy. Thus, thanks to the galvanic energy storage, the device provides an output voltage at the output terminals, even if the capacitors are not charged. In addition, a galvanic energy storage device stabilizes the output voltage. It seems preferable to have a galvanic energy storage device connected to the output terminals. Electrical energy not required by the load is stored or temporarily stored in a galvanic energy storage device, so that the use of the collected energy is simple and efficient.

Устройство, охарактеризованное в п. 12 формулы изобретения, обеспечивает возможность надежного сбора энергии. К выходным клеммам подсоединен регулятор напряжения, поэтому обеспечена возможность подачи на нагрузку напряжения сравнительно постоянной величины. В частности, использование регулятора напряжения обеспечивает преимущество в том случае, когда с выходными клеммами не соединен гальванический накопитель энергии. Из-за изменения степени заряда конденсаторов выходное напряжение на выходных клеммах тоже изменяется, поэтому использование регулятора напряжения для стабилизации выходного напряжения обеспечивает преимущество. Этот регулятор напряжения может содержать, в частности, преобразователь постоянного напряжения в постоянное с управляемым выходом. Поэтому преобразователь постоянного напряжения в постоянное выполнен как часть схемы управления напряжением, которая сравнивает напряжение на выходе с заданным значением напряжения и разность между этим заданным значением напряжения и напряжением на выходе подает на устройство управления, которое приводит в действие преобразователь постоянного напряжения в постоянное для корректирования этой разности напряжений. Упомянутое устройство управления может быть реализовано, например, как пропорционально-интегральный дифференциальный регулятор.The device described in claim 12 of the claims provides the possibility of reliable energy collection. A voltage regulator is connected to the output terminals, so it is possible to supply a relatively constant voltage to the load. In particular, the use of a voltage regulator provides an advantage when a galvanic energy store is not connected to the output terminals. Due to the change in the state of charge of the capacitors, the output voltage at the output terminals also changes, so using a voltage regulator to stabilize the output voltage provides an advantage. This voltage regulator may comprise, in particular, a DC/DC converter with a controlled output. Therefore, the DC/DC converter is designed as part of a voltage control circuit that compares the output voltage with a voltage setpoint and supplies the difference between this setpoint voltage and the output voltage to a control device that drives the DC/DC converter to correct this. voltage differences. Said control device can be implemented, for example, as a proportional-integral differential controller.

Устройство, охарактеризованное в п. 13 формулы изобретения, сочетает надежность и эффективный сбор энергии. Схема управления обеспечивает надежную подачу напряжения с помощью цепи питания. В частности, цепь питания решена таким образом, чтобы напряжение питания было как можно более постоянным по величине. Представляется предпочтительным такое решение, когда цепь питания соединена с одной из выходных клемм и соединена с электронным переключателем. В частности, цепь питания может быть соединена со второй выходной клеммой и соединена с соединением двух электронных переключающих элементов электронного переключателя. Образованный таким образом узел служит как опорный узел и обеспечивает опорный потенциал для схемы управления. Питающее напряжение служит входным напряжением для схемы управления. Схема управления выполнена таким образом, что имеет место слежение за точкой максимальной мощности, так что обеспечена оптимизация или максимизация сбора энергии. Для этого схема управления настраивает продолжительность включения D таким образом, чтобы входное полное сопротивление на входных клеммах практически соответствовало внутреннему сопротивлению сборщика энергии и чтобы это входное полное сопротивление было практически чисто активным, так чтобы напряжение на входных клеммах и соответствующий ток были практически синфазными.The device described in claim 13 of the claims combines reliability and efficient energy collection. The control circuit provides a reliable supply of voltage through the power circuit. In particular, the supply circuit is designed in such a way that the supply voltage is as constant as possible in magnitude. It seems preferable that the power circuit is connected to one of the output terminals and connected to an electronic switch. In particular, the power circuit may be connected to the second output terminal and connected to the connection of two electronic switching elements of the electronic switch. The node thus formed serves as a reference node and provides a reference potential for the control circuit. The supply voltage serves as the input voltage for the control circuit. The control circuit is designed in such a way that the maximum power point is followed, so that the optimization or maximization of energy collection is ensured. To do this, the control circuit adjusts the duty cycle D so that the input impedance at the input terminals practically matches the internal resistance of the power harvester and that this input impedance is practically purely ohmic, so that the voltage at the input terminals and the corresponding current are practically in phase.

Устройство, охарактеризованное в п. 14 формулы изобретения, сочетает надежность и эффективный сбор энергии с простотой. Цепь питания, которая обеспечивает подачу питающего напряжения на схему управления, образована по меньшей мере одним генератором подкачки заряда. Представляется предпочтительным такое решение, когда управляющее устройство содержит только один генератор подкачки заряда, если выпрямительный модуль содержит гальванический накопитель энергии. Генератор подкачки заряда может быть, в частности, однокаскадным. Представляется предпочтительным такое решение, когда управляющее устройство содержит ровно два генератора подкачки заряда, если выпрямительный модуль не содержит гальванического накопителя энергии. Упомянутые ровно два генератора подкачки заряда могут представлять собой вторичный генератор подкачки заряда и первичный генератор подкачки заряда, причем вторичный генератор подкачки заряда действует для приведения в действие первичного генератора подкачки заряда.The device described in claim 14 combines reliability and efficient energy collection with simplicity. The power supply circuit, which supplies the supply voltage to the control circuit, is formed by at least one charge pump generator. It seems preferable that the control device contains only one charge pump generator, if the rectifier module contains a galvanic energy storage. The charge pump generator can be, in particular, single-stage. It seems preferable that the control device contains exactly two charge pump generators, if the rectifier module does not contain a galvanic energy storage. Said exactly two charge pumps may be a secondary charge pump and a primary charge pump, the secondary charge pump acting to drive the primary charge pump.

Устройство, охарактеризованное в п. 15 формулы изобретения, сочетает простоту с надежностью и эффективностью сбора энергии. "В частности, генератор подкачки заряда выполнен однокаскадным. Представляется предпочтительным такое решение, когда генератор подкачки заряда содержит только один диод и только один конденсатор. Представляется предпочтительным такое решение, когда диод соединен со второй выходной клеммой и конденсатором, а конденсатор соединен с опорным узлом, который образован между двумя электронными переключающими элементами электронного переключателя. В частности, опорный узел имеет опорный потенциал для схемы управления. Диод пропускает ток от второй выходной клеммы к опорному узлу. В частности, генератор подкачки заряда образует первичный генератор подкачки заряда, если выпрямительный модуль не содержит гальванического накопителя энергии.The device described in claim 15 of the claims combines simplicity with reliability and energy collection efficiency. "In particular, the charge pump generator is made single-stage. It seems preferable that the charge pump generator contains only one diode and only one capacitor. It seems preferable that the diode is connected to the second output terminal and the capacitor, and the capacitor is connected to the reference node, which is formed between two electronic switching elements of an electronic switch.In particular, the reference node has a reference potential for the control circuit.The diode passes current from the second output terminal to the reference node.In particular, the charge pump generator forms the primary charge pump generator if the rectifier module does not contain galvanic energy storage.

Устройство, охарактеризованное в п. 16 формулы изобретения, сочетает простоту с надежностью и эффективностью сбора энергии. Вместе со сборщиком энергии, который соединен с входными клеммами, генератор подкачки заряда, катушка, один из конденсаторов и паразитный диод одного из электронных переключающих элементов образуют контур, обеспечивающий приведение в действие генератора подкачки заряда при наличии напряжения на входных клеммах. Когда генератор подкачки заряда начинает работать, возникает питающее напряжение, которое обеспечивает возможность приведения в действие электронного переключателя или электронных переключающих элементов. В результате обеспечивается простой и надежный запуск устройства. Представляется предпочтительным такое решение, когда узел образует опорный узел, имеющий опорный потенциал для схемы управления для генерирования сигналов управления. Представляется предпочтительным такое решение, когда генератор подкачки заряда соединен со второй выходной клеммой, а электронный переключатель соединен с катушкой и второй входной клеммой. Если выпрямительный модуль не содержит гальванического накопителя энергии, то генератор подкачки заряда образует первичный генератор подкачки заряда.The device described in claim 16 of the claims combines simplicity with reliability and energy collection efficiency. Together with the energy collector connected to the input terminals, the charge pump, the coil, one of the capacitors, and the parasitic diode of one of the electronic switching elements form a circuit for actuating the charge pump when the input terminals are energized. When the charge pump begins to operate, a supply voltage is generated which enables the electronic switch or electronic switching elements to be actuated. The result is a simple and reliable start-up of the device. It seems preferable that the node forms a reference node having a reference potential for the control circuit to generate control signals. It seems preferable that the charge pump is connected to the second output terminal and the electronic switch is connected to the coil and the second input terminal. If the rectifier module does not contain a galvanic energy storage device, then the charge pump generator forms the primary charge pump generator.

Устройство, охарактеризованное в п. 17 формулы изобретения, сочетает простоту с надежностью и эффективностью сбора энергии. Генератор подкачки заряда обеспечивает надежный запуск управляющего устройства и надежное генерирование сигналов управления для управления электронным переключателем. В частности, генератор подкачки заряда образует вторичный генератор подкачки заряда, который обеспечивает приведение в действие первичного генератора подкачки заряда и возникновение питающего напряжения. Генератор подкачки заряда представляет особое преимущество, если выпрямительный модуль не содержит гальванического накопителя энергии.The device described in claim 17 of the claims combines simplicity with reliability and energy collection efficiency. The charge pump generator provides reliable starting of the control device and reliable generation of control signals for controlling the electronic switch. In particular, the charge pump forms a secondary charge pump that drives the primary charge pump and provides a supply voltage. The charge pump generator is of particular advantage if the rectifier module does not contain a galvanic energy storage.

Устройство, охарактеризованное в п. 18 формулы изобретения, обеспечивает надежный и эффективный сбор энергии. Для запуска первичного генератора подкачки заряда, который обеспечивает питающее напряжение для работы электронного переключателя, используется вторичный генератор подкачки заряда. Этот вторичный генератор подкачки заряда может быть реализован, в частности, как пассивный однокаскадный генератор подкачки заряда Вилларда. Что касается первичного генератора подкачки заряда, то представляется предпочтительным такое решение, когда он является однокаскадным и содержит последовательную цепь, состоящую из диода и конденсатора. Вторичный генератор подкачки заряда соединен со вторичным генератором подкачки заряда таким образом, что конденсатор первичного генератора подкачки заряда заряжается с помощью вторичного генератора подкачки заряда. Для этого вторичный генератор подкачки заряда может быть соединен с первым узлом, который образован между диодом и конденсатором первичного генератора подкачки заряда.The device described in claim 18 of the claims provides reliable and efficient energy collection. A secondary charge pump is used to drive the primary charge pump, which provides the supply voltage for operating the electronic switch. This secondary charge pump can be implemented, in particular, as a passive single-stage Willard charge pump. As for the primary charge pump, it seems to be preferable that it is single-stage and contains a series circuit consisting of a diode and a capacitor. The secondary charge pump is connected to the secondary charge pump so that the capacitor of the primary charge pump is charged by the secondary charge pump. To do this, the secondary charge pump may be connected to the first node, which is formed between the diode and the capacitor of the primary charge pump.

Устройство, охарактеризованное в п. 19 формулы изобретения, сочетает простоту с надежностью и эффективностью сбора энергии. Генератор подкачки заряда выполнен как пассивный однокаскадный генератор подкачки заряда Вилларда. Этот генератор подкачки заряда образует, в частности, вторичный генератор подкачки заряда, который соединен с первичным генератором подкачки заряда. Для этого к соединению между первым диодом и третьим конденсатором, то есть, к первому узлу подсоединен четвертый диод. При наличии на входных клеммах отрицательного напряжения через второй диод заряжается четвертый конденсатор. Для этого второй диод пропускает ток от второй входной клеммы к первой входной клемме. При наличии на входных клеммах положительного напряжения четвертый конденсатор разряжается через третий диод и заряжается пятый конденсатор. Для этого третий диод пропускает ток от второго узла к третьему узлу. При зарядке пятого конденсатора напряжение в третьем узле практически равно удвоенному максимальному напряжению на входных клеммах. Третий конденсатор первичного генератора подкачки заряда заряжается через четвертый диод, так что третий диод обеспечивает питающее напряжение. Для этого четвертый диод пропускает ток от пятого конденсатора к третьему конденсатору.The device described in claim 19 of the claims combines simplicity with reliability and energy collection efficiency. The charge pump generator is designed as a passive single-stage Willard charge pump generator. This charge pump forms, in particular, a secondary charge pump, which is connected to the primary charge pump. To do this, a fourth diode is connected to the connection between the first diode and the third capacitor, that is, to the first node. If there is a negative voltage at the input terminals, the fourth capacitor is charged through the second diode. To do this, the second diode passes current from the second input terminal to the first input terminal. When there is a positive voltage at the input terminals, the fourth capacitor is discharged through the third diode and the fifth capacitor is charged. To do this, the third diode passes current from the second node to the third node. When the fifth capacitor is charged, the voltage at the third node is almost twice the maximum voltage at the input terminals. The third capacitor of the primary charge pump is charged through the fourth diode so that the third diode provides the supply voltage. To do this, the fourth diode passes current from the fifth capacitor to the third capacitor.

Устройство, охарактеризованное в п. 20 формулы изобретения, сочетает простоту с надежностью сбора энергии. Активное сопротивление упрощает запуск цепи питания и, таким образом, подачи питающего напряжения для работы электронного переключателя с помощью схемы управления. Цепь питания соединена со второй выходной клеммой, так что когда цепь питания начинает работать, заряжается второй конденсатор. Если выпрямительный модуль имеет гальванический накопитель энергии, то напряжение гальванического накопителя энергии приложено главным образом к первому конденсатору, в то время как напряжение на втором конденсаторе является низким. Напряжения на первом конденсаторе и на втором конденсаторе уменьшаются с помощью линейного резистора, так что цепь питания и схема управления могут без проблем приводиться в Действие. Представляется предпочтительным такое решение, когда с целью предотвращения потерь упомянутый линейный резистор имеет высокое сопротивление.The device described in claim 20 combines simplicity with the reliability of energy collection. The resistance makes it easier to start the power circuit and thus supply voltage to operate the electronic switch via the control circuit. The power circuit is connected to the second output terminal, so that when the power circuit starts to work, the second capacitor is charged. If the rectifier module has a galvanic energy storage device, then the voltage of the galvanic energy storage device is mainly applied to the first capacitor, while the voltage across the second capacitor is low. The voltages on the first capacitor and the second capacitor are reduced by a linear resistor, so that the supply circuit and the control circuit can be driven without problems. It seems to be preferable that the said line resistor has a high resistance in order to prevent losses.

Устройство, охарактеризованное в п. 21 формулы изобретения, обеспечивает эффективный и надежный сбор энергии, если на входных клеммах присутствует положительное напряжение. Для переключения между первым состоянием переключения и следующим за ним вторым состоянием переключения использованы электронные переключающие элементы. В первом состоянии переключения электрическая энергия накапливается в катушке за счет присутствующего на входных клеммах положительного напряжения. Для этого в первом состоянии переключения электронные переключающие элементы замкнуты. В следующем за ним втором состоянии переключения за счет накопленной электрической энергии заряжается первый конденсатор. Электронные переключающие элементы приводятся в действие синхронно. Первая последовательность переключений длится в течение интервала времени TS. Для этого интервала времени TS соблюдается следующее равенство: TS=1/fS, где через fS обозначена частота переключения управляющего устройства. Первое состояние переключения имеет продолжительность D⋅TS, а второе состояние переключения имеет продолжительность (1-D)⋅TS, где D - это продолжительность включения. Представляется предпочтительным такое решение, когда входное полное сопротивление настраивается продолжительностью включения D. Продолжительность включения D представляет собой отношение продолжительности первого состояния переключения к общей продолжительности состояний переключения, то есть к периоду TS.The device described in claim 21 of the claims provides efficient and reliable energy collection if a positive voltage is present at the input terminals. Electronic switching elements are used for switching between the first switching state and the second switching state following it. In the first switching state, electrical energy is stored in the coil due to the positive voltage present at the input terminals. To this end, in the first switching state, the electronic switching elements are closed. In the next second switching state, the first capacitor is charged by the stored electrical energy. The electronic switching elements are actuated synchronously. The first switching sequence lasts for a time interval T S . For this time interval T S , the following equality is observed: T S =1/f S , where f S denotes the switching frequency of the control device. The first switching state has a duration D⋅T S and the second switching state has a duration (1-D)⋅T S , where D is the on duration. It seems to be preferable that the input impedance is adjusted by the on duration D. The on duration D is the ratio of the duration of the first switching state to the total duration of the switching states, ie to the period T S .

Устройство, охарактеризованное в п. 22 формулы изобретения, обеспечивает эффективный и надежный сбор энергии, если на входных клеммах присутствует отрицательное напряжение. Для переключения между первым состоянием переключения и следующим за ним вторым состоянием переключения использованы электронные переключающие элементы. В первом состоянии переключения электрическая энергия накапливается в катушке за счет присутствующего на входных клеммах отрицательного напряжения. Для этого в первом состоянии переключения электронные переключающие элементы замкнуты. В следующем за ним втором состоянии переключения за счет накопленной электрической энергии заряжается первый конденсатор. Электронные переключающие элементы приводятся в действие синхронно. Первая последовательность переключений длится в течение интервала времени TS. Для этого интервала времени TS соблюдается следующее равенство: TS=1/fS, где через fS обозначена частота переключения управляющего устройства. Первое состояние переключения имеет продолжительность D⋅TS, а второе состояние переключения имеет продолжительность (1-D)⋅TS, где D - это продолжительность включения. Представляется предпочтительным такое решение, когда продолжительностью включения D настраивается входное полное сопротивление. Продолжительность включения D представляет собой отношение продолжительности первого состояния переключения к общей продолжительности состояний переключения, то есть к периоду TS.The device described in paragraph 22 of the claims provides efficient and reliable energy collection if a negative voltage is present at the input terminals. Electronic switching elements are used for switching between the first switching state and the second switching state following it. In the first switching state, electrical energy is stored in the coil due to the negative voltage present at the input terminals. To this end, in the first switching state, the electronic switching elements are closed. In the next second switching state, the first capacitor is charged by the stored electrical energy. The electronic switching elements are actuated synchronously. The first switching sequence lasts for a time interval T S . For this time interval T S , the following equality is observed: T S =1/f S , where f S denotes the switching frequency of the control device. The first switching state has a duration D⋅T S and the second switching state has a duration (1-D)⋅T S , where D is the on duration. It seems to be preferable such a solution, when the duration of the switch-on D adjusts the input impedance. The on duration D is the ratio of the duration of the first switching state to the total duration of the switching states, ie to the period T S .

Устройство, охарактеризованное в п. 23 формулы изобретения, обеспечивает эффективный и надежный сбор энергии. Схема управления обеспечивает слежение за точкой максимальной мощности и обеспечивает, тем самым, простое решение для оптимизации или максимизации сбора энергии. С помощью компаратора обеспечена широтно-импульсная модуляция без обратной связи. Питающее напряжение, вырабатываемое цепью питания, приводит в действие первый компаратор и/или второй компаратор. Генерирование пилообразного напряжения и генерирование сигналов управления с помощью этого пилообразного напряжения можно просто регулировать подбором подходящих линейных резисторов и/или конденсатора компаратора таким образом, чтобы входное полное сопротивление практически соответствовало внутреннему сопротивлению сборщика энергии. Входное полное сопротивление на входных клеммах настраивается с помощью продолжительности включения D. Продолжительность включения D представляет собой отношение продолжительности первого состояния переключения к общей продолжительности состояний переключения, то есть к периоду TS. Второй компаратор сравнивает пилообразное напряжение, в частности, с опорным напряжением, обеспечиваемым делителем напряжения, к которому приложено питающее напряжение. Представляется предпочтительным такое решение, при котором делитель напряжения является чисто омическим и содержит два линейных транзистора.The device described in paragraph 23 of the claims provides efficient and reliable energy collection. The control circuit provides tracking of the maximum power point and thus provides a simple solution for optimizing or maximizing energy harvesting. With the help of a comparator, pulse-width modulation without feedback is provided. The supply voltage generated by the supply circuit drives the first comparator and/or the second comparator. The generation of a sawtooth voltage and the generation of control signals with this sawtooth voltage can simply be adjusted by selecting suitable line resistors and/or a comparator capacitor such that the input impedance substantially matches the internal resistance of the power harvester. The input impedance at the input terminals is set with the on duration D. The on duration D is the ratio of the duration of the first switching state to the total duration of the switching states, ie to the period T S . The second comparator compares the sawtooth voltage, in particular, with the reference voltage provided by the voltage divider to which the supply voltage is applied. It seems preferable that the voltage divider is purely ohmic and contains two linear transistors.

Устройство, охарактеризованное в п. 24 формулы изобретения, обеспечивает эффективный сбор энергии. Входное полное сопротивление доведено до полного сопротивления или внутреннего сопротивления сборщика энергии, так чтобы была обеспечена оптимизация или максимизация собранной электрической энергии. Иначе говоря, входное полное сопротивление настраивается таким образом, чтобы напряжение на входных клеммах и связанный с этим ток были практически синфазными. В результате имеет место слежение за точкой максимальной мощности. Входное полное сопротивление устанавливается с помощью продолжительности включения D. Продолжительность включения D представляет собой отношение продолжительности первого состояния переключения к общей продолжительности первого состояния переключения и второго состояния переключения, то есть к периоду TS.The device described in claim 24 of the claims provides efficient energy collection. The input impedance is adjusted to the impedance or internal resistance of the power harvester so that the optimization or maximization of the collected electrical energy is ensured. In other words, the input impedance is adjusted so that the voltage at the input terminals and the associated current are nearly in phase. As a result, the maximum power point is tracked. The input impedance is set by the on duration D. The on duration D is the ratio of the duration of the first switching state to the total duration of the first switching state and the second switching state, ie to the period T S .

Еще одной целью предлагаемого изобретения является создание простого и компактного генератора энергии, который обеспечивал бы сбор электрической энергии эффективным и надежным образом. В частности, предлагаемый генератор энергии обеспечивает эффективный сбор электрической энергии из чрезвычайно низковольтных (в диапазоне милливольт) источников.Yet another object of the present invention is to provide a simple and compact power generator that collects electrical energy in an efficient and reliable manner. In particular, the proposed power generator provides efficient collection of electrical energy from extremely low voltage (in the millivolt range) sources.

Эта цель достигается созданием генератора энергии, имеющего признаки, раскрываемые в п. 25 формулы изобретения. Преимущества предлагаемого генератора энергии соответствуют перечисленным выше преимуществам предлагаемого устройства для сбора электрической энергии. В качестве сборщика энергии может быть использован, например, пьезоэлектрический сборщик энергии и/или электромагнитный сборщик энергии. Представляется предпочтительным такое решение, когда сборщик энергии решен как электромагнитный сборщик энергии. Электромагнитные сборщики энергии обеспечивают сравнительно большое количество электрической энергии. Сравнительно низкое напряжение электромагнитных сборщиков энергии не является недостатком предлагаемого устройства.This goal is achieved by creating an energy generator having the features disclosed in paragraph 25 of the claims. The advantages of the proposed power generator correspond to the advantages listed above of the proposed device for collecting electrical energy. As the energy harvester, for example, a piezoelectric energy harvester and/or an electromagnetic energy harvester can be used. It seems preferable that the energy harvester is designed as an electromagnetic energy harvester. Electromagnetic energy harvesters provide a relatively large amount of electrical energy. The relatively low voltage of electromagnetic energy collectors is not a disadvantage of the proposed device.

Другие признаки, преимущества и подробности, касающиеся предлагаемого изобретения, станут ясны из последующего описания нескольких примеров его осуществления со ссылками на прилагаемые графические материалы.Other features, advantages and details regarding the invention will become clear from the following description of several examples of its implementation with reference to the accompanying drawings.

На фиг. 1 изображена схема генератора энергии согласно первому иллюстративному варианту его осуществления, в котором использован гальванический накопитель энергии.In FIG. 1 is a diagram of a power generator according to a first illustrative embodiment thereof, in which a galvanic energy storage device is used.

На фиг. 2 изображены график зависимости напряжения сборщика энергии от времени и сигналы управления, генерируемые управляющим устройством для переключения между первыми и вторыми состояниями переключения генератора энергии.In FIG. 2 shows a graph of power harvester voltage versus time and control signals generated by the control device for switching between first and second switching states of the power generator.

На фиг. 3 изображена схема управления для генерирования сигналов управления для электронного переключателя генератора энергии.In FIG. 3 shows a control circuit for generating control signals for an electronic switch of a power generator.

На фиг. 4 изображена эквивалентная схема генератора энергии в процессе запуска цепи питания для обеспечения питающего напряжения для схемы управления.In FIG. 4 shows an equivalent circuit of a power generator in the process of starting a power circuit to provide a supply voltage for the control circuit.

На фиг. 5 изображена эквивалентная схема генератора энергии в первом состоянии переключения, если сборщик энергии выдает положительное напряжение.In FIG. 5 shows the equivalent circuit of the power generator in the first switching state if the power harvester outputs a positive voltage.

На фиг. 6 изображена эквивалентная схема генератора энергии во втором состоянии переключения, следующем за первым состоянием переключения согласно фиг. 5.In FIG. 6 shows an equivalent circuit of a power generator in a second switching state following the first switching state of FIG. 5.

На фиг. 7 изображена эквивалентная схема генератора энергии в первом состоянии переключения, если сборщик энергии выдает отрицательное напряжение:In FIG. 7 shows the equivalent circuit of the power generator in the first switching state if the power harvester outputs a negative voltage:

На фиг. 8 изображена эквивалентная схема генератора энергии во втором состоянии переключения, следующем за первым состоянием переключения согласно фиг. 7.In FIG. 8 shows an equivalent circuit of a power generator in a second switching state following the first switching state of FIG. 7.

На фиг. 9 изображена схема генератора энергии согласно второму иллюстративному варианту его осуществления, в котором отсутствует гальванический накопитель энергии.In FIG. 9 is a diagram of a power generator according to a second exemplary embodiment without a galvanic energy storage device.

На фиг. 10 изображена схема цепи питания управляющего устройства генератора энергии, схема которого изображена на фиг.9, в первом состоянии зарядки.In FIG. 10 is a power supply circuit diagram of the power generator controller shown in FIG. 9 in a first charging state.

На фиг. 11 изображена схема той же цепи питания во втором состоянии зарядки.In FIG. 11 is a diagram of the same power circuit in a second state of charge.

На фиг. 12 изображена схема той же цепи питания в третьем состоянии зарядки.In FIG. 12 is a diagram of the same power circuit in the third state of charge.

Первый иллюстративный вариант осуществления предлагаемого изобретения далее описывается со ссылками на прилагаемые чертежи с фиг. 1 по фиг. 8. Генератор энергии 1 содержит сборщик энергии 2 и устройство 3 для сбора электрической энергии. Сборщик энергии 2 является электромагнитным. Сборщик энергии 2 является известным средством и выполнен типичным образом. Сборщик энергии 2 может далее фигурировать также как просто сборщик энергии. Устройство 3 используется для потребления или сбора электрической энергии, обеспечиваемой сборщиком энергии 2. Для этого сборщик энергии 2 подсоединен к первой входной клемме 4 и второй входной клемме 5 устройства 3. Генератор энергии 1 используется для снабжения электрической энергией нагрузки 6. Для этого нагрузка 6 подсоединена к первой выходной клемме 7 и второй выходной клемме 8 устройства 3. Сборщик энергии 2 соединен с входной клеммой 5, на которой присутствует опорный потенциал, который обозначен как «EH-GND» (СБОРЩИК ЭНЕРГИИ - «МАССА»).The first illustrative embodiment of the present invention will now be described with reference to the accompanying drawings of FIG. 1 in FIG. 8. The power generator 1 includes an energy collector 2 and a device 3 for collecting electrical energy. The energy collector 2 is electromagnetic. The energy collector 2 is a known means and is typically implemented. Energy harvester 2 may also be referred to as simply energy harvester. Device 3 is used to consume or collect electrical energy provided by power collector 2. To do this, power collector 2 is connected to the first input terminal 4 and the second input terminal 5 of device 3. Power generator 1 is used to supply electrical energy to load 6. To do this, load 6 is connected to the first output terminal 7 and the second output terminal 8 of the device 3. The energy harvester 2 is connected to the input terminal 5, on which there is a reference potential, which is designated as "EH-GND" (POWER COLLECTOR - "GROUND").

Сборщик энергии 2 обеспечивает напряжение vh, которое меняет полярность (переменное напряжение). Изменение этого напряжения vh во времени проиллюстрировано на фиг. 2. Та часть напряжения vh, которая имеет положительную полярность, ниже будет обозначаться как vhp, а та его часть, которая имеет отрицательную полярность, ниже будет обозначаться как vhn.The energy collector 2 provides a voltage v h that reverses polarity (alternating voltage). The variation of this voltage vh with time is illustrated in FIG. 2. That part of the voltage v h that has a positive polarity will be referred to below as v hp , and that part of it that has a negative polarity will be referred to below as v hn .

Устройство 3 содержит выпрямительный модуль 9 и связанное с ним управляющее устройство 10. Выпрямительный модуль 9 образует первую зарядную цепь 11, которая используется для сбора энергии от положительного напряжения vhp сборщика энергии 2 на входных клеммах 4 и 5. Кроме того, выпрямительный модуль 9 образует вторую зарядную цепь 12, которая используется для сбора энергии от отрицательного напряжения vhn сборщика энергии 2 на входных клеммах 4 и 5.The device 3 comprises a rectifier module 9 and an associated control device 10. The rectifier module 9 forms a first charging circuit 11 which is used to collect energy from the positive voltage v hp of the energy collector 2 at the input terminals 4 and 5. In addition, the rectifier module 9 forms the second charging circuit 12 which is used to collect energy from the negative voltage v hn of the energy collector 2 at the input terminals 4 and 5.

Первая зарядная цепь 11 содержит электронный переключатель 13, катушку L, первый конденсатор Ср и первый запирающий элемент Dp.С другой стороны, вторая зарядная цепь 12 содержит электронный переключатель 13, катушку L, второй конденсатор Cn, и второй запирающий элемент Dn.The first charging circuit 11 includes an electronic switch 13, a coil L, a first capacitor C p and a first locking element D p. On the other hand, the second charging circuit 12 includes an electronic switch 13, a coil L, a second capacitor C n , and a second locking element D n .

Катушка L подсоединена к первой входной клемме 4 и к узлу K зарядной цепи. Электронный переключатель 13 подсоединен к узлу K зарядной цепи и второй входной клемме 5, так что катушка L и электронный переключатель подключены последовательно между входными клеммами 4 и 5. Первый конденсатор Ср подсоединен к узлу K зарядной цепи и к первой выходной клемме 7. Кроме того, первый запирающий элемент Dp подсоединен к первой входной клемме 4 и первой выходной клемме 7 таким образом, что первый запирающий элемент Dp пропускает ток от первой выходной клеммы 7 к первой входной клемме 4. Первый запирающий элемент Dp реализован как диод. Катушка L, первый конденсатор Ср, и первый запирающий элемент Dp образуют первый контур Мр2. Второй конденсатор Cn подсоединен к узлу K зарядной цепи и ко второй выходной клемме 8. Кроме того, второй запирающий элемент Dn подсоединен к первой входной клемме 4 и второй выходной клемме 8 таким образом, что второй запирающий элемент Dn пропускает ток от первой входной клеммы 4 ко второй выходной клемме 8. Второй запирающий элемент Dn реализован как диод. Катушка L, второй запирающий элемент Dn и второй конденсатор Cn, таким образом, образуют второй контур Mn2. Таким образом, проводящие направления запирающих элементов Dp and Dn противоположны относительно катушки L, так что ток, протекающий через катушку L в контурах Мр2 и Mn2, имеет противоположное направление.Coil L is connected to the first input terminal 4 and to node K of the charging circuit. The electronic switch 13 is connected to the charging circuit node K and the second input terminal 5, so that the coil L and the electronic switch are connected in series between the input terminals 4 and 5. The first capacitor Cp is connected to the charging circuit node K and to the first output terminal 7. In addition, , the first blocking element D p is connected to the first input terminal 4 and the first output terminal 7 in such a way that the first blocking element D p passes current from the first output terminal 7 to the first input terminal 4. The first blocking element D p is implemented as a diode. The coil L, the first capacitor C p , and the first blocking element D p form the first circuit M p2 . The second capacitor C n is connected to the charging circuit node K and to the second output terminal 8. In addition, the second blocking element D n is connected to the first input terminal 4 and the second output terminal 8 in such a way that the second blocking element D n passes current from the first input terminal. terminal 4 to the second output terminal 8. The second blocking element D n is implemented as a diode. The coil L, the second blocking element D n and the second capacitor C n thus form a second circuit M n2 . Thus, the conductive directions of the closing elements D p and D n are opposite with respect to the coil L, so that the current flowing through the coil L in the circuits M p2 and M n2 has the opposite direction.

Кроме того, выпрямительный модуль 9 содержит перезаряжаемый гальванический накопитель энергии 14. Этот гальванический накопитель энергии 14 подсоединен к первой выходной клемме 7 и второй выходной клемме 8. Для этого отрицательный электрод гальванического накопителя энергии 14 соединен с первой выходной клеммой 7, а его положительный электрод соединен со второй выходной клеммой 8. На выходных клеммах 7 и 8 обеспечено выходное напряжение Eb.In addition, the rectifier module 9 includes a rechargeable galvanic energy storage 14. This galvanic energy storage 14 is connected to the first output terminal 7 and the second output terminal 8. For this, the negative electrode of the galvanic energy storage 14 is connected to the first output terminal 7, and its positive electrode is connected with a second output terminal 8. An output voltage E b is provided at the output terminals 7 and 8.

Электронный переключатель 13 выполнен как последовательная цепь из первого электронного переключающего элемента Q1 и второго электронного переключающего элемента Q2. Электронные переключающие элементы Q1 и Q2 реализованы как нормально открытые n-канальные полевые МОП-транзисторы. Вывод истока S1 первого переключающего элемента Q1 подсоединен к опорному узлу K0. Этот опорный узел K0 задает опорный потенциал управляющего устройства 10 («масса» схемы управления). Вывод стока D1 первого переключающего элемента Q1 подсоединен ко второй входной клемме 5. Кроме того, к опорному узлу K0 подсоединен вывод истока S2 второго переключающего элемента Q2. Вывод стока D2 второго переключающего элемента Q2 соединен с узлом K зарядной цепи. Опорный потенциал опорного узла K0 гарантирует, что на затворные выводы G1 и G2 переключающих элементов Q1 и Q2 в отношении связанных выводов истока S1 и S2 поступают положительные значения управляющего напряжения или сигналы управления g1 и g2, если переключающие элементы Q1 и Q2 должны быть приведены во включенное состояние, и отрицательные значения управляющего напряжения или сигналы управления g1 и g2, если переключающие элементы Q1 и Q2 должны быть приведены в выключенное состояние.The electronic switch 13 is configured as a series circuit of the first electronic switching element Q 1 and the second electronic switching element Q 2 . The electronic switching elements Q 1 and Q 2 are implemented as normally open n-channel MOSFETs. The source terminal S 1 of the first switching element Q 1 is connected to the reference node K 0 . This reference node K 0 sets the reference potential of the control device 10 ("mass" of the control circuit). The drain terminal D 1 of the first switching element Q 1 is connected to the second input terminal 5. In addition, the source terminal S 2 of the second switching element Q 2 is connected to the reference node K 0 . The drain terminal D 2 of the second switching element Q 2 is connected to the charging circuit node K. The reference potential of the reference node K 0 ensures that the gate terminals G 1 and G 2 of the switching elements Q 1 and Q 2 with respect to the associated source terminals S 1 and S 2 receive positive control voltage values or control signals g 1 and g 2 if the switching elements Q 1 and Q 2 must be brought into the on state, and negative values of the control voltage or control signals g 1 and g 2 if the switching elements Q 1 and Q 2 are to be brought into the off state.

Параллельно с первым переключающим элементом Q1 присутствует первый паразитный диод F1. Первый паразитный диод F1 образован относительно вывода истока S1 и вывода стока D1 таким образом, что заблокировано протекание тока в направлении первого вывода истока S1. Соответственно, параллельно со вторым переключающим элементом Q2 присутствует второй паразитный диод F2. Второй паразитный диод F2 образован относительно второго вывода истока S2 и вывода стока D2 таким образом, что заблокировано протекание тока в направлении второго вывода истока S2. Таким образом, паразитные диоды F1 и F2 имеют противоположные запирающие направления. При таком встречном включении переключающих элементов Q1 и Q2 гарантируется, что как при положительном, так и при отрицательном напряжении на электронном переключателе 13 заперт по меньшей мере один из паразитных диодов F1 или F2. Благодаря такому решению удается избежать паразитных токов и связанных с ними потерь.A first parasitic diode F 1 is present in parallel with the first switching element Q 1 . The first parasitic diode F 1 is formed with respect to the source terminal S 1 and the drain terminal D 1 in such a way that the flow of current in the direction of the first source terminal S 1 is blocked. Accordingly, a second parasitic diode F 2 is present in parallel with the second switching element Q 2 . The second parasitic diode F 2 is formed with respect to the second source terminal S 2 and the drain terminal D 2 in such a way that current flow in the direction of the second source terminal S 2 is blocked. Thus, parasitic diodes F 1 and F 2 have opposite blocking directions. With this reverse connection of the switching elements Q 1 and Q 2 , it is ensured that at least one of the parasitic diodes F 1 or F 2 is blocked on the electronic switch 13 both with positive and negative voltages. Thanks to this solution, parasitic currents and associated losses are avoided.

Управляющее устройство 10 используется для приведения в действие электронного переключателя 13. Управляющее устройство 10 содержит схему управления 15 для генерирования сигналов управления g1 и g2, и цепь питания 16 для обеспечения питающего напряжения vcc для схемы управления 15. Сигналы управления g1 и g2 представляют собой управляющие напряжения.The control device 10 is used to actuate the electronic switch 13. The control device 10 includes a control circuit 15 for generating control signals g 1 and g 2 and a power circuit 16 for supplying voltage v cc to the control circuit 15. Control signals g 1 and g 2 are control voltages.

Цепь питания 16 содержит генератор подкачки заряда 17, который содержит диод Dcc и конденсатор Ссс. Диод Dcc подсоединен ко второй выходной клемме 8 и к первому узлу k1. Диод Dcc пропускает ток от второй выходной клеммы 8 к первому узлу k1. Конденсатор Ссс подсоединен к первому узлу k1 и к опорному узлу K0, так что диод Dcc и конденсатор Ссс соединены последовательно. Генератор подкачки заряда 17 является однокаскадным. Питающее напряжение приложено к конденсатору Ссс, то есть между узлом k1 и опорным узлом K0.The supply circuit 16 contains a charge pump 17 which contains a diode D cc and a capacitor C cc. Diode D cc is connected to the second output terminal 8 and to the first node k 1 . Diode D cc passes current from the second output terminal 8 to the first node k 1 . The capacitor C cc is connected to the first node k 1 and to the reference node K 0 so that the diode D cc and the capacitor C cc are connected in series. The charge pump generator 17 is single stage. The supply voltage is applied to the capacitor C s , that is, between the node k 1 and the reference node K 0 .

Кроме того, цепь питания 16 содержит линейный транзистор Rb, который включен параллельно конденсатору Ср и соединен с узлом K зарядной цепи и первой выходной клеммой 7.In addition, the power circuit 16 contains a linear transistor R b , which is connected in parallel with the capacitor C p and connected to the node K of the charging circuit and the first output terminal 7.

В качестве примера могут быть приведены следующие значения параметров для элементов выпрямительного модуля 9 и цепи питания 16:As an example, the following parameter values for the elements of the rectifier module 9 and power circuit 16 can be given:

- индуктивность катушки L- coil inductance L 33 мкГн,33 uH - емкость первого конденсатора Ср - capacitance of the first capacitor C p 10 мкФ,10 uF, - емкость второго конденсатора Cn - capacitance of the second capacitor C n 10 мкФ,10 uF, - емкость конденсатора Ссс - capacitance C ss 0,3 мкФ,0.3uF, - сопротивление линейного резистора Rb - resistance of the linear resistor R b 45 кОм.45 kOhm.

Схема управления 15 содержит первый компаратор 18 для генерирования пилообразного напряжения vs и второй компаратор 19 для генерирования сигналов управления g1 и g2 для приведения в действие электронных переключающих элементов Q1 и Q2. С помощью компараторов 18 и 19 осуществляется широтно-импульсная модуляция без обратной связи.The control circuit 15 comprises a first comparator 18 for generating a sawtooth voltage v s and a second comparator 19 for generating control signals g 1 and g 2 for driving the electronic switching elements Q 1 and Q 2 . Using comparators 18 and 19 is pulse-width modulation without feedback.

Первый компаратор 18 содержит операционный усилитель 20, который в качестве рабочих напряжений имеет напряжение питания vcc и опорный потенциал опорного узла K0. К узлу k1 подсоединен делитель напряжения, образованный первым линейным транзистором R1 и вторым линейным транзистором R2. Первый линейный транзистор R1 соединен с опорным узлом K0, а второй линейный транзистор R2 соединен с узлом k1. с узла n1 между линейными резисторами R1 и R2 снимается напряжение vx, которое подается на неинвертирующий вход (положительный вход) операционного усилителя 20. Таким образом, узел n1 соединен с неинвертирующим входом. Выход операционного усилителя 20 соединен с инвертирующим входом (отрицательным входом) операционного усилителя 20 через третий линейный резистор R3. Кроме того, этот выход через линейный резистор R4 соединен с неинвертирующим входом операционного усилителя 20. К инвертирующему входу операционного усилителя 20 и к опорному узлу K0 подсоединен конденсатор C1. К конденсатору С1 приложено пилообразное напряжение vs. Таким образом, соединение между линейным резистором R3 и конденсатором C1 образует узел n2, на который подается пилообразное напряжение vs.The first comparator 18 comprises an operational amplifier 20 which has, as operating voltages, a supply voltage v cc and a reference potential of the reference node K 0 . Connected to node k 1 is a voltage divider formed by a first linear transistor R 1 and a second linear transistor R 2 . The first line transistor R 1 is connected to the reference node K 0 and the second line transistor R 2 is connected to the node k 1 . node n 1 between the linear resistors R 1 and R 2 is removed voltage v x that is applied to the non-inverting input (positive input) of the operational amplifier 20. Thus, node n 1 is connected to the non-inverting input. The output of the operational amplifier 20 is connected to the inverting input (negative input) of the operational amplifier 20 through a third linear resistor R 3 . In addition, this output is connected through a linear resistor R 4 to the non-inverting input of the operational amplifier 20. Capacitor C 1 is connected to the inverting input of the operational amplifier 20 and to the reference node K 0 . A sawtooth voltage v s is applied to the capacitor C 1 . Thus, the connection between the linear resistor R 3 and the capacitor C 1 forms a node n 2 to which the sawtooth voltage v s is applied.

Второй компаратор 19 используется для сравнения пилообразного напряжения vs с опорным напряжением vy. Для этого второй компаратор 19 содержит операционный усилитель 21. Операционный усилитель 21 имеет в качестве рабочих напряжений напряжение питания и опорный потенциал опорного узла K0. Второй компаратор 19 содержит делитель напряжения, состоящий из линейного резистора R5 и линейного резистора R6. Этот делитель напряжения соединен с опорным узлом K0 и узлом k1. Для этого линейный транзистор R5 соединен с опорным узлом K0, а линейный транзистор R6 соединен с узлом k1. Между линейными резисторами R5 и R6 снимается опорное напряжение vy. Для этого узел n3 между линейными резисторами R5 и R6 соединен с неинвертирующим входом (положительным входом) операционного усилителя 21. Таким образом, опорное напряжение vy приложено к линейному резистору R5, то есть между узлом n3 и опорным узлом K0 Узел n2 соединен с инвертирующим входом (отрицательным входом) операционного усилителя 21. На выходе операционного усилителя 21 формируются сигналы управления g1 и g2. Сигналы управления g1 и g2 поступают на затворные выводы G1 и G2 переключающих элементов Q1 и Q2.The second comparator 19 is used to compare the sawtooth voltage v s with the reference voltage v y . To this end, the second comparator 19 includes an operational amplifier 21. The operational amplifier 21 has a supply voltage and a reference potential of the reference node K 0 as operating voltages. The second comparator 19 contains a voltage divider consisting of a linear resistor R 5 and a linear resistor R 6 . This voltage divider is connected to the reference node K 0 and node k 1 . To do this, the line transistor R 5 is connected to the reference node K 0 and the line transistor R 6 is connected to the node k 1 . Between the linear resistors R 5 and R 6 the reference voltage v y is removed. For this, node n 3 between linear resistors R 5 and R 6 is connected to the non-inverting input (positive input) of the operational amplifier 21. Thus, the reference voltage v y is applied to the linear resistor R 5 , that is, between node n 3 and the reference node K 0 Node n 2 is connected to the inverting input (negative input) of the operational amplifier 21. Control signals g 1 and g 2 are generated at the output of the operational amplifier 21. The control signals g 1 and g 2 are supplied to the gate outputs G 1 and G 2 of the switching elements Q 1 and Q 2 .

Управляющее устройство 10 выполнено таким образом, что при наличии положительного напряжения vhp обеспечена первая последовательность переключений," имеющая первое состояние переключения Z1p, и следующее за ним второе состояние переключения Z2p. Для первой последовательности переключений верна следующая таблица истинности:The control device 10 is designed in such a way that, in the presence of a positive voltage v hp , a first switching sequence is provided, having a first switching state Z 1p and a second switching state Z 2p following it. The following truth table is true for the first switching sequence:

Figure 00000001
Figure 00000001

где «1» значит ВКЛЮЧЕНО, а «0» значит «ВЫКЛЮЧЕНО». Состояние переключения Z1p проиллюстрировано на фиг. 5, а состояние переключения Z2p проиллюстрировано на фиг. 6.where "1" means ON and "0" means "OFF". The switching state Z 1p is illustrated in FIG. 5 and the switching state Z 2p is illustrated in FIG. 6.

Кроме того, управляющее устройство 10 выполнено таким образом, что при наличии отрицательного напряжения vhn обеспечена вторая последовательность переключений, имеющая первое состояние переключения Z1n, и следующее за ним второе состояние переключения Z2p. Для второй последовательности переключений верна следующая таблица истинности:In addition, the control device 10 is configured such that, in the presence of a negative voltage v hn , a second switching sequence is provided having a first switching state Z 1n followed by a second switching state Z 2p . For the second switching sequence, the following truth table is true:

Figure 00000002
Figure 00000002

Figure 00000003
Figure 00000003

где «1» значит ВКЛЮЧЕНО, а «0» значит «ВЫКЛЮЧЕНО». Состояние переключения Z1n проиллюстрировано на фиг. 7, а состояние переключения Z2n проиллюстрировано на фиг.8.where "1" means ON and "0" means "OFF". The switching state Z 1n is illustrated in FIG. 7 and the switching state Z 2n is illustrated in FIG.

Упомянутые первая и вторая последовательности переключений длятся в течение периода времени TS. Для этого периода TS верно следующее равенство: TS=1/fS, где fS - это частота переключений управляющего устройства 10. Первое состояние переключения Z1p или Z1n имеет продолжительность D⋅TS, а соответствующее связанное с ним второе состояние переключения Z2p или Z2n имеет продолжительность (1-D)⋅TS, где D - это продолжительность включения.Said first and second switching sequences last for a period of time T S . For this period T S , the following equation is true: T S =1/f S , where f S is the switching frequency of the controller 10. The first switching state Z 1p or Z 1n has a duration D⋅T S , and the corresponding second state associated with it switching Z 2p or Z 2n has a duration of (1-D)⋅T S , where D is the switching duration.

Генератор энергии 1 работает следующим образом.Power generator 1 works as follows.

Сначала вступает в работу цепь питания 16 для генерирования питающего напряжения vcc и подачи его на схему управления 15. Это. проиллюстрировано на фиг. 4. Цепь питания 16 основывается на однокаскадном генераторе подкачки зарядов 17, который для генерирования питающего напряжения vcc достаточной величины использует энергию сборщика энергии 2, катушки L и второго конденсатора Cn. В соответствии с контуром М0, очерченным на фиг. 4, для питающего напряжения vcc верна следующая формула:First comes into operation the power circuit 16 to generate the supply voltage v cc and apply it to the control circuit 15. This. illustrated in FIG. 4. The supply circuit 16 is based on a single-stage charge pump 17 which uses the energy of the energy harvester 2, the coil L and the second capacitor C n to generate a sufficient supply voltage v cc . In accordance with the contour M 0 outlined in Fig. 4, for the supply voltage v cc , the following formula is true:

Figure 00000004
Figure 00000004

гдеwhere

Eb - выходное напряжение или напряжение гальванического накопителя энергии 14,E b - output voltage or voltage of the galvanic energy storage device 14,

vhmax - максимальное напряжение сборщика энергии 2,v hmax - maximum voltage of energy collector 2,

vL - напряжение на катушке L,v L - voltage on the coil L,

vcn - напряжение на втором конденсаторе Cn иv cn - voltage on the second capacitor C n and

VD - напряжение на диодах Dcc и F1.V D - voltage across diodes D cc and F 1 .

Конденсатор Ссс заряжается от напряжения vh сборщика энергии 2, так что обеспечивается питающее напряжение vcc достаточной величины. Линейный резистор Rb обеспечивает, чтобы напряжение Eb распределялось между конденсаторами Ср и Cn, и не допускает, чтобы напряжение Eb было практически полностью приложено к конденсатору Ср. В результате обеспечивается надежный запуск цепи питания 16 и удается избежать перегрузки конденсатора Ср. В качестве линейного резистора Rb выбран резистор с высоким сопротивлением, так что потери на линейном резисторе Rb малы.The capacitor C cc is charged by the voltage v h of the energy collector 2 so that a sufficient supply voltage v cc is provided. The linear resistor R b ensures that the voltage E b is distributed between the capacitors C p and C n and prevents the voltage E b from being almost completely applied to the capacitor C p . As a result, a reliable start of the power circuit 16 is ensured and it is possible to avoid overloading the capacitor C p . A high resistance resistor is chosen as the linear resistor R b , so that the losses across the linear resistor R b are small.

При наличии питающего напряжения vcc достаточной величины схема управления 15 генерирует сигналы управления g1 и g2 или напряжения управления g1 и g2 для приведения в действие электронных переключающих элементов Q1 and Q2. Сборщик энергии 2 генерирует напряжение vh, порождающее ток ih. Если приложено положительное напряжение vhp, то схема управления 15 обеспечивает первую последовательность переключения. Сначала, в первом состоянии переключения Z1p, переключающие элементы Q1 и Q2 синхронно приходят во включенное состояние, так что в контуре МР1 через катушку L течет ток ih. Это можно видеть на фиг. 5. Таким образом, в первом состоянии переключения Z1p электрическая энергия запасена в катушке L.When the supply voltage v cc is of sufficient magnitude, the control circuit 15 generates control signals g 1 and g 2 or control voltages g 1 and g 2 to actuate the electronic switching elements Q 1 and Q 2 . The energy collector 2 generates a voltage v h that generates a current i h . If a positive voltage v hp is applied, then the control circuit 15 provides the first switching sequence. First, in the first switching state Z 1p , the switching elements Q 1 and Q 2 synchronously turn on, so that in the circuit M P1 current i h flows through the coil L. This can be seen in FIG. 5. Thus, in the first switching state Z 1p , electrical energy is stored in the coil L.

В следующем затем втором состоянии переключения Z2p электронные переключающие элементы Q1 и Q2 разомкнуты, так что катушка L производит в контуре Мр2 ток и за счет запасенной энергии заряжает конденсатор Ср. Ток ip течет в контуре Мр2 через первый запирающий элемент Dp, который реализован как диод. К первому конденсатору Ср приложено напряжение vcp. Это проиллюстрировано на фиг. 6. Под действием напряжения vcp возникает ток ib, который заряжает гальванический накопитель энергии 14.In the subsequent second switching state Z 2p , the electronic switching elements Q 1 and Q 2 are open, so that the coil L produces a current in the circuit M p2 and charges the capacitor C p with the stored energy. The current i p flows in the circuit M p2 through the first locking element D p , which is implemented as a diode. A voltage v cp is applied to the first capacitor C p . This is illustrated in FIG. 6. Under the action of voltage v cp current i b occurs, which charges the galvanic energy storage device 14.

Если приложено отрицательное напряжение vhn, то схема управления 15 обеспечивает вторую последовательность переключения. В первом состоянии переключения Z1n переключающие элементы Q1 и Q2 синхронно приходят во включенное состояние, так что в контуре Mn1 через катушку L течет ток ih, и в катушке L запасается электрическая энергия. Это иллюстрируется на фиг. 7. Таким образом, в первом состоянии переключения Z1n электрическая энергия запасена в катушке L.If a negative voltage v hn is applied, the control circuit 15 provides a second switching sequence. In the first switching state Z 1n , the switching elements Q 1 and Q 2 synchronously turn on, so that in the circuit M n1 current i h flows through the coil L and electrical energy is stored in the coil L. This is illustrated in FIG. 7. Thus, in the first switching state Z 1n , electrical energy is stored in the coil L.

В следующем затем втором состоянии переключения Z2n электронные переключающие элементы Q1 и Q2 разомкнуты, так что катушка L производит в контуре Mn2 ток и за счет запасенной энергии заряжает конденсатор Cn. Ток in течет в контуре Mn2 через второй запирающий элемент Dn, который реализован как диод. Ко второму конденсатору Cn приложено напряжение vcn. Это проиллюстрировано на фиг. 8. Под действием напряжения vcn возникает ток ib, который заряжает гальванический накопитель энергии 14.In the subsequent second switching state Z 2n , the electronic switching elements Q 1 and Q 2 are open, so that the coil L produces a current in the circuit M n2 and charges the capacitor C n with the stored energy. The current i n flows in the circuit M n2 through the second locking element D n , which is implemented as a diode. Voltage v cn is applied to the second capacitor C n . This is illustrated in FIG. 8. Under the action of voltage v cn , a current i b arises, which charges the galvanic energy storage device 14.

Как в случае положительного напряжения vhp, так и в случае отрицательного напряжения vhn, использована одна и та же катушка L, поэтому выпрямительный модуль 9 является простым и компактным. Так как требуется всего одна катушка L, расходы тоже сокращаются. Катушка L, которая совместно используется зарядными цепями 11 и 12, не только является частью выпрямительного модуля 9, но используется также для запуска цепи питания 16. Напряжение сборщика энергии 2 выпрямляется в один прием, поэтому выпрямительным модулем 9 сбор энергии оптимизируется. Кроме того, выпрямительный модуль 9 обеспечивает возможность сбора электрической энергии из чрезвычайно низковольтных источников vh. Работающий на электромагнитном принципе сборщик энергии 2 в типичном случае имеет напряжение от 1 мВ до 1,2 В, предпочтительно -от 10 мВ до 750 мВ, более предпочтительно - от 50 мВ до 500 мВ.Both in the case of the positive voltage v hp and the negative voltage v hn , the same coil L is used, so that the rectifier module 9 is simple and compact. Since only one coil L is required, costs are also reduced. The coil L, which is shared by the charging circuits 11 and 12, is not only part of the rectifier module 9, but is also used to drive the power circuit 16. The voltage of the energy harvester 2 is rectified in one step, so the energy harvesting is optimized by the rectifier module 9. In addition, the rectifier module 9 makes it possible to collect electrical energy from extremely low voltage sources v h . The electromagnetic energy harvester 2 typically has a voltage of 1 mV to 1.2 V, preferably 10 mV to 750 mV, more preferably 50 mV to 500 mV.

Управляющее устройство 10 выполнено таким образом, что входное полное сопротивление Zin на входных клеммах 4 и 5 подстроено под сборщик энергии 2, и таким образом обеспечена оптимизация или максимизация сбора электрической энергии. Генератор энергии 1 устройства 3 обеспечивает, таким образом, слежение за точкой максимальной мощности. Соответственно, управляющее устройство 10 имеет функцию слежения за точкой максимальной мощности. Для этого не требуется измерять напряжение или ток или детектировать переходы через нулевой уровень или полярность. Устройство 3 работает в режиме прерывистого тока и с точки зрения сборщика энергии 2 является регулируемым и чисто омическим элементом. Входное полное сопротивление Zin или входное сопротивление устройства 3 подстроено под внутреннее сопротивление сборщика энергии 2, благодаря чему обеспечено слежение за точкой максимальной мощности. Для внутреннего полного входного сопротивления Zin устройства 3 верна следующая формула:The control device 10 is designed in such a way that the input impedance Z in at the input terminals 4 and 5 is adapted to the energy harvester 2, and thus the optimization or maximization of the electrical energy collection is ensured. The power generator 1 of the device 3 thus ensures that the maximum power point is monitored. Accordingly, the control device 10 has a maximum power point tracking function. It does not require measuring voltage or current, or detecting zero or polarity crossings. The device 3 operates in intermittent current mode and from the point of view of the energy collector 2 is an adjustable and purely ohmic element. The input impedance Z in or the input impedance of the device 3 is tuned to the internal resistance of the power harvester 2, thereby tracking the maximum power point. For the internal input impedance Z in of device 3, the following formula is true:

Figure 00000005
Figure 00000005

гдеwhere

L0 - индуктивность катушки L,L 0 - coil inductance L,

fS - частота переключения, иf S is the switching frequency, and

D - продолжительность включения.D - duration of inclusion.

Входное полное сопротивление Zin устанавливают с помощью продолжительности переключения D.The input impedance Z in is set using the switching duration D.

Благодаря встречному включению электронные переключающие элементы Q1 и Q2 работают синхронно. Кроме того, электронные переключающие элементы Q1 и Q2 работают согласованно в первой последовательности переключений, то есть, если приложено положительное напряжение vhp, и во второй последовательности переключений, то есть, если приложено отрицательное напряжение vhn. Это значит, что электронные переключающие элементы Q1 и Q2 замкнуты в первом состоянии переключения Z1p или Z1n и разомкнуты в соответствующем втором состоянии переключения Z2p или Z2n. Опорный узел K0 соединен с выводами истока S1 и S2, поэтому электронные переключающие элементы Q1 и Q2 могут быть приведены в действие непосредственно схемой управления 15, что является простым решением.Due to the reverse connection, the electronic switching elements Q 1 and Q 2 operate synchronously. In addition, the electronic switching elements Q 1 and Q 2 operate in concert in the first switching sequence, ie if a positive voltage v hp is applied, and in the second switching sequence, ie if a negative voltage v hn is applied. This means that the electronic switching elements Q 1 and Q 2 are closed in the first switching state Z 1p or Z 1n and open in the corresponding second switching state Z 2p or Z 2n . The reference node K 0 is connected to the source terminals S 1 and S 2 , so the electronic switching elements Q 1 and Q 2 can be driven directly by the control circuit 15, which is a simple solution.

Регулирование входного полного сопротивления Zin осуществляется за счет схемного решения схемы управления 15. С помощью компараторов 18 и 19 обеспечивается широтно-импульсная модуляция без обратной связи. Первый компаратор 18 через линейный резистор R3 заряжает конденсатор C1 и сравнивает напряжение vx с напряжением на конденсаторе C1 таким образом, что конденсатор C1 разряжается, если напряжение на конденсаторе C1 больше, чем напряжение vx. В результате на конденсаторе C1 порождается пилообразное напряжение vs. Второй компаратор 19 сравнивает пилообразное напряжение vs с опорным напряжением vy и генерирует сигналы управления g1 и g2. Путем сравнения пилообразного напряжения vs с опорным напряжением vy автоматически определяется входное полное сопротивление Zin, и сигналы управления g1 и g2 генерируются таким образом, что входное полное сопротивление Zin подстраивается под сборщик энергии 2 с помощью продолжительности переключения D. Сборщик энергии 2 обеспечивает практически максимальный сбор энергии при величине полного входного сопротивления Zin от 7 Ом до 13 Ом, поэтому для обеспечения эффективного сбора энергии нет необходимости в точной подстройке входного полного сопротивления Zin под сборщик энергии 2. Таким образом, флуктуации питающего напряжения vcc не оказывают вредного влияния на сбор энергии. Продолжительность переключения D и частота переключения fS могут устанавливаться, например, с помощью линейного резистора R6 и конденсатора C1.Input impedance control Zin is carried out due to the circuit design of the control circuit 15. With the help of comparators 18 and 19, pulse-width modulation is provided without feedback. First comparator 18 through linear resistor R3 charges capacitor Cone and compares the voltage vx with voltage across capacitor Cone so that the capacitor Cone is discharged if the voltage across the capacitor Cone greater than the voltage vx. As a result, on the capacitor Cone a sawtooth voltage vs. The second comparator 19 compares the sawtooth voltage vs with reference voltage vy and generates control signals gone and g2. By comparing the sawtooth voltage vs with reference voltage vy input impedance Z is automatically determinedin, and control signals gone and g2 are generated in such a way that the input impedance Zin adapts to energy harvester 2 by switching duration D. Energy harvester 2 provides almost maximum energy collection at input impedance Zin from 7 ohms to 13 ohms, so there is no need to fine-tune the input impedance Z to ensure effective energy harvestingin under the energy collector 2. Thus, the fluctuations of the supply voltage vcc have no detrimental effect on energy collection. Switching time D and switching frequency fS can be set, for example, with a linear resistor R6 and capacitor Cone.

Сигналы управления g1 и g2 задаются по времени таким образом, что относительно периода Ts продолжительность первого состояния переключения Z1p или Z1n устанавливается в пределах 0<t<D⋅TS, а продолжительность соответствующего второго состояния переключения Z2p или Z2n устанавливается в пределах D⋅TS<t<(1-D)⋅TS.The control signals g 1 and g 2 are timed in such a way that, with respect to the period Ts, the duration of the first switching state Z 1p or Z 1n is set within 0<t<D⋅T S , and the duration of the corresponding second switching state Z 2p or Z 2n is set within D⋅T S <t<(1-D)⋅T S .

Накопитель гальванической энергии 14, обеспечивающий напряжение Eb, заряжается под действием напряжений vcp и vcn. Нагрузка 6 снабжается электрической энергией от напряжения Eb.The galvanic energy storage 14 providing the voltage E b is charged by the action of the voltages v cp and v cn . Load 6 is supplied with electrical energy from the voltage E b .

Далее со ссылками на прилагаемые чертежи с фиг. 9 по фиг. 12 описывается второй иллюстративный вариант осуществления предлагаемого изобретения. В отличие от первого иллюстративного варианта, в этом варианте выпрямительный модуль 9 не содержит накопителя гальванической энергии. Цепь питания 16 содержит первичный генератор подкачки заряда 17 и вторичный генератор подкачки заряда 22 для запуска цепи питания 16 и обеспечения питающего напряжения vcc. При этом нет необходимости в линейном резисторе Rb как в первом варианте.Further, with reference to the accompanying drawings of FIG. 9 in FIG. 12 describes a second exemplary embodiment of the present invention. Unlike the first illustrative variant, in this variant the rectifier module 9 does not contain a galvanic energy storage device. The power circuit 16 includes a primary charge pump 17 and a secondary charge pump 22 to drive the power circuit 16 and provide the supply voltage v cc . In this case, there is no need for a linear resistor R b as in the first variant.

Вторичный генератор подкачки заряда 22 соединен с входными клеммами 4 и 5. Этот вторичный генератор подкачки заряда 22 реализован как пассивный однокаскадный генератор подкачки заряда Вилларда. К первой входной клемме 4 и второму узлу k2 подсоединен четвертый конденсатор Cb1. Ко второй входной клемме 5 и второму узлу k2 подсоединен второй диод Db1 таким образом, чтобы через него было обеспечено протекание тока от второй входной клеммы 5 ко второму узлу k2. Ко второй входной клемме 5 и третьему узлу k3 подсоединен пятый конденсатор Cb2. Ко второму узлу k2 и третьему узлу k3 подсоединен третий диод Db2 таким образом, чтобы через него было обеспечено протекание тока от второго узла k2 к третьему узлу k3. К первому узлу k1 первичного генератора подкачки заряда 17 подсоединен четвертый диод Db3 таким образом, чтобы через него было обеспечено протекание тока от третьего узла k3 к первому узлу k1 и опорному узлу K0. Первичный генератор подкачки заряда 17 реализован так же, как и первичный генератор подкачки заряда 17 из первого варианта.A secondary charge pump 22 is connected to input terminals 4 and 5. This secondary charge pump 22 is implemented as a passive single stage Willard charge pump. A fourth capacitor C b1 is connected to the first input terminal 4 and the second node k 2 . A second diode D b1 is connected to the second input terminal 5 and the second node k 2 in such a way that current flows through it from the second input terminal 5 to the second node k 2 . A fifth capacitor C b2 is connected to the second input terminal 5 and the third node k 3 . A third diode D b2 is connected to the second node k 2 and the third node k 3 in such a way that current flows through it from the second node k 2 to the third node k 3 . A fourth diode D b3 is connected to the first node k 1 of the primary charge pump 17 in such a way that current flows through it from the third node k 3 to the first node k 1 and the reference node K 0 . The primary charge pump generator 17 is implemented in the same way as the primary charge pump generator 17 of the first variant.

Генератор энергии 1 обеспечивает приведение в действие управляющего устройства 10 без гальванического накопителя энергии. Для этого сначала приводится в действие вторичный генератор подкачки заряда 22, а затем с ним первичный генератор подкачки заряда 17. Обычно в течение нескольких циклов после возбуждения сборщик энергии 2 обеспечивает сравнительно высокое напряжение vh, которое затем значительно уменьшается из-за затухания. Вторичный генератор подкачки заряда 22 приводится в действие в течение этих циклов. Если приложено отрицательное напряжение vhn, то конденсатор Cb1 заряжается через диод Db1. Для этого через диод Db1 протекает ток и к конденсатору Cb1 Активный контур М1 показан на фиг. 10.The power generator 1 enables the actuation of the control device 10 without a galvanic energy storage device. To do this, first the secondary charge pump 22 is driven, and then with it the primary charge pump 17. Typically, for several cycles after excitation, the power harvester 2 provides a relatively high voltage v h , which then decreases significantly due to attenuation. The secondary charge pump 22 is activated during these cycles. If a negative voltage v hn is applied, then the capacitor C b1 is charged through the diode D b1 . To do this, a current flows through the diode D b1 and to the capacitor C b1 . The active circuit M 1 is shown in FIG. ten.

Если затем приложено положительное напряжение VhP, заряженный конденсатор Cb1, имеющий напряжение vb1, и сборщик энергии 2, имеющий напряжение vhp, заряжают конденсатор Cb2 через диод Db2. Активный контур М2 и зарядный ток текущий через диод Db2, показаны на фиг. 11. Для напряжения vb2 верна следующая формула:If a positive voltage V hP is then applied, the charged capacitor C b1 having the voltage v b1 and the energy collector 2 having the voltage v hp charge the capacitor C b2 through the diode D b2 . The active circuit M 2 and the charging current flowing through the diode D b2 are shown in FIG. 11. For voltage v b2 , the following formula is true:

Figure 00000006
Figure 00000006

гдеwhere

vhmax - максимальное напряжение сборщика энергии 2, аv hmax is the maximum voltage of energy harvester 2, and

VD - пороговое напряжение.V D - threshold voltage.

Затем конденсатор Ссс заряжается через диод Db3, при этом на схему управления 15 поступает питающее напряжение достаточной величины, и она генерирует сигналы управления g1 и g2 для приведения в действие электронных переключающих элементов Q1 и Q2. В результате приводится в действие выпрямительный модуль 9 и повышается напряжение Eb. Если напряжение Eb выше, чем напряжение vb2, то автоматически выключается вторичный генератор подкачки заряда 22. Тогда работает только первичный генератор подкачки заряда 17. Диод Db3 отсоединяет вторичный генератор подкачки заряда 22 от первичного генератора подкачки заряда 17, так что в работе остается только первичный генератор подкачки заряда 17. Активный контур М3 и зарядный ток i3 показаны на фиг. 12. Для напряжения vcc верна следующая формула:Then the capacitor C cc is charged through the diode D b3 , while the control circuit 15 receives a supply voltage of sufficient magnitude, and it generates control signals g 1 and g 2 to actuate the electronic switching elements Q 1 and Q 2 . As a result, the rectifier module 9 is driven and the voltage E b is increased. If the voltage E b is higher than the voltage v b2 , then the secondary charge pump 22 is automatically turned off. Then only the primary charge pump 17 works. Diode D b3 disconnects the secondary charge pump 22 from the primary charge pump 17, so that the operation remains only the primary charge pump 17. The active circuit M 3 and the charging current i 3 are shown in FIG. 12. For voltage v cc , the following formula is true:

Figure 00000007
Figure 00000007

где v0 - напряжение на входной [sic!] клемме 8. Представляется предпочтительным такое решение, когда все диоды реализованы как диоды Шоттки, имеющие пороговое напряжение Vd от 0,1 В до 0,2 В.where v 0 is the voltage at the input [sic!] terminal 8. It seems preferable that all diodes are implemented as Schottky diodes having a threshold voltage V d from 0.1 V to 0.2 V.

К выходным клеммам 7 и 8 подсоединен регулятор напряжения 23. Регулятор напряжения 23 используется для стабилизации напряжения Eb и выдает отрегулированное выходное напряжение Е'b на выходные клеммы 7' и 8'. К выходным клеммам 7' и 8' подсоединена нагрузка 6. По причине изменяемого состояния заряда конденсаторов Ср и Cn напряжение Eb на выходных клеммах 7 и 8 колеблется. Регулятор напряжения 23 сравнивает напряжение Eb с заданным значением напряжения Vref и дает на выходе стабилизированное напряжение Е'b. Для этого в составе регулятора напряжения 23 предусмотрен преобразователь постоянного напряжения в постоянное, напряжение Е'b на выходе которого является стабилизированным. Для этого упомянутый преобразователь постоянного напряжения в постоянное выполнен как часть схемы управления напряжением, которая сравнивает напряжение Е'b на выходе с заданным значением напряжения и разность между этим заданным значением напряжения Vref и выходным напряжением и подает на устройство управления, которое приводит в действие преобразователь постоянного напряжения в постоянное, разность напряжений между заданным значением напряжения Vref и выходным напряжением E'b для корректирования этой разности напряжений. Упомянутое устройство управления реализовано как пропорционально-интегральный дифференциальный регулятор.A voltage regulator 23 is connected to the output terminals 7 and 8. The voltage regulator 23 is used to stabilize the voltage E b and provides a regulated output voltage E' b to the output terminals 7' and 8'. A load 6 is connected to the output terminals 7' and 8'. Due to the changing state of charge of the capacitors C p and C n , the voltage E b at the output terminals 7 and 8 fluctuates. The voltage regulator 23 compares the voltage E b with the set voltage value V ref and outputs a stabilized voltage E' b . To do this, the voltage regulator 23 includes a DC-to-DC converter, the output voltage E'b of which is stabilized. To this end, said DC/DC converter is made as part of a voltage control circuit which compares the output voltage E'b with a voltage setpoint and the difference between this voltage setpoint V ref and the output voltage and supplies it to a control device which drives the converter. DC voltage to DC, the voltage difference between the set voltage value V ref and the output voltage E' b to correct this voltage difference. Said control device is implemented as a proportional-integral differential controller.

Прочие схемные решения и функции этого генератора энергии 1 те же, что и в описанном ранее первом варианте его осуществленияOther circuit solutions and functions of this power generator 1 are the same as in the previously described first embodiment.

Claims (58)

1. Устройство для сбора электрической энергии, содержащее1. Device for collecting electrical energy, containing - выпрямительный модуль (9), содержащий- rectifier module (9) containing - первую входную клемму (4) и вторую входную клемму (5) для подсоединения к сборщику энергии (2),- the first input terminal (4) and the second input terminal (5) for connection to the energy collector (2), - первую выходную клемму (7) и вторую выходную клемму (8) для снятия выходного напряжения (Eb),- the first output terminal (7) and the second output terminal (8) for removing the output voltage (E b ), - первую зарядную цепь (11) для сбора энергии при положительном напряжении (vhp) сборщика энергии (2), приложенном к входным клеммам (4, 5), содержащую- the first charging circuit (11) for collecting energy with a positive voltage (v hp ) of the energy collector (2) applied to the input terminals (4, 5), containing - электронный переключатель (13), содержащий последовательную цепь, составленную из первого электронного переключательного элемента (Q1) и второго электронного переключательного элемента (Q2),- an electronic switch (13) comprising a series circuit composed of a first electronic switching element (Q 1 ) and a second electronic switching element (Q 2 ), - катушку (L),- coil (L), - первый конденсатор (Ср) и- the first capacitor (C p ) and - первый запорный элемент (Dp),- the first locking element (D p ), - вторую зарядную цепь (12) для сбора энергии при отрицательном напряжении (vhp) сборщика энергии (2), приложенном к входным клеммам (4, 5), содержащую- a second charging circuit (12) for collecting energy at a negative voltage (v hp ) of the energy collector (2) applied to the input terminals (4, 5), containing - электронный переключатель (13),- electronic switch (13), - катушку (L),- coil (L), - второй конденсатор (Cn) и- the second capacitor (C n ) and - второй запорный элемент (Dn),- the second locking element (D n ), - управляющее устройство (10) для приведения в действие электронного переключателя (13),- a control device (10) for actuating the electronic switch (13), причем управляющее устройство (10) содержит по меньшей мере один генератор подкачки заряда (17, 22),moreover, the control device (10) contains at least one charge pump generator (17, 22), при этом один из генераторов подкачки заряда (17, 22) соединен с одной из выходных клемм (7, 8) и узлом (K) между двумя соединенными последовательно электронными переключательными элементами (Q1, Q2) электронного переключателя (13).wherein one of the charge pump generators (17, 22) is connected to one of the output terminals (7, 8) and the node (K) between two serially connected electronic switching elements (Q 1 , Q 2 ) of the electronic switch (13). 2. Устройство по п. 1, характеризующееся тем, что катушка (L) и электронный переключатель (13) соединены последовательно.2. Device according to claim 1, characterized in that the coil (L) and the electronic switch (13) are connected in series. 3. Устройство по любому из пп. 1, 2, характеризующееся тем, что электронный переключатель (13) содержит по меньшей мере один паразитный диод (F1, F2), соединенный параллельно с соответствующим электронным переключательным элементом (Q1, Q2).3. The device according to any one of paragraphs. 1, 2, characterized in that the electronic switch (13) contains at least one parasitic diode (F 1 , F 2 ) connected in parallel with the respective electronic switching element (Q 1 , Q 2 ). 4. Устройство по любому из пп. 1-3, характеризующееся тем, что первый паразитный диод (F1), соединенный параллельно с первым электронным переключательным элементом (Q1), и второй паразитный диод (F2), соединенный параллельно со вторым электронным переключательным элементом (Q2), включены встречно.4. The device according to any one of paragraphs. 1-3, characterized in that the first parasitic diode (F 1 ) connected in parallel with the first electronic switching element (Q 1 ) and the second parasitic diode (F 2 ) connected in parallel with the second electronic switching element (Q 2 ) are turned on counter. 5. Устройство по любому из пп. 1-4, характеризующееся тем, что катушка (L), первый конденсатор (Ср) и первый запорный элемент (Dp) содержат первый контур (Мр2) для передачи энергии от катушки (L) к первому конденсатору (Ср).5. The device according to any one of paragraphs. 1-4, characterized in that the coil (L), the first capacitor (C p ) and the first locking element (D p ) contain a first circuit (M p2 ) for transferring energy from the coil (L) to the first capacitor (C p ). 6. Устройство по любому из пп. 1-5, характеризующееся тем, что катушка (L), второй конденсатор (Cn) и второй запорный элемент (Dn) содержат второй контур (Mn2) для передачи энергии от катушки (L) ко второму конденсатору (Cn).6. The device according to any one of paragraphs. 1-5, characterized in that the coil (L), the second capacitor (C n ) and the second locking element (D n ) contain a second circuit (M n2 ) for transferring energy from the coil (L) to the second capacitor (C n ). 7. Устройство по любому из пп. 1-6, характеризующееся тем, что запорные элементы (Dp, Dn) имеют разные проводящие направления относительно катушки (L).7. The device according to any one of paragraphs. 1-6, characterized in that the locking elements (D p , D n ) have different conductive directions relative to the coil (L). 8. Устройство по любому из пп. 1-7, характеризующееся тем, что первый конденсатор (Ср) соединен с первой выходной клеммой (7), а второй конденсатор (Cn) соединен со второй выходной клеммой (8).8. The device according to any one of paragraphs. 1-7, characterized in that the first capacitor (C p ) is connected to the first output terminal (7), and the second capacitor (C n ) is connected to the second output terminal (8). 9. Устройство по любому из пп. 1-8, характеризующееся тем, что катушка (L) подсоединена к первой входной клемме (4) и к узлу (K) зарядной цепи,9. The device according to any one of paragraphs. 1-8, characterized in that the coil (L) is connected to the first input terminal (4) and to the node (K) of the charging circuit, электронный переключатель (13) подсоединен ко второй входной клемме (5) и к узлу (K) зарядной цепи,the electronic switch (13) is connected to the second input terminal (5) and to the node (K) of the charging circuit, первый конденсатор (Ср) подсоединен к узлу (K) зарядной цепи и к первой выходной клемме (7),the first capacitor (C p ) is connected to the node (K) of the charging circuit and to the first output terminal (7), второй конденсатор (Cn) подсоединен к узлу (K) зарядной цепи и ко второй выходной клемме (8),the second capacitor (C n ) is connected to the node (K) of the charging circuit and to the second output terminal (8), первый запорный элемент (Dp) подсоединен к первой входной клемме (4) и к первой выходной клемме (7) иthe first locking element (D p ) is connected to the first input terminal (4) and to the first output terminal (7) and второй запорный элемент (Dn) подсоединен к первой входной клемме (4) и ко второй выходной клемме (8).the second locking element (D n ) is connected to the first input terminal (4) and to the second output terminal (8). 10. Устройство по любому из пп. 1-9, характеризующееся тем, что выпрямительный модуль (9) содержит гальванический накопитель энергии (14), подсоединенный к выходным клеммам (7, 8).10. The device according to any one of paragraphs. 1-9, characterized in that the rectifier module (9) contains a galvanic energy storage device (14) connected to the output terminals (7, 8). 11. Устройство по любому из пп. 1-10, характеризующееся тем, что к выходным клеммам (7, 8) подсоединен регулятор напряжения (23).11. The device according to any one of paragraphs. 1-10, characterized in that a voltage regulator (23) is connected to the output terminals (7, 8). 12. Устройство по любому из пп. 1-11, характеризующееся тем, что управляющее устройство (10) содержит схему управления (15) для генерирования сигналов управления (g1, g2) и цепь питания (16) для обеспечения питающего напряжения (vcc) для схемы управления (15).12. The device according to any one of paragraphs. 1-11, characterized in that the control device (10) contains a control circuit (15) for generating control signals (g 1 , g 2 ) and a power supply circuit (16) for providing a supply voltage (v cc ) for the control circuit (15) . 13. Устройство по любому из пп. 1-12, характеризующееся тем, что первичный генератор подкачки заряда (17) содержит соединенные последовательно первый диод (Dcc) и третий конденсатор (Ссс).13. The device according to any one of paragraphs. 1-12, characterized in that the primary charge pump generator (17) contains a first diode (D cc ) and a third capacitor (C cc ) connected in series. 14. Устройство по любому из пп. 1-13, характеризующееся тем, что к входным клеммам (4, 5) подсоединен вторичный генератор подкачки заряда (22).14. The device according to any one of paragraphs. 1-13, characterized in that a secondary charge pump generator (22) is connected to the input terminals (4, 5). 15. Устройство по любому из пп. 1-14, характеризующееся тем, что вторичный генератор подкачки заряда (22) соединен с первичным генератором подкачки заряда (17) через первый узел (k1).15. The device according to any one of paragraphs. 1-14, characterized in that the secondary charge pump generator (22) is connected to the primary charge pump generator (17) through the first node (k 1 ). 16. Устройство по любому из пп. 14, 15, характеризующееся тем, что вторичный генератор подкачки заряда (22) содержит:16. The device according to any one of paragraphs. 14, 15, characterized in that the secondary charge pump generator (22) contains: четвертый конденсатор (Cb1), подсоединенный к первой входной клемме (4) и ко второму узлу (k2),the fourth capacitor (C b1 ) connected to the first input terminal (4) and to the second node (k 2 ), второй диод (Db1), подсоединенный ко второй входной клемме (5) и ко второму узлу (k2),a second diode (D b1 ) connected to the second input terminal (5) and to the second node (k 2 ), пятый конденсатор (Cb2), подсоединенный ко второй входной клемме (5) и к третьему узлу (k3),the fifth capacitor (C b2 ) connected to the second input terminal (5) and to the third node (k 3 ), третий диод (Db2), подсоединенный ко второму узлу (k2) и к третьему узлу (k3), иa third diode (D b2 ) connected to the second node (k 2 ) and to the third node (k 3 ), and четвертый диод (Db3), подсоединенный к третьему узлу (k3).a fourth diode (D b3 ) connected to the third node (k 3 ). 17. Устройство по любому из пп. 12-16, характеризующееся тем, что цепь питания (16) содержит линейный резистор (Rb), соединенный параллельно с первым конденсатором (Ср).17. The device according to any one of paragraphs. 12-16, characterized in that the supply circuit (16) contains a linear resistor (R b ) connected in parallel with the first capacitor (C p ). 18. Устройство по любому из пп. 1-17, характеризующееся тем, что управляющее устройство (10) содержит первую последовательность переключения, если ко входным клеммам (4, 5) приложено положительное напряжение (vhp), при этом для этой первой последовательности переключения верна следующая таблица истинности:18. The device according to any one of paragraphs. 1-17, characterized in that the control device (10) contains the first switching sequence, if a positive voltage (v hp ) is applied to the input terminals (4, 5), while for this first switching sequence the following truth table is true:
Figure 00000008
Figure 00000008
где Q1 и Q2 - два соединенных последовательно электронных переключательных элемента электронного переключателя (13),where Q 1 and Q 2 are two electronic switching elements of the electronic switch (13) connected in series, Z1p и Z2p - два следующих друг за другом состояния переключения первой последовательности переключения иZ 1p and Z 2p are two successive switching states of the first switching sequence and «1» значит ВКЛЮЧЕНО, а «0» значит «ВЫКЛЮЧЕНО»."1" means ON and "0" means "OFF". 19. Устройство по любому из пп. 1-18, характеризующееся тем, что управляющее устройство (10) содержит вторую последовательность переключения, если ко входным клеммам (4, 5) приложено отрицательное напряжение (vs), при этом для этой второй последовательности переключения верна следующая таблица истинности:19. The device according to any one of paragraphs. 1-18, characterized in that the control device (10) contains a second switching sequence, if a negative voltage (v s ) is applied to the input terminals (4, 5), while for this second switching sequence the following truth table is true:
Figure 00000009
Figure 00000009
где Q1 и Q2 - два соединенных последовательно электронных переключательных элемента электронного переключателя (13),where Q 1 and Q 2 are two electronic switching elements of the electronic switch (13) connected in series, Z1n и Z2n - два следующих друг за другом состояния переключения второй последовательности переключения иZ 1n and Z 2n are two successive switching states of the second switching sequence and «1» значит ВКЛЮЧЕНО, а «0» значит «ВЫКЛЮЧЕНО»."1" means ON and "0" means "OFF". 20. Устройство по любому из пп. 12-19, характеризующееся тем, что схема управления (15) содержит первый компаратор (18) для генерирования пилообразного напряжения (vs) и второй компаратор (19) для генерирования сигналов управления (g1, g2) для электронного переключателя (13).20. The device according to any one of paragraphs. 12-19, characterized in that the control circuit (15) contains a first comparator (18) for generating a sawtooth voltage (v s ) and a second comparator (19) for generating control signals (g 1 , g 2 ) for the electronic switch (13) . 21. Устройство по любому из пп. 12-19, характеризующееся тем, что схема управления (15) выполнена таким образом, что входное полное сопротивление (Zin) на входных клеммах (4, 5) с помощью генерируемых сигналов управления (g1, g2) настраиваемо на сборщик энергии (2).21. The device according to any one of paragraphs. 12-19, characterized in that the control circuit (15) is designed in such a way that the input impedance (Z in ) at the input terminals (4, 5) using the generated control signals (g 1 , g 2 ) is tuned to the energy collector ( 2). 22. Генератор энергии, содержащий22. Power generator containing устройство (3) по любому из пп. 1-24 иdevice (3) according to any one of paragraphs. 1-24 and сборщик энергии, подсоединенный ко входным клеммам (4, 5) для обеспечения переменного напряжения (vh).energy collector connected to input terminals (4, 5) to provide alternating voltage (v h ).
RU2020123403A 2018-02-07 2019-01-09 Energy production device, and energy generator containing such a device RU2780813C2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102018201925.8 2018-02-07
DE102018201925.8A DE102018201925A1 (en) 2018-02-07 2018-02-07 Apparatus for obtaining electrical energy and energy producers with such a device
PCT/EP2019/050440 WO2019154576A1 (en) 2018-02-07 2019-01-09 Device for obtaining electric energy and energy generator comprising such a device

Publications (3)

Publication Number Publication Date
RU2020123403A RU2020123403A (en) 2022-01-17
RU2020123403A3 RU2020123403A3 (en) 2022-04-14
RU2780813C2 true RU2780813C2 (en) 2022-10-04

Family

ID=

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2421872C1 (en) * 2010-05-05 2011-06-20 Российская Федерация, от имени которой выступает государственный заказчик - Государственная корпорация по атомной энергии "Росатом" Pulse generator
US9413261B2 (en) * 2012-05-16 2016-08-09 Toshiba Tec Kabushiki Kaisha Power conversion apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2421872C1 (en) * 2010-05-05 2011-06-20 Российская Федерация, от имени которой выступает государственный заказчик - Государственная корпорация по атомной энергии "Росатом" Pulse generator
US9413261B2 (en) * 2012-05-16 2016-08-09 Toshiba Tec Kabushiki Kaisha Power conversion apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
R. Dayal and L. Parsa, "A new single stage AC-DC converter for low voltage electromagnetic energy harvesting," 2010 IEEE Energy Conversion Congress and Exposition, Atlanta, GA, 2010. *

Similar Documents

Publication Publication Date Title
TWI404317B (en) Dual-polarity dual-output synchronous boost converters and method for operating the same
US10014823B2 (en) High efficiency resonant switched capacitor converter with continuous conversion ratio
US6489758B2 (en) Bootstrap circuit in a DC/DC static converter having circuitry for avoiding bootstrap capacitor discharge
US7570033B1 (en) Apparatus and method for PWM buck-or-boost converter with smooth transition between modes
KR101759808B1 (en) Flyback converter for operation with very low input voltages
US20090040794A1 (en) Time-Multiplexed Multi-Output DC/DC Converters and Voltage Regulators
EP2518878A1 (en) DC-DC converter, method for operating the DC-DC converter, environmental energy harvesting system comprising the DC-DC converter, and apparatus comprising the energy harvesting system
WO2008133859A2 (en) Step-down switching regulator with freewheeling diode
DE102009045052B4 (en) Providing a supply voltage for a drive circuit of a semiconductor switching element
US10122276B2 (en) Method for operating a power converter circuit and power converter circuit
CN103023317A (en) Dc/dc converter
US20200177083A1 (en) Stacked buck converters
US6307359B1 (en) DC-DC converter powered by doubled output voltage
KR102475659B1 (en) A device for obtaining electrical energy and an energy generator comprising such a device
US10819120B2 (en) Converter apparatus for energy harvesting and energy generator having such a converter apparatus, and use of such a converter apparatus
US20160322830A1 (en) Energy scavenging interface with impedance matching, method for impedance matching of the energy scavenging interface, and energy scavenging system using the energy scavenging interface
RU2780813C2 (en) Energy production device, and energy generator containing such a device
JP4328417B2 (en) Power circuit
RU2563976C1 (en) Single-step dc voltage converter
US11121625B2 (en) Voltage doubler using a switching regulator and voltage limiter
KR20190007430A (en) Power stage for DC-DC converter
Sato et al. Step-up/step-down DC-DC converter whose current passes through only one switch
CN112673561A (en) Power conversion device and control method for power conversion device
Joy et al. COMPARATIVE STUDY ON SINGLE STAGE STEP-UP RECTIFIERS FOR LOW VOLTAGE ENERGY HARVESTING APPLICATION
EP2501025A1 (en) Power supply circuit comprising two DC/DC converters