NL2020111B1 - Full wafer transfer-free graphene - Google Patents

Full wafer transfer-free graphene Download PDF

Info

Publication number
NL2020111B1
NL2020111B1 NL2020111A NL2020111A NL2020111B1 NL 2020111 B1 NL2020111 B1 NL 2020111B1 NL 2020111 A NL2020111 A NL 2020111A NL 2020111 A NL2020111 A NL 2020111A NL 2020111 B1 NL2020111 B1 NL 2020111B1
Authority
NL
Netherlands
Prior art keywords
graphene
layer
pure
metal layer
providing
Prior art date
Application number
NL2020111A
Other languages
Dutch (nl)
Inventor
Ricciardella Filiberto
Vollebregt Sten
Maria Sarro Pasqualina
Original Assignee
Univ Delft Tech
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Delft Tech filed Critical Univ Delft Tech
Priority to NL2020111A priority Critical patent/NL2020111B1/en
Priority to PCT/NL2018/050838 priority patent/WO2019125140A1/en
Application granted granted Critical
Publication of NL2020111B1 publication Critical patent/NL2020111B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/194After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/10Etching in solutions or melts
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/02Single layer graphene
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/04Specific amount of layers or specific thickness
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/20Graphene characterized by its properties
    • C01B2204/30Purity
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/20Graphene characterized by its properties
    • C01B2204/32Size or surface area

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

The present invention is in the field of a full wafer transfer— free graphene layer, a method of making the graphene layer, and a product obtained by said method, such as sensors, such as gas sensors, MEMS, IC’s, PV-cells, solar cells, microscale and nanoscale devices, and products having the small scale devices.

Description

Full wafer transfer-free graphene
FIELD OF THE INVENTION
The present invention is in the field of a full wafer transfer-free graphene layer, a method of making the graphene layer, and a product obtained by said method, such as sensors, such as gas sensors, MEMS, IC's, PV-cells, solar cells, microscale and nanoscale devices, and products having the small scale devices.
BACKGROUND OF THE INVENTION
Graphene is carbon comprising material. Its structure relates to one-atom-thick planar sheets of sp2-bonded carbon atoms that are crystallographically densely packed in a honeycomb crystal lattice. The crystalline or "flake" form of graphite consists of many graphene sheets stacked together.
It can be a basic building block for graphitic materials of all other dimensionalities. It can be wrapped up into fullerene, rolled into ID carbon nanotubes or stacked into 3D graphite. Graphene has attracted a lot of research interest because of its promising electronic applications related to its superior electron mobility, mechanical strength and thermal conductivity. It may have wide range of applications, for instance, field-effect transistors, photonic or optoelectronic device, sequencing DNA through nano-holes in graphene etc. Graphene macroscopic samples have unusual properties such as a bipolar-transistor effect, ballistic transport of charges, large quantum oscillations, etc.
Various production methods of graphene are reported. Graphene or ultra-thin graphitic layers can be epitaxial grown on various substrates. Graphene produced by exfoliation was a very expensive material. Since then, exfoliation procedures have been scaled up. It is noted that the price of epitaxial grown graphene on e.g. SiC is dominated by the substrate price. Graphene has been produced by transfer from various metals and alloys thereof, though graphene may be slightly rippled.
It remains however difficult to obtain high quality and clean graphene e.g. in a device. Compared to monolayer graphene, crystallized multilayer graphene has stronger mechanical properties and higher conductivity. Such graphene is still transparent under the optical microscope and electron microscopy, and has high potential in the field of nano-imaging technology. It remains also difficult to transfer graphene such that the quality and integrity thereof are maintained at a high standard, which is crucial for the characteristics of graphene. The prior art graphene is not clean, has lots of contamination and cracks.
For graphene transfer from a metal surface various methods may be used. However transfer is complex and risky; a graphene layer is typically damaged, unless dedicated measures are taken. During the transfer, the graphene is prone to stretching by a rigid (plastic or glass) substrate that may be used for transfer, and the substrate always contains lots of external contaminations. So, this method has various drawbacks: Introduction of external particles and contaminations; Generation of strong strain on the graphene, the graphene films may tear and form residual stress and cracks. The transferred graphene contains lots of bubbles, which makes the quality of graphene at least one order of magnitude lower; the graphene obtained is difficult to handle. The graphene sometimes can be attached directly to the plastic or glass substrate. Then is it impossible to separate them anymore.
Various documents recite graphene synthesis.
Zhangcheng Li et al, in "Low-temperature growth of graphene by chemical vapour deposition using solid and liquid carbon sources", ACS Nano, 2011, 5, 3385-3390, use benzene as carbon source and a quartz tube hot wall furnace to synthesize graphene at low temperature. Therein a copper foil (25 pm) was used as a synthesis substrate, providing only microscale graphene flakes at 500 °C. The method does not provide large scale graphene, nor high quality, nor graphene that can be harvested easily. Y. Kim et al, in "Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapour deposition", Appl. Phys. Lett., 2011, 98, 263106, use a cold wall type microwave plasma chemical vapour deposition to synthesize centimetre scale graphene at low temperature. Therein as feed stock methane and hydrogen are used, as substrate material a polycrystalline nickel foil (50 pm) is used, within a temperature range from 450 °C to 750 °C. Thereto a microwave with a power of as much as 1400 W was used to generate a plasma, which attributes to a high energy consumption.
Gopichand Nadamuri et al, in "Remote plasma assisted growth of graphene films", Appl. Phys. Lett., 2010, 96, 154101 use a horizontal oven with a quartz tube (3 cm diameter) which is surrounded by a copper RF-coil on one side. Graphene is grown on a 300 nm nickel film, nickel foil, and nickel single crystal. The RF-coil with 250 W power can induce a plasma 25 cm from the substrate. Graphene was synthesized with methane and hydrogen as feed stock at 650 - 700 °C with a base pressure of 1 mTorr.
Jaeho Kim et al, in "Low-temperature synthesis of large-area graphene-based transparent conductive films using surface wave plasma chemical vapour deposition", Appl. Phys. Lett., 2011, 98, 091502 employ a 3 - 4.5 kW surface wave plasma chemical vapour deposition to grow graphene on a 23 cm x 22 cm copper foil (30 gm) and an aluminium foil (12 pm) at 300 - 400 °C with a gas pressure of 3 - 5 Pa. Methane, argon and hydrogen were used as feed stock. A sheet resistance ranged from 2.2 kQ to 45 <Ω per square with a transmittance of 78% to 94%.
Qingkai Yu et al, in "Control and characterization of individual grains and grain boundaries in graphene grown by chemical vapour deposition." Nature Materials, 2011, 10, pp 443-449, used copper as substrate, and a quartz tube hot wall furnace to synthesis graphene. Single hexagonal graphene crystals were achieved with controllable patterning and high temperature growth under ambient pressure condition at 1050 °C. However, the graphene crystal size was limited to tens of micrometres due to a bad control of the process.
Xuesong Li et al, in "Large-Area Graphene Single Crystals Grown by Low-Pressure Chemical Vapour Deposition of Methane on Copper", J. Am. Chem. Soc., 2011, 133, pp 2816-2819, used a folded copper foil as substrate to grow graphene under very low pressure condition (with a methane flow rate of 0.5 sccm corresponding to partial pressure of 8 mTorr) at 1035 °C with a quartz tube hot wall furnace. The graphene size up to 0.5 mm was achieved within 90 min. However, under the conditions used the results obtained are non-reproducible.
Hong Wang et al, in "Controllable Synthesis of Submillimetre Single-Crystal Monolayer Graphene Domains on Copper Foils by Suppressing Nucleation", J. Am. Chem. Soc., 2012, 134, pp 3627-3630, used a copper foil as substrate to grow sub-millimetre graphene under ambient conditions. A large amount of hydrogen gas (500 sccm) was used to suppress the nucleation of graphene.
However, the graphene obtained had a rectangular shape, and the quality of graphene was bad, it could be damaged easily.
Takayuki Iwasaki et al, in "Long-Range Ordered Single-Crystal Graphene on High-Quality Hetero-epitaxial Ni Thin Films Grown on MgO(lll)", Nano Lett., 2011, 11, pp 79-84, used a Ni thin film to growth large single crystal graphene on MgO (111) single crystal substrate. This method however is unsuitable for mass production.
Libo Gao et al, in "Repeated growth and bubbling transfer of graphene with millimetre-size single-crystal grains using platinum", Nature Communications 2012, 3, 699, grow graphene on a platinum foil substrate in a quartz tube hot furnace at 1000 °C. This method however is unsuitable for mass production.
It is noted that various methods relating to synthesizing other carbon comprising molecules, such as carbon nanotubes, are known. These methods typically are not applicable for obtaining graphene. A drawback of prior art methods is that the quality of the graphene is not very good, e.g. it may contain many dislocations. Further it is difficult to grow a large area of graphene layers, especially of good quality. Typically when obtaining graphene after growth thereof it is cumbersome to separate graphene, such as by removing a supporting layer. It is noted that various techniques, e.g. PECVD, result in poor quality graphene. It is also a drawback that prior art systems are not very costs effective, e.g. as synthesis consumes relatively large amounts of energy, are performed at relative high temperatures (1000 °C or higher), etc. As a consequence also characteristics of a graphene layer are not very good, e.g. in terms of being impermeable to gas and liquid, in terms of homogeneity, in terms of conductivity, etc.
The present invention therefore relates to an improved method of obtaining a graphene layer on a silicon wafer, which solve one or more of the above problems and drawbacks of the prior art, providing reliable results, without jeopardizing functionality and advantages.
SUMMARY OF THE INVENTION
The present invention relates to a method of providing a graphene layer on a silicon wafer, comprising providing a silicon wafer (10), which may be any wafer of any size, such as commercially available silicon wafers. The method is applicable to any size of wafer, e.g. from 4-12 inch, or larger, and in principle to any flat surface as well. On the silicon wafer a dielectric layer (11) is provided. The term "on" may be reflecting that subsequent layers are in direct contact with one and another, or that further intermediate layers are present. Further on the dielectric layer a sacrificial transition metal layer (12) is provided and on the metal layer providing a graphene layer (13) is provided. It is noted that graphene obtained can relate to one mono layer, to a bi-layer, to a tri-layer, and to thicker stacks. In view of graphene formation the graphene layer is provided directly on the metal layer, such that both are in contact with one and another. Then a peroxide (14) is added to the graphene surface during a suitable period, such as of 1-10 min, at a suitable temperature, such as of 10-50 °C, thereby etching the sacrificial metal layer and adhering the graphene layer to the dielectric layer. In the method an etch stop layer, such as the dielectric layer, is preferably present under the metal layer. Surprisingly the graphene layer can be adhered directly to the layer underneath the metal layer, by etching the metal layer away. The etch rate of the metal is typically from 0.05-100 nm/sec, preferably 0.1-50 nm/sec, more preferably 0.2-20 nm/sec, such as 0.5-10 nm/sec. The graphene layer is adhered to the underlying layer typically over a full surface of the underlying layer. The graphene layer is crystalline, typically having domains. A size of the domains is in the order of micrometres in cross-section, such as 1-100 pm. On the graphene layer, further layers may be provided, such as to make a product comprising a graphene layer. The electron mobility of graphene is typically very large, such as larger than 65,000 cm2/Vs. In a preferred embodiment even 100,0 00 cm2/Vs is obtained, e.g. by improving a clean step.
In a second aspect the present invention relates to a product provided by the present method, selected from the group of sensors, such as gas sensors, MEMS, IC's, PV-cells, and solar cells .
Thereby the present invention provides a solution to one or more of the above mentioned problems and drawbacks.
Advantages of the present description are detailed throughout the description.
DETAILED DESCRIPTION OF THE INVENTION
The present invention relates in a first aspect to a method of providing a graphene layer on a silicon wafer.
In an exemplary embodiment the present method may further comprise cleansing the surface with an aqueous solution (15), thereby removing the peroxide, preferably with demi water, preferably with a conductivity of < 1 mS/m, preferably < 100 pS/m, more preferably < 50 pS/m, such as < 10 pS/m. The cleansing step supports the adherence of the graphene layer to the under the metal lying layer, typically being the dielectric layer.
In an exemplary embodiment of the present method the graphene layer covers the wafer for 50-100%, such as covering a full wafer, preferably wherein the graphene layer is transfer free. Surprisingly the graphene layer can be adhered to a large part of the wafer and typically to the full wafer. As such an intact graphene layer can be provided. As there is no need to transfer the graphene layer, from e.g. another location to the wafer, the method may be regarded as providing a transfer free graphene layer.
In an exemplary embodiment of the present method the graphene layer has a thickness of one-fifty atoms, preferably two-forty atoms, more preferably three-twenty atoms, such as four-ten atoms. Each graphene layer may be provided on top of one and another. A few layers of graphene is easily achievable, as well as exactly one layer. When many layers of graphene are provided etching is slightly hampered and therefore less preferred.
In an exemplary embodiment of the present method the graphene layer is continuous and/or crystalline. As such the characteristics of the graphene layer can be controlled well and the applicability of graphene therewith increases.
In an exemplary embodiment of the present method the dielectric layer (11) is selected from SiN, S1O2, and SiC. These dielectric materials can be provided using typical techniques available in the semiconductor industry. It is however preferred to use SiO2-
In an exemplary embodiment of the present method the transi tion metal layer (12) is a period 4 or 5 metal layer, more preferably a Ni, Co, Cu, Nb, Mo, Fe, or To layer, such as Mo, or an alloy thereof. Mo, or alloys is preferred in view of providing good properties for growing graphene and in view of being etched away, while during etching graphene remains intact.
In an exemplary embodiment of the present method the peroxide is selected from hydrogen peroxide, preferably as an aqueous solution, such as a 10-50 vol.% peroxide solution, preferably 20-40 vol. %, such as 25-35 vol.%.
In an exemplary embodiment of the present method the dielectric layer has a thickness of 1-1000 nm, preferably 2-500 nm, more preferably 5-250 nm, such as 20-100 nm.
In an exemplary embodiment of the present method the metal layer has a thickness of 1-500 nm, preferably 5-300 nm, more preferably 10-200 nm, such as 20-100 nm. It is preferred to use a somewhat thicker layer, e.g. of 100 nm, if a lower decomposition temperature, e.g. 650 °C, is used. Likewise it is preferred to use a somewhat thinner layer, e.g. of 30 nm, if a higher decomposition temperature, e.g. 1000 °C, is used.
In an exemplary embodiment of the present method the dielectric layer is provided by CVD, ALD, oxidizing, nitride formation, or carbide formation, spinning, or thermally growing.
In an exemplary embodiment of the present method the transition metal layer is provided by sputtering, PEVD, CVD, or ALD.
In an exemplary embodiment of the present method the graphene layer is grown by CVD.
In an exemplary embodiment of the present method the peroxide is provided as a puddle, such as in a relatively small pool of liquid.
In an exemplary embodiment of the present method demi water is provided to attach the graphene to the dielectric layer.
In an exemplary embodiment of the present method the wafer is dried. Therewith the wafer can be used for further processing, for a final product, etc.
In an exemplary embodiment the present method comprises providing a carbon source, a hydrogen source, and an inert carrier gas for growing graphene, introducing the carbon source into a conditioned environment at a pressure of less than 1000 Torr, such as less than 500 Torr, and at a flow rate of less than 500 sccm, such as less than 200 sccm, such as 1-10 sccm, decomposing the carbon source into at least carbon, synthesizing graphene from carbon upon activation by the metal layer during a predetermined period thereby forming at least one layer of graphene preferably conformally on the metal surface. Therewith a controlled process for growing graphene is provided.
Typically decomposition of the carbon source takes place at certain process conditions, e.g. temperature, pressure, time, power, etc., whereas synthesis of graphene takes place at other process conditions, e.g. at a lower temperature. As mentioned above synthesis is typically supported by presence of a catalytic material, such as a metal.
It has been found experimentally that graphene can be formed as a conformal layer, i.e. forming a more or less uniform layer with respect to thickness. It is noted that during formation of a crystallographic material, such as graphene, a growth process may involve defects, dislocations and topographical effects, such as a slope. In other words, on a microscopic scale some non-uniformity may exist.
As such decomposition and synthesizing preferably take place in a well-conditioned environment, the environment being adaptable in view of required process conditions, and the environment being extremely clean. Even more preferable the environment can be used for all or many of the present (optional) method steps. The environment preferably is a vacuum chamber, such as a CVD chamber, a PVD chamber, and combinations thereof.
It is noted that hydrogen can be added as active gas precursor in order to improve deposition of carbon. In general cooling between a step of providing carbon and a step of synthesizing graphene takes place at a certain rate, such as 10-50 °C/minute. The plasma can be used to further reduce the synthesis temperature to 500-700 °C. Thereby a well controllable graphene layer is provided of excellent quality, e.g. in terms of integrity, dislocation density, electrical properties, gas and liquid (im)permeability, etc. Further the layer can be removed well from the support.
Typically decomposition takes place during a time of 1-600 seconds, such as 5-200 seconds, e.g. 10-50 seconds. Typically synthesis of graphene takes place during a time of 1-500 minutes, such as 2-200 minutes, e.g. 10-30 minutes. Such is considered rather quick and efficient.
In an exemplary embodiment of the present method the carbon source comprises pure C12 or comprises pure C13. Such may be advantages in view of characteristics of a graphene obtained by the present method.
In an exemplary embodiment of the present method the carbon source is selected from benzene, naphthalene, toluene, a hydrocarbon preferably having one or more double bounds, such as Ci-is alkene, such as acetylene, and Ci-is alkane, methane, ethane, ethylene, propane, and combinations thereof. The carbon source may provided at a partial pressure of 10"6 - 2*10+4 Pa, such as 53-108 * 102 Pa (mbar) at ambient temperature. It is preferred to use benzene, as less energy is needed to decompose benzene. Having an optional functional group present may improve formation of graphene.
In an exemplary embodiment of the present method the carrier gas is an inert gas, such as a noble gas, such as He, Ne, Ar, Kr, preferably Ar.
In an exemplary embodiment of the present method the hydrogen source is H2, a hydrogen flow rate is from 0.5-1500 seem, such as 1-1000 seem, e.g. 5-500 seem, or 10-20 seem.
In an exemplary embodiment of the present method prior to providing the graphene layer a surface roughness and/or impurity level of the metal layer surface is reduced. Therewith the quality of the graphene is improved, larger areas of graphene can be formed, and the crystallinity is improved. In principle a transition metal may provide the present advantages. However, it may be important to clean a surface of the metal and reduce the surface roughness thereof and/or reduce an impurity level thereof. Surface roughness, often shortened to roughness, is a measure of texture of a surface. It is typically quantified by vertical deviations of a real surface from an ideal (perfect flat) form. If these deviations are large, the surface is rough; if they are small the surface is smooth. Surface roughness can be determined with a profilometer. The present surface is typically flat over a range of about 100 by 100 nm2. With the present method an especially with Mo a sufficient flatness can be obtained, such as by chemical (pre-) etching of a surface. The surface may be treated with a diluted carboxylic acid, the carboxylic acid being free of elements other than C, H and 0, such as formic acid, acetic acid, propionic acid, butyric acid, valeric acid, oxalic acid, and benzoic acid. The pH may be from 1.5-6, preferably from 2-5, more preferably from 2.5-3, that is relatively acidic. Thereby a pristine surface, such as of Mo, is obtained. During treating the surface temperature may be increased, preferably to a temperature of 50-150 °C, more preferably to a temperature of 60-90 °C, such as from 70-80 °C. Thereby a pristine surface, such as of Mo, is obtained. The surface may be treated during a period of time from 5 minutes to 4 hours, preferably from 10 minutes -1 hour, such as from 15 minutes-30 minutes. Such is found sufficient. A solvent for the acid may be water or an alcohol being free of elements other than C, H and 0, such as methanol and ethanol. The surface may be annealed at a temperature from (Tmeit-200) °C - (Tmeit-1) °C, preferably from (Tmeit-70) °C - (Tmeit-5) °C, more preferably from (Tmeit-50) °C - (Traeit-10) °C, such as from (Tmeit-20) °C - (Tmeit-15) °C. Preferably the surface is not heated too much. It has been found that a temperature below the melt temperature and high enough provides the best result e.g. in terms of surface roughness and impurity levels. The surface may be annealed during a period of time from 5 minutes to 4 hours, preferably from 10 minutes - 2 hours, such as from 15 minutes-60 minutes.
In an exemplary embodiment of the present method decomposing and synthesizing is performed during a period of time from 1 minutes to 24 hours, preferably 2 min-12 hours, more preferably 5 min.- 1 hour, such as 10-30 min.
In an exemplary embodiment of the present method decomposing takes place by increasing the temperature in the conditioned environment, preferably to 400-1600 °C, more preferably to 500-1400 °C, such as to 700-1200 °C.
In an exemplary embodiment of the present method a heating rate is from 10-200 °C/min., preferably 20-100 °C/min., more preferably 30-70 °C/min., such as 40-60 °C/min.
In an exemplary embodiment of the present method a cooling rate is from 10-200 °C/min., preferably 20-100 °C/min., more preferably 30-70 °C/min., such as 40-60 °C/min.
In an exemplary embodiment of the present method the graphene consists of pure C12 or consists of pure C13, such as by providing pure precursors.
In an exemplary embodiment of the present method the at least one layer has a defect density of less than 10-1 defects/cm2, preferably less than 10-3 defects/cm2, more prefer-ably less than 5*10-4 defects/cm2, even more preferably less than 10-4 defect/cm2 .
In an exemplary embodiment of the present method domain sizes are from 50 nm diameter and larger, preferably > 100 nm, more preferably > 500 nm, even more preferably > 1 pm, such as > 10 pm.
In an exemplary embodiment of the present method the at least one graphene layer is at least 99.5 % pure, preferably at least 99.9 % pure, and in case of C12 more preferably at least 99.99 % pure, such as 99.999% pure.
The one or more of the above examples and embodiments may be combined, falling within the scope of the invention.
EXAMPLES
The below relates to examples, which are not limiting in nature .
MATERIALS AND METHODS
Wafer-Scale Transfer Free-Process of Graphene on Mo
For the fabrication process, the starting material is a Si wafer satisfying the following features: • CZ Si (p-type) wafer purchased from OKMETIC • Orientation: 1-0-0, 0° of orientation • Resistivity: 2-5 Gem • Thickness: 525 ± 15 pm • Diameter: 100.0 ± 0.2 mm
The Si wafer is then covered by 90 nm of thermally grown S1O2 in a tube furnace provided by Tempress Group.
Afterwards, a layer of Mo, 50 nm thick, is sputtered from a pure (99.95%) Mo target by using a Sigma machine sputtering. The metal deposition is performed under vacuum by setting the pressure, temperature and target power at 10-7 Torr, 50°C and 1 kW, respectively.
The graphene growth by Chemical Vapor Deposition takes place in an AIXTRON BlackMagic Pro reactor at 935 °C for 20 min, using Ar/H2/CH4 as feedstock at a pressure of 25 mbar and a flow rate of CH4 equal to 25sccm. The cooling rate after the graphene growth is quoted as 30 °C/min.
In order to etch the Mo underneath graphene, the entire wafer is thoroughly covered by a puddle of hydrogen peroxide (H2O2) at 31% (vol.) purchased from D-BASF.
The stack formed by Si+ Si02+Mo+graphene and H2O2 is then left for 5 minutes.
Finally, de-ionised water having conductivity of about 5 pS/cm is poured out on the H2O2 puddle aimed to splash H2O2 so that the graphene foil lands on S1O2.
This invention clearly allows to prove that graphene on large area can be obtained. Also it is also clearly demonstrated that the transfer process for CVD grown graphene can be overcome, also achieving much more better results with respect to what it is usually reported when using a graphene transfer step. It is also proved that graphene material remains unchanged, having the same properties on the S1O2 layer compared to what it had as grown on the Mo layer. The present method is extremely simple, fast, reliable and environmentally friendly and represents a crucial improvement with respect to the state of the art.
It is considered totally not obvious that an entire layer of graphene would actually land on the entire wafer after the Mo layer removal. After the Mo layer etching underneath graphene, by H2O2, the puddle of H2O2 is removed by de-ionised water and the entire film of graphene completely lays down on the Si wafer covered with SiO2- On the opposite, what could be expected is that the foil of graphene could be etched away while etching Mo underneath graphene itself. Strictly joined to this phenomenon, the other no-obvious feature is that graphene remains completely undamaged and continuous while landing on the wafer without Mo. In fact, due to the nanometric thickness of the foil and the area of hundreds cm2, it could be expected that the film could be broken on the wafer.
In an example a relatively low temperature of 300 °C -1100 °C, such as 650 °C, is used to decompose the carbon source. In a further example a 100 mm or 200 mm (diameter) (Si-)wafer support is used, having a thickness of 150-1500 pm, preferably 250-750 pm. It has been found that graphene grows uniformly and covers structures well through conformal growth. It has been found that also larger layers can be made, such as with a 300 mm Si-wafer, the layers typically being continuous. In principle size of the graphene layer of the invention is limited by the size of a chamber. Typical dimensions of the present graphene layers are 1-300 mm long, e.g. 2-150 mm, such as 5-50 mm, 1-300 mm wide, e.g. 2-150 mm, such as 5-50 mm, and 1-10 layers thick, e.g. 2-5 layers. The number of layers depends amongst others on a time of synthesizing and a thickness of the sorbent. More sorbent (volume) provides an opportunity of growing thicker graphene. Typically the layer obtained is of good quality and homogeneous. Such relatively large layers can be applied as such in e.g. a device, or in parts thereof, e.g. a repetition of structures may be grown.
By introducing the carbon source such as benzene or methane-gas into a sputter chamber as feed stock there is no need for breaking vacuum. Further there is no need to provide a solvent. Thus a physical vapour deposition system can be combined with a chemical vapour deposition to achieve unique results. By directly synthesizing graphene after a metal, such as Mo, sputter deposition without breaking the vacuum the quality of graphene is improved significantly, the contamination is reduced, and the efficiency of synthesis is improved. A further advantage is that the present sputter deposition produces a uniform Mo film is obtained . A heating stage was used to raise the temperature to about 650 °C. A benzene gas was introduced into chamber during about 5 hours. In a further example the benzene was introduced during 10 minutes. Typically time, flow and temperature are adjusted such that sufficient carbon is being formed for a required layer. Such can be determined by standard tests.
Addition of e.g. benzene may be controlled with high accuracy by using a needle valve to adjust the gas flow rate precisely. A needle valve typically has a relatively small orifice with a long, tapered seat, and a needle-shaped plunger, on the end of a screw, which exactly fits this seat. As the screw may be turned and the plunger retracted, flow between the seat and the plunger is possible; however, until the plunger is completely retracted the fluid flow is significantly impeded. Since it takes many turns of the fine-threaded screw to retract the plunger, precise regulation of the flow rate is possible.
The invention is further detailed by the accompanying figures, which are exemplary and explanatory of nature and are not limiting the scope of the invention. To the person skilled in the art it may be clear that many variants, being obvious or not, may be conceivable falling within the scope of protection, defined by the present claims.
FIGURES
The invention although described in detailed explanatory context may be best understood in conjunction with the accompanying figures .
Figs, la-e show schematics of the present method.
Figures 2a-b show results of Raman measurements.
Raman spectra.
Figs. 3a-b show AFM recordings.
Figs. 4a-b show schematics of a perovskite solar cell.
DETAILED DESCRIPTION OF THE FIGURES
Figure la schematically shows a silicon wafer 10. On the silicon wafer 10 a dielectric layer 11, such as a SiO2 layer, is provided. On the dielectric layer 11 a transition metal layer 12, such as Mo, is provided. On the metal layer 12 a layer of graphene 13 is grown. In figure 2b on the graphene layer a peroxide 14 is provided. The peroxide layer etches away the metal layer 12, as is shown in figure lc. Then on the peroxide layer water 15 is provided, thereby further adhering the graphene layer to the dielectric layer (fig. Id). In figure le the water and peroxide are removed, leaving a silicon wafer, a dielectric layer and a graphene layer.
Figures 2a-b show results of Raman measurements. In figure 2a a final wafer is shown with a graphene layer on top. The dots illustrate where Raman measurements were performed. Figure 2b shows the results of the Raman measurements, with on the vertical axis the intensity, and on the horizontal axis the Raman shift in cm*1. For all locations on the wafer a fully consistent result is obtained, indicating that graphene is formed. The peaks are indicate for the sp2 carbon-carbon bonds. It can also be observed that the graphene is relatively pure.
In fig. 3a an AFM image is formed. As can be seen domains of graphene are formed, having sizes in the order of micrometres.
The height of the graphene varies somewhat, partly due to a number of graphene layers being formed locally, as well as due to surface roughness of the underlying dielectric layer and possible incomplete etching leaving some metal residues locally. Fig. 3b shows a similar image at a different location.
For PV-cells two stacks of layers are considered specifically, relating to a so-called inverted and standard perovskite devices (see fig. 4a-b). The substrate 10 therein is typically glass or silicon. In the in-verted device the anode 21 is an optically transparent electrode, such as FTO or the present graphene, in contact with the substrate. In contact with the anode and the perovskite 31 is the present hole transport layer 41. A metal layer 22 (such as Al or graphene) acts as second electrode. Typically an intermediate layer 51, such as a fullerene, is present between the cathode and perovskite. The perovskite may be CH3NH3Pbl3, CH3NH3PbBr3, a mixed halide, such as CH3NH3PbXiX2, (Xi and X2 being independently selected from halides, wherein three halides are present) , such as CH3NH3Pbl3-xClx, and an inorganic perovskite, such as CsSnX3, or mixed inorganic perovskite, such as CsSnXi3-xX2x (X, Xi and X2 being independently selected from halides), such as iodides. In the standard perovskite device the cathode 21, such as FTO is on the substrate 10, such as glass. A metal layer (such as Au) acts as second electrode 22. In contact with the cathode and perovskite layer 31 is an intermediate layer 51, such as TiO2. In contact with the anode 22 and the perovskite 31 is the present hole transport layer 41. In addition a passivation layer may be provided, and further intermediate layers may be present.
The figures have been detailed throughout the description.
For the purpose of searching the following section is added, of which the last section is a translation into Dutch. 1. Method of providing a graphene layer on a silicon wafer, comprising providing a silicon wafer (10), on the silicon wafer providing a dielectric layer (11), on the dielectric layer providing a sacrificial transition metal layer (12), on the metal layer providing a graphene layer (13) having a surface, adding a peroxide (14) to the graphene surface during a sufficient period, such as of 1-10 min, at a suitable temperature, such as of 10-50 °C, thereby etching the sacrificial metal layer and adhering the graphene layer to the dielectric layer. 2. Method according to embodiment 1, further comprising cleansing the surface with an aqueous solution (15), preferably with demi water. 3. Method according to embodiment 1 or 2, wherein the graphene layer covers the wafer for 50-100%, such as covering a full wafer, preferably wherein the graphene layer is transfer free. 4. Method according to any of embodiments 1-3, wherein the graphene layer has a thickness of one-fifty atoms, such as two-four atoms . 5. Method according to any of embodiments 1-4, wherein the graphene layer is continuous and/or crystalline. 6. Method according to any of embodiments 1-5, wherein the dielectric layer (11) is selected from SiN, SiO2, and SiC. 7. Method according to any of embodiments 1-6, wherein the transition metal layer (12) is a period 4 or 5 metal layer, more preferably a Ni, Co, Cu, Nb, Mo, Fe, or Tc layer, such as Mo, or an alloy thereof. 8. Method according to any of embodiments 1-7, wherein the peroxide is selected from hydrogen peroxide, preferably as an aqueous solution, such as a 10-50 vol. % peroxide solution, preferably 20-40 vol. %, such as 25-35 vol. %. 9. Method according to any of embodiments 1-8, wherein at least one of the dielectric layer has a thickness of 1-1000 nm, the metal layer has a thickness of 1-500 nm, the metal etch rate is 0.05-100 nm/sec, the dielectric layer is provided by CVD, ALD, oxidizing, nitride formation, or carbide formation, spinning, or thermally growing, the transition metal layer is provided by sputtering, PEVD, CVD, or ALD, the graphene layer is grown by CVD, the peroxide is provided as a puddle, demi water is provided to attach the graphene to the dielectric layer, and the wafer is dried. 10. Method according to any of embodiments 1-9, wherein at least one of providing a carbon source, a hydrogen source, and an inert carrier gas, for growing graphene, introducing the carbon source into a conditioned environment at a pressure of less than 1000 Torr and at a flow rate of less than 500 seem, decomposing the carbon source into at least carbon, synthesizing graphene from carbon upon activation by the metal layer during a predetermined period thereby forming at least one layer of graphene preferably conformally on the metal surface, the carbon source comprises pure C12 or comprises pure C13, the carbon source is selected from benzene, naphthalene, toluene, a hydrocarbon preferably having one or more double bounds, such as Ci-i8 alkene, acetylene, and Ci-ig alkane, methane, ethane, ethylene, propane, and combinations thereof, the carrier gas is an inert gas, such as a noble gas, such as He, Ne, Ar, Kr, preferably Ar, the hydrogen source is H2, prior to providing the graphene layer a surface roughness and/or impurity level of the metal layer surface is reduced, a hydrogen flow rate is from 0.5-1500 seem, decomposing and synthesizing is performed during a period of time from 1 minutes to 24 hours, decomposing takes place by increasing the temperature in the conditioned environment, preferably to 400-1600 °C, a heating rate is from 10-200 °C/min., and a cooling rate is from 10-200 °C/min. 11. Method according to any of embodiments 1-10, wherein the graphene consists of pure C12 or consists of pure C13, and/or wherein the at least one layer has a defect density of less than 10'1 defects/cm2, preferably less than 10-3 defects/cm2, more preferably less than 5*10-4 defects/cm2, even more preferably less than 10-4 defect/cm2, wherein domain sizes are from 50 nm diameter and larger, and/or wherein the at least one graphene layer is at least 99.5 % pure, preferably at least 99.9 % pure, and in case of C12 more preferably at least 99.99 % pure, such as 99.999% pure. 12. Product provided by a method according to any of embodiments 1-11, selected from the group of sensors, such as gas sensors, MEMS, IC's, PV-cells, and solar cells.

Claims (12)

1. Werkwijze voor het verschaffen van een grafeenlaag op een si-liciumwafer, omvattende het verschaffen van een siliciumwafer (10), op de siliciumwafel het verschaffen van een diëlektrische laag (11), op de diëlektrische laag het verschaffen van een opoffe-rings-overgangsmetaallaag (12), op de metaallaag het verschaffen van een grafeenlaag (13) met een oppervlak, het toevoegen van een peroxide (14) aan het grafeenopper-vlak gedurende een geschikte periode, zoals van 1-10 minuten, bij een geschikte temperatuur, zoals van 10-50 °C, waardoor de opofferende metaallaag wordt geëtst en de grafeenlaag aan de di-elektrische laag wordt gehecht.A method for providing a graphene layer on a silicon wafer, comprising providing a silicon wafer (10), providing a dielectric layer (11) on the silicon wafer, and providing a sacrifice on the dielectric layer transition metal layer (12), on the metal layer providing a graphene layer (13) with a surface, adding a peroxide (14) to the graphene surface for a suitable period, such as from 1-10 minutes, at a suitable temperature, such as from 10-50 ° C, whereby the sacrificial metal layer is etched and the graphene layer is adhered to the dielectric layer. 2. Werkwijze volgens conclusie 1, verder omvattende het reinigen van het oppervlak met een waterige oplossing (15), bij voorkeur met demiwater.Method according to claim 1, further comprising cleaning the surface with an aqueous solution (15), preferably with demineralised water. 3. Werkwijze volgens conclusie 1 of 2, waarbij de grafeenlaag de wafer voor 50-100% afdekt, zoals het volledig bedekken van een wafer, bij voorkeur waarbij de grafeenlaag overdrachtsvrij is.Method according to claim 1 or 2, wherein the graphene layer covers the wafer for 50-100%, such as completely covering a wafer, preferably wherein the graphene layer is transfer-free. 4. Werkwijze volgens een van de conclusies 1-3, waarbij de grafeenlaag een dikte heeft van één-vijftig atomen, zoals twee-vier atomen.The method of any one of claims 1-3, wherein the graphene layer has a thickness of one to fifty atoms, such as two to four atoms. 5. Werkwijze volgens een der conclusies 1-4, waarbij de grafeenlaag continu en/of kristallijn is.The method according to any of claims 1-4, wherein the graphene layer is continuous and / or crystalline. 6. Werkwijze volgens een van de conclusies 1-5, waarbij de dëlektrische laag (11) wordt gekozen uit SiN, SiC>2, en SiC.The method of any one of claims 1-5, wherein the dielectric layer (11) is selected from SiN, SiC> 2, and SiC. 7. Werkwijze volgens een der conclusies 1-6, waarbij de over-gangsmetaallaag (12) een metaallaag uit de periode 4 of 5 is, liever een Ni, Co, Cu, Nb, Mo, Fe of Tc laag, zoals Mo, of een legering daarvan.A method according to any one of claims 1-6, wherein the transition metal layer (12) is a metal layer from the period 4 or 5, more preferably a Ni, Co, Cu, Nb, Mo, Fe or Tc layer, such as Mo, or an alloy thereof. 8. Werkwijze volgens een van de conclusies 1-7, waarbij het peroxide wordt gekozen uit waterstofperoxide, bij voorkeur als een waterige oplossing, zoals een 10-50 vol.% peroxideoplossing, bij voorkeur 20-40 vol. %, zoals 25-35 vol.A method according to any of claims 1-7, wherein the peroxide is selected from hydrogen peroxide, preferably as an aqueous solution, such as a 10-50 volume% peroxide solution, preferably 20-40 volume. %, such as 25-35 vol. %. 9. Werkwijze volgens een van de conclusies 1-8, waarbij ten minste één van de diëlektrische laag een dikte van 1-1000 nm heeft, de metaallaag een dikte van 1-500 nm heeft, de metaaletsnelheid 0,05-100 nm/sec is, de diëlektrische laag wordt verschaft door CVD, ALD, oxidatie, nitride vorming, of carbidevorming, spinnen, of thermisch groeien, de overgangsmetaallaag wordt verschaft door sputteren, PEVD, CVD of ALD, de grafeenlaag wordt gegroeid door CVD, het peroxide wordt verschaft als een plas, demi-water wordt verschaft om het grafeen aan de dielektrische laag te hechten, en de wafer wordt gedroogd.%. The method of any one of claims 1-8, wherein at least one of the dielectric layer has a thickness of 1-1000 nm, the metal layer has a thickness of 1-500 nm, the metal etching speed 0.05-100 nm / sec is, the dielectric layer is provided by CVD, ALD, oxidation, nitride formation, or carbide formation, spinning, or thermal growth, the transition metal layer is provided by sputtering, PEVD, CVD or ALD, the graphene layer is grown by CVD, the peroxide is provided if a pool of demineralised water is provided to adhere the graphene to the dielectric layer, and the wafer is dried. 10. Werkwijze volgens een van de conclusies 1-9, waarbij ten minste één van voor het groeien van grafeen, het verschaffen van een koolstof-bron, een waterstofbron, en een inert draaggas, het introduceren van de koolstofbron in een geconditioneerde omgeving bij een druk van minder dan 1000 Torr en bij een stroomsnelheid van minder dan 500 sccm, het ontbinden van de koolstofbron in ten minste koolstof, het synthetiseren van grafeen uit koolstof door activering door de metaallaag gedurende een vooraf bepaalde periode waardoor ten minste één laag van een grafeen wordt gevormd, bij voorkeur conform op het metaaloppervlak, de koolstofbron zuiver C12 of zuiver C13 omvat, de koolstofbron wordt gekozen uit benzeen, naftaleen, tolueen, een koolwaterstof die bij voorkeur één of meer dubbele bindingen heeft, zoals Ci-is alkeen, en Ci-is alkaan, methaan, ethaan, ethy-leen, propaan en combinaties daarvan, het dragergas een inert gas is, zoals een edelgas, zoals He, Ne, Ar, Kr, bij voorkeur Ar, de waterstofbron H2 is, voorafgaand aan het verschaffen van de grafeenlaag een opper-vlakteruwheid en/of onzuiverheidsniveau van het oppervlak van de metaallaag verminderd wordt, een waterstofstroomsnelheid van 0,5-1500 sccm is, ontbinden en synthetiseren wordt uitgevoerd gedurende een tijdsperiode van 1 minuut tot 24 uur, ontbinding plaats vindt door de temperatuur in de geconditioneerde omgeving te verhogen, bij voorkeur tot 400-1600 °C, de verwarmingssnelheid van 10-200 °C/min. is, en een koelsnelheid van 10-200 °C/min. is.The method of any one of claims 1-9, wherein at least one of graphene-growing, providing a carbon source, a hydrogen source, and an inert carrier gas, introducing the carbon source into a conditioned environment at a pressure of less than 1000 Torr and at a flow rate of less than 500 sccm, decomposition of the carbon source into at least carbon, synthesizing graphene from carbon by activation through the metal layer for a predetermined period leaving at least one layer of a graphene is formed, preferably conforming to the metal surface, the carbon source comprises pure C12 or pure C13, the carbon source is selected from benzene, naphthalene, toluene, a hydrocarbon which preferably has one or more double bonds, such as C 1-6 alkene, and C 1-6 alkane, methane, ethane, ethylene, propane and combinations thereof, the carrier gas is an inert gas, such as a noble gas, such as He, Ne, Ar, Kr, preferably Ar, the hydrogen source is H2, prior to providing the graphene layer, a surface roughness and / or impurity level of the surface of the metal layer is reduced, a hydrogen flow rate of 0.5-1500 sccm, decomposition and synthesis are carried out over a period of 1 minute to 24 hours, decomposition takes place by raising the temperature in the conditioned environment, preferably to 400-1600 ° C, the heating rate of 10-200 ° C / min. and a cooling rate of 10-200 ° C / min. is. 11. Werkwijze volgens een van de conclusies 1-10, waarbij het grafteen uit zuivere C12 bestaat of uit zuivere C13 bestaat, en/of waarbij de ten minste ene laag een defectdichtheid heeft van minder dan 10_1 defecten/cm2, bij voorkeur minder dan 10-3 defec-ten/cm2, liever minder dan 5*10-4 defecten/cm2, nog liever minder dan 10-4 defect/cm2, waarbij domeingroottes 50 nm diameter en groter zijn, en/of waarbij de ten minste ene grafeenlaag ten minste 99,5% zuiver is, bij voorkeur ten minste 99,9% zuiver, en in het geval van C12 liever ten minste 99,99% zuiver, zoals 99,999% zuiver.A method according to any of claims 1-10, wherein the graphene consists of pure C12 or consists of pure C13, and / or wherein the at least one layer has a defect density of less than 10-1 defects / cm 2, preferably less than 10 -3 defects / cm2, more preferably less than 5 * 10-4 defects / cm2, even more preferably less than 10-4 defects / cm2, in which domain sizes are 50 nm in diameter and larger, and / or in which the at least one graphene layer is at least is at least 99.5% pure, preferably at least 99.9% pure, and in the case of C12, more preferably at least 99.99% pure, such as 99.999% pure. 12. Product verschaft door een werkwijze volgens één der conclusies 1-11, gekozen uit de groep van sensoren, zoals gassensoren, MEMS, IC's, PV-cellen, en zonnecellen.A product provided by a method according to any of claims 1 to 11 selected from the group of sensors, such as gas sensors, MEMS, ICs, PV cells, and solar cells.
NL2020111A 2017-12-18 2017-12-18 Full wafer transfer-free graphene NL2020111B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
NL2020111A NL2020111B1 (en) 2017-12-18 2017-12-18 Full wafer transfer-free graphene
PCT/NL2018/050838 WO2019125140A1 (en) 2017-12-18 2018-12-13 Full wafer transfer-free graphene

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
NL2020111A NL2020111B1 (en) 2017-12-18 2017-12-18 Full wafer transfer-free graphene

Publications (1)

Publication Number Publication Date
NL2020111B1 true NL2020111B1 (en) 2019-06-25

Family

ID=60957416

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2020111A NL2020111B1 (en) 2017-12-18 2017-12-18 Full wafer transfer-free graphene

Country Status (2)

Country Link
NL (1) NL2020111B1 (en)
WO (1) WO2019125140A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115161775A (en) * 2022-07-01 2022-10-11 常州第六元素半导体有限公司 Transfer method of graphene film

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170032962A1 (en) * 2015-07-27 2017-02-02 Graphenea, S.A. Equipment and method to automatically transfer a graphene monolayer to a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170032962A1 (en) * 2015-07-27 2017-02-02 Graphenea, S.A. Equipment and method to automatically transfer a graphene monolayer to a substrate

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
NANDAMURI GOPICHAND ET AL: "Remote plasma assisted growth of graphene films", APPLIED PHYSICS LETTERS, A I P PUBLISHING LLC, US, vol. 96, no. 15, 13 April 2010 (2010-04-13), pages 154101 - 154101, XP012130850, ISSN: 0003-6951, DOI: 10.1063/1.3387812 *
VOLLEBREGT S ET AL: "A transfer-free wafer-scale CVD graphene fabrication process for MEMS/NEMS sensors", 2016 IEEE 29TH INTERNATIONAL CONFERENCE ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS), IEEE, 24 January 2016 (2016-01-24), pages 17 - 20, XP032874610, DOI: 10.1109/MEMSYS.2016.7421546 *
VOLLEBREGT S ET AL: "Suspended graphene beams with tunable gap for squeeze-film pressure sensing", 2017 19TH INTERNATIONAL CONFERENCE ON SOLID-STATE SENSORS, ACTUATORS AND MICROSYSTEMS (TRANSDUCERS), IEEE, 18 June 2017 (2017-06-18), pages 770 - 773, XP033130869, DOI: 10.1109/TRANSDUCERS.2017.7994162 *

Also Published As

Publication number Publication date
WO2019125140A1 (en) 2019-06-27

Similar Documents

Publication Publication Date Title
EP2850032B1 (en) Methods of growing uniform, large-scale, multilayer graphene films
KR102109380B1 (en) Method of manufacturing a graphene monolayer on insulating substrates
US10213985B2 (en) Method of manufacturing deformation-capable graphene sheet, deformation-capable graphene sheet, and device using the same
KR100973697B1 (en) Aa stacked graphene-diamond hybrid material by high temperature treatment of diamond and the fabrication method thereof
JP2009107921A (en) Graphene sheet and method of producing the same
CN103643288B (en) A kind of preparation method of high-quality large-size monocrystal graphene
TWI526559B (en) Process for forming carbon film or inorganic material film on substrate by physical vapor deposition
Huet et al. Role of the Cu substrate in the growth of ultra-flat crack-free highly-crystalline single-layer graphene
WO2014190352A1 (en) Growth of semiconductors on hetero-substrates using graphene as an interfacial layer
Huang et al. Substrate engineering for CVD growth of single crystal graphene
Bo et al. Large-grain polycrystalline silicon films with low intragranular defect density by low-temperature solid-phase crystallization without underlying oxide
JP2013067549A (en) Method for forming thin film
Guo et al. Rapid chemical vapor deposition of graphene on liquid copper
KR101692514B1 (en) Formation method of large area, single crystal, single layered hexagonal boron nitride thin film on a substrate and hexagonal boron nitride thin film laminate thereby
CN109205599B (en) Method for preparing graphene single crystal wafer at low temperature
TWI736556B (en) Epitaxial growth of defect-free, wafer-scale single-layer graphene on thin films of cobalt
CN113972299B (en) In SiO 2 Preparation method for growing germanium sulfide monocrystal film on substrate
NL2020111B1 (en) Full wafer transfer-free graphene
Hu et al. Wafer-scale epitaxial single-crystalline Ni (111) films on sapphires for graphene growth
Xin et al. Grain Size Engineering of CVD‐Grown Large‐Area Graphene Films
CN107244666B (en) Method for growing large-domain graphene by taking hexagonal boron nitride as point seed crystal
CN108396377B (en) Preparation method of high-quality single-layer polycrystalline graphene film
Lee et al. Dual graphene films growth process based on plasma-assisted chemical vapor deposition
KR101308120B1 (en) Method for manufacturing graphene with controlling a direction of growth
JP5390482B2 (en) Method for producing graphene and graphite thin film, and method for producing graphene and graphite thin film substrate

Legal Events

Date Code Title Description
MM Lapsed because of non-payment of the annual fee

Effective date: 20210101