NL2008964A - Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method. - Google Patents

Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method. Download PDF

Info

Publication number
NL2008964A
NL2008964A NL2008964A NL2008964A NL2008964A NL 2008964 A NL2008964 A NL 2008964A NL 2008964 A NL2008964 A NL 2008964A NL 2008964 A NL2008964 A NL 2008964A NL 2008964 A NL2008964 A NL 2008964A
Authority
NL
Netherlands
Prior art keywords
fuel
radiation
nozzle
contamination
lithographic apparatus
Prior art date
Application number
NL2008964A
Other languages
Dutch (nl)
Inventor
Wilbert Mestrom
Gerardus Swinkels
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Priority to NL2008964A priority Critical patent/NL2008964A/en
Publication of NL2008964A publication Critical patent/NL2008964A/en

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

FUEL SYSTEM FOR LITHOGRAPHIC APPARATUS, EUV SOURCE, LITHOGRAPHIC APPARATUS AND FUEL FILTERING METHOD
Field
[0001] The present invention relates to a lithographic apparatus and a method for manufacturing a device. The present invention also relates more generally to an arrangement for generating a stream of fluid, and to a method of controlling contamination within such an arrangement.
Background
[0002] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned.
[0003] Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured.
A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1):
Figure NL2008964AD00021
(1) where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection system used to print the pattern, kl is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NA or by decreasing the value of kl.
[0004] In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source.
EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
[0005] EUV radiation may be produced using a plasma. A radiation system for producing EUV radiation may include a laser for exciting a fuel to provide the plasma, and a source collector apparatus for containing the plasma. The plasma may be created, for example, by directing a laser beam at a fuel, such as particles of a suitable material (e.g. tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector. The radiation collector may be a mirrored normal incidence radiation collector, which receives the radiation and focuses the radiation into a beam. The source collector apparatus may include an enclosing structure or chamber arranged to provide a vacuum environment to support the plasma. Such a radiation system is typically termed a laser produced plasma (LPP) source.
[0006] A proposed LPP radiation source generates a continuous stream of fuel droplets. The radiation source comprises a nozzle for directing fuel droplets toward a plasma formation location. The droplets need to be directed to the plasma formation location with a high degree of accuracy in order to ensure that a laser beam may be directed toward and into contact with the droplets. In order to achieve this, fuel should pass through the nozzle without encountering any unexpected or unintentional obstructions or restrictions. Such obstructions or restrictions may result from contamination in the fuel being deposited on an internal surface of the nozzle. The contamination can result in a stream of droplets directed by the nozzle not having one or more required properties, for example a desired trajectory or a desired droplet size, shape or velocity. As a result, this can lead to the radiation source as a whole not functioning as intended, for example not being able to generate radiation, or not being able to generate radiation of the required intensity or for a required duration.
[0007] Although problems have been described in relation to nozzles used in LPP radiation sources, the same or similar problems may be encountered in conjunction with nozzles used in other fluid (e.g., liquid) stream generators (droplet or continuous), for example nozzles used in ink-jet printing or the like. Also, the problems are not restricted to a stream comprising droplets - the same or similar problems may be encountered when a continuous stream is to be generated.
SUMMARY
[0008] The invention in a first aspect provides a fuel supply for a radiation source comprising: a reservoir configured to retain a volume of fuel; a main nozzle, in fluid connection with the reservoir, and configured to direct a stream of fuel along a trajectory towards a plasma formation location; and a fuel contamination control arrangement operable to separate contamination particles from said fuel, the contamination control arrangement comprising at least one acoustic filter.
[0009] The invention in a further aspect provides a method of controlling contamination in a fuel supply for a radiation source comprising: generating an acoustic standing wave in a portion of the fuel comprised in said fuel supply, such that contamination particles in said portion of the fuel align with maxima and/or minima of said standing wave; and directing said aligned contamination particles away from a main outlet nozzle of said fuel supply.
[0010] Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. Tt is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.
BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES
[0011] The accompanying drawings, which are incorporated herein and form part of the specification, illustrate the present invention and, together with the description, further serve to explain the principles of the invention and to enable a person skilled in the relevant art(s) to make and use the invention. Embodiments of the invention are described, by way of example only, with reference to the accompanying drawings, in which:
Figure 1 depicts schematically a lithographic apparatus having reflective projection optics;
Figure 2 is a more detailed view of the apparatus of Figure 1;
Figure 3 schematically depicts a nozzle of a radiation source configured to direct a stream of fuel droplets along a trajectory towards a plasma formation location;
Figure 4 schematically depicts contamination deposition on an internal surface of the nozzle of Figure 3, and an affect on a trajectory of droplets leaving the nozzle;
Figure 5 schematically depicts a first embodiment of a fluid stream generator comprising a fluid contamination control arrangement, in accordance with an embodiment of the present invention;
Figure 6 illustrates the basic principle of acoustic filtering using standing waves;
Figure 7 shows a practical application of the effect illustrated in Figure 6, according to an embodiment of the invention; and
Figure 8 schematically depicts a second embodiment of a fluid stream generator comprising a fluid contamination control arrangement, in accordance with an embodiment of the present invention.
[0012] The features and advantages of the present invention will become more apparent from the detailed description set forth below when taken in conjunction with the drawings, in which like reference characters identify corresponding elements throughout. In the drawings, like reference numbers generally indicate identical, functionally similar, and/or structurally similar elements.
DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
[0013] Figure 1 schematically depicts a lithographic apparatus 100 including a source collector module SO according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation).
a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
[0014] The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
[0015] The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
[0016] The term “patterning device” should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
[0017] The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
[0018] The projection system, like the illumination system, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.
[0019] As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask).
[0020] The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
[0021] Referring to Figure 1, the illuminator IL receives an extreme ultra violet radiation beam from the source collector module SO. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.
[0022] In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
[0023] The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
[0024] The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS 1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.
[0025] The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
[0026] Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
[0027] Figure 2 shows the apparatus 100 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO. The systems IL and PS are likewise contained within vacuum environments of their own. An EUY radiation emitting plasma 2 may be formed by a laser produced LPP plasma source. The function of source collector module SO is to deliver EUV radiation beam 20 from the plasma 2 such that it is focused in a virtual source point. The virtual source point is commonly referred to as the intermediate focus (IF), and the source collector module is arranged such that the intermediate focus IF is located at or near an aperture 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 2.
[0028] From the aperture 221 at the intermediate focus IF, the radiation traverses the illumination system IL, which in this example includes a facetted field mirror device 22 and a facetted pupil mirror device 24. These devices form a so-called “fly’s eye” illuminator, which is arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam 21 at the patterning device MA, held by the support structure (mask table) MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the wafer stage or substrate table WT. To expose a target portion C on substrate W, pulses of radiation are generated on substrate table WT and masked table MT perform synchronized movements 266, 268 to scan the pattern on patterning device MA through the slit of illumination.
[0029] Each system IL and PS is arranged within its own vacuum or near-vacuum environment, defined by enclosing structures similar to enclosing structure 220. More elements than shown may generally be present in illumination system IL and projection system PS. Further, there may be more mirrors present than those shown in the Figures. For example there may be one to six additional reflective elements present in the illumination system IL and/or the projection system PS, besides those shown in Figure 2.
[0030] Considering source collector module SO in more detail, laser energy source comprising laser 223 is arranged to deposit laser energy 224 into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 2 with electron temperatures of several 10's of eV. Higher energy EUV radiation may be generated with other fuel materials, for example Tb and Gd. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near-normal incidence collector3and focused on the aperture 22f. The plasma 2 and the aperture 221 are located at first and second focal points of collector CO, respectively.
[0031] Although the collector 3 shown in Figure 2 is a single curved mirror, the collector may take other forms. For example, the collector may be a Schwarzschild collector having two radiation collecting surfaces. In an embodiment, the collector may be a grazing incidence collector which comprises a plurality of substantially cylindrical reflectors nested within one another. The grazing incidence collector may be suited for use in a DPP source.
[0032] To deliver the fuel, which for example is liquid tin, a droplet generator 226 is arranged within the enclosure 220, arranged to fire a high frequency stream 228 of droplets towards the desired location of plasma 2. In operation, laser energy 224 is delivered in a synchronism with the operation of droplet generator 226, to deliver impulses of radiation to turn each fuel droplet into a plasma 2. The frequency of delivery of droplets may be several kilohertz, for example 50 kHz. In practice, laser energy 224 is delivered in at least two pulses: a pre pulse with limited energy is delivered to the droplet before it reaches the plasma location, in order to vaporize the fuel material into a small cloud, and then a main pulse of laser energy 224 is delivered to the cloud at the desired location, to generate the plasma 2. A trap 230 is provided on the opposite side of the enclosing structure 220, to capture fuel that is not, for whatever reason, turned into plasma.
[0033] The droplet generator 226 comprises a reservoir 201 which contains the fuel liquid and a nozzle 202. The nozzle 202 is configured to eject droplets of the fuel liquid towards the plasma 2 formation location. The droplets of fuel liquid may be ejected from the nozzle 202 by a combination of pressure within the reservoir 201 and a vibration applied to the nozzle by a piezoelectric actuator (not shown).
[0034] In an alternative configuration (not illustrated) the EUV radiation may be generated by causing a partially ionized plasma of an electrical discharge to collapse onto an optical axis (e.g. via the pinch effect). This source may be referred to as a discharge produced plasma (DPP) source. Partial pressures of for example 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be used to generate the EUV radiation emitting plasma.
[0035] As the skilled reader will know, reference axes X, Y and Z may be defined for measuring and describing the geometry and behavior of the apparatus, its various components, and the radiation beams 20, 21, 26. At each part of the apparatus, a local reference frame of X, Y and Z axes may be defined. The Z axis broadly coincides with the direction optical axis O at a given point in the system, and is generally normal to the plane of a patterning device (reticle) MA and normal to the plane of substrate W. In the source collector module, the X axis coincides broadly with the direction of fuel stream 228, while the Y axis is orthogonal to that, pointing out of the page as indicated in Figure 2. On the other hand, in the vicinity of the support structure MT that holds the reticle MA, the X axis is generally transverse to a scanning direction aligned with the Y axis. For convenience, in this area of the schematic diagram Figure 2, the X axis points out of the page, again as marked. These designations are conventional in the art and will be adopted herein for convenience. In principle, any reference frame can be chosen to describe the apparatus and its behavior.
[0036] Numerous additional components critical to operation of the source collector module and the lithographic apparatus as a whole are present in a typical apparatus, though not illustrated here. These include arrangements for reducing or mitigating the effects of contamination within the enclosed vacuum, for example to prevent deposits of fuel material damaging or impairing the performance of collector3and other optics. Other features present but not described in detail are all the sensors, controllers and actuators involved in controlling of the various components and sub-systems of the lithographic apparatus.
[0037] Figure 3 schematically depicts a part of the fuel supply or droplet generator 226 as shown in and described with reference to Figure 2. The part of the droplet generator is shown as comprising a conduit 30 that includes and leads to a nozzle 32 configured to direct a stream of droplets of fuel 34 along a trajectory towards a plasma formation location (not shown).
[0038] Stability and/or clogging (i.e., at least partial blocking) of the nozzle 32 are issues that may arise during use of the nozzle 32, as they do for any ink-jet printing application. Clogs will be formed by contamination in the fuel. Clogging of the nozzle 32 may impose a lifetime limit on the nozzle and thus the droplet generator (or at least a time limit at which limit replacement, maintenance, or cleaning is required) and may therefore limit the availability of the radiation source or the lithographic apparatus as a whole.
[0039] It is most likely that the nozzle 32 of the droplet generator will have the smallest, or one of the smallest, diameters as compared to other conduits and the like of a fuel flow system forming part of the droplet generator (except, perhaps, for filters present in that system). Since the nozzle 32 will have one of the smallest diameters, it is likely that clogging in the fuel flow system will occur near or at the nozzle 32, and likely within the nozzle 32 that is a restriction in the flow system. It is likely that clogs or the like larger than the nozzle diameter will be filtered out in some way further upstream in the fuel flow system. However, clogs smaller than the nozzle diameter and within the nozzle might result in a change in the effective geometry of the nozzle.
[0040] A change in effective geometry may result in a change in the parameters of the generated stream of droplets, for instance the droplet shape or size, or most likely a direction of trajectory of the stream of droplets. In many applications, such parameters will need to meet stringent requirements. In an EUV radiation source in particular, the requirements of the droplet generator will be extremely stringent in terms of the trajectory of the droplet stream. For instance, at a plasma formation location, the location of a droplet may need to be accurate to within a few microns, but at the same time the nozzle 32 itself may need to be located relatively far away from the plasma formation location, for instance by a distance of a few tens of centimetres or so. This results in a direction stability requirement of the trajectory of the stream of droplets of perhaps less than 10 microradians. The overall result is that even very small particulate contamination deposited on an internal surface of the nozzle can change the effective geometry of the nozzle to such an extent to ensure that the direction stability requirement is not met. This might, in turn have a detrimental affect on the operation of the radiation source and thus the lithographic apparatus as a whole, for example in terms of the generation of radiation.
[0041] Figure 4 schematically depicts the same conduit 30, nozzle 32 and droplet stream 34 as shown in and described with reference to Figure 3. However, in Figure 4 contamination in the form of particles 36 has become deposited on an internal surface of the nozzle 32. Such deposition has resulted in a change in the effective geometry of the nozzle 32 (as described above), which has resulted, in turn, in a change in trajectory of the stream of droplets 34.
[0042] The particles 36 are one example of contamination. The contamination could be particulate in form, or be anything else that might be present within the fuel used to form the droplet stream 34 (e.g., flakes, agglomerations, or the like). The contamination could arise from oxidisation of the fuel. For example, if the fuel is tin, the contamination may be tin oxide particles or the like. Alternatively and/or additionally, the contamination could be particles or the like of materials from apparatus used upstream within the fuel flow system. The contamination could be material from a reservoir configured to retain fuel (or oxides of such material), or a filter present in a fuel flow path.
[0043] It is an object of the present invention to prevent the nozzle from being clogged with contamination, thus resulting in a change of effective geometry of the nozzle. One proposed solution would be to use fine or finer filters in the fuel flow system to prevent contamination with an average diameter smaller than the nozzle diameter (i.e., the opening of the nozzle) from reaching the nozzle. However, this may then result in a blockage of the fuel flow system as a whole (i.e., at that filter), which could again result in the need to regularly maintain or repair the droplet generator, causing significant downtime of the radiation source and/or the lithographic apparatus as a whole. The present invention provides an alternative approach to the problems identified above, which does not (or at least is less likely to) result in increasing the chances of blockages being formed within the fuel flow system (which includes the nozzle).
[0044] Figure 5 schematically depicts a fluid stream generator of a radiation source, in accordance with an embodiment of the present invention. The fluid stream generator comprises a reservoir 40 configured to retain a volume of fuel 42 used in the generation of radiation (e.g., liquid tin). The reservoir 40 is in fluid connection with (i.e., able to supply fuel to) the conduit 30 and main droplet defining nozzle 32 already shown in and described with reference to Figures 3 and 4 above. Referring back to Figure 5, pressure may be applied to the fuel 42 (e.g., mechanical or fluid pressure) to force ejection of fuel 42 through the nozzle 32.
[0045] The fluid stream generator is also provided with a fuel contamination control arrangement 44. The fluid contamination control arrangement comprises an acoustic filter 44 for generating ultrasonic standing waves in the fuel held in the conduit 30. The acoustic filter 44 may comprise either two opposing sound sources or more commonly by a single ultrasonic transducer 46a which is facing a sound reflector 46b. Between the acoustic filter 44 and the droplet defining nozzle 32 are one or more contamination extraction nozzles 48.
[0046] An optional way of generating acoustic standing waves in a defined compartment is to design the transducer for a half wavelength standing wave (or a multiple thereof), whereafter the complete device is excited mechanically from an arbitrary coupling point. When the excitation frequency is subsequently tuned to match the transducer compartment, a standing wave is fonned.
[0047] Ultrasonic standing wave manipulation offers a non-contact mode of particle handling. All types of particles can be affected by ultrasonic standing wave forces as long as they differ from the surrounding medium with regards to their acoustic properties. In particular, when the surrounding medium is liquid tin, it can be shown that solid tin oxide or tin intermetallics, which have proven to be the root cause of nozzle clogging, can be separated using ultrasonic standing wave forces.
[0048] A representation of the force applied to the particle is given by: 4 ,
Fa: = -—π?- kEa:Asin( 2kl) (equation 1)
[0049] where r is the particle radius, k is the wave number, is the time-averaged acoustic energy density, 1 is the distance from the nearest node and A represents the acoustic contrast factor. The acoustic contrast factor describes physical properties of the medium and the particle, and is given by::
Figure NL2008964AD00141
(equation 2) where the density of the medium and particles are denoted pf and pp, respectively and the corresponding compressibilities Cf and cp. Force Fa is therefore dependent on the medium and particle densities and compressibilities/sound velocities. Because the densities of liquid tin and tin (II) and (IV) oxide are within 10% of each other, equation 2 can be approximated to:
Figure NL2008964AD00142
(equation 3)
[0050] The force induced on particles in an acoustic standing wave field is the result of both the primary and secondary radiation forces, where the primary force originates from the standing wave and the secondary forces are due to sound waves scattered by the particles. The primary radiation force (PRF) is, in general, responsible for the strongest acoustic force exerted on the suspended particles in a standing wave field. Secondary forces are commonly orders of magnitudes smaller and are only influential at very short distances between particles, i.e. at very high particles densities.
[0051] Figure 6 illustrates the effect of the acoustic standing wave field 70, in a tin medium inside conduit 30, on particles 34 such as those which cause nozzle blockages. It can be seen that the particles 34 align themselves with the nodes of the standing wave field 70. If the standing wave field is a half-wavelength across the conduit, then the particles can be aligned along a single node.
[0052] With this technique it is possible to collect and filter out in a contactless manner, i.e. manipulate particles, such that they do not enter the nozzle of a tin droplet generator. Collection may be effected by applying the acoustic force and directing the particles towards the wall.
[0053] Figure 7 shows a practical application of the effect illustrated in Figure 6. This is shown for illustration of the basic principles only, and the actual physical conduit shape/design and the arrangement and number of nozzles, inlets and their relative locations may differ considerably form shown, as may the desired standing wave formation and location/number of nodes. Also, depending on the particle/fuel characteristics, it may be that the contamination particles align with the anti-nodes of the standing wave, or they may even align with both nodes and anti-nodes, and the system (in particular the position of the contamination extraction nozzles) would need to be designed accordingly.
[0054] Shown is a conduit 30 of the fuel system, such as that between the main nozzle 32 and reservoir 40. An acoustic filter 44 produces a half-wavelength standing wave 72 in the fuel, the standing wave 72 having its nodes at the sides. Contamination particles 34 become aligned with the nodes of the standing wave 72, and therefore become aligned along the sides of conduit 30. Beyond the acoustic filter 44, the conduit 30 divides into branches: one branch 80 to the main nozzle 32 and one or more branches 82 to the contamination extraction nozzle 48. Therefore as the fuel flows along conduit 30, it is divided, with the contaminated fuel entering branches 82 and the filtered fuel entering branch 80. The contamination particles 34 are then removed via the contamination extraction nozzle(s) 48, which have a larger size than the droplet producing nozzle 32 and a larger size than the typical contamination particle.
[0055] This acoustic technique can be combined with techniques involving the application of an electric field and/or a magnetic field to drive and/or select particles so as to provide additional protection for the droplet generating nozzle.
[0056] Figure 8 illustrates an alternative application of the principles disclosed herein. This shows an acoustic filter 44, which may be the same as that shown in Figure 5, attached to the fuel refill line 50. The fuel refill line is the conduit through which the reservoir 40 is filled. Between the acoustic filter 44 and reservoir 40, is a refill contamination extraction nozzle 52. This system removes contamination from the fuel prior to it entering the reservoir 40.
[0057] It should be noted that the filtering arrangements of Figures 5 and 8 can be combined to provide a fuel system with an acoustic filter on its fuel refill line and an acoustic filter between the reservoir and main droplet defining nozzle. Alternatively, or in addition, acoustic filters may be supplied at other locations in the fuel supply. Also, the concepts disclosed herein are applicable to fluid stream generators which provide a continuous stream of fuel, as well as those which produce a stream of droplets.
[0058] The ultrasonic sources may be piezo-ceramic elements that are either directly coupled into the fuel, or via a coupling layer. Applied frequencies may, for example, range from 1 to 10 MHz. This should not interfere with the driving frequency of the droplet generator nozzle, as this is typically two to three orders of magnitude less (lens of kHz). Besides, the filtering can take place at a different location, sufficiently far removed from where the droplet generator piezo-signal is applied, thereby preventing disturbance of the droplet generator acoustic signal.
[0059] The acoustic filter may comprise microchannel branch structures, formed using (robust) photolithographic patterning. Larger channels can be made by more robust methods (fibers). Typical dimensions of channels are in the order of a few hundred pm to 1 mm. Together with bulk material and piezo ceramic, a compact contactless acoustic tin filter can be made, with dimensions of about 1.5x1.5x5 cm3. Typical flow through channels may range from 0.1 to 1 ml/s, which is three orders of magnitude larger than the fuel flow of current droplet generators.
[0060] A plurality of acoustic filters may be implemented in series and/or in parallel to improve filtration. Serial and/or parallel steps can be combined and integrated into a single filter.
[0061] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
[0062] The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
[0063] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the clauses set out below. Other aspects of the invention are set out as in the following numbered clauses: 1. A fuel supply for a radiation source comprising: a reservoir configured to retain a volume of fuel; a main nozzle, in fluid connection with the reservoir, and configured to direct a stream of fuel along a trajectory towards a plasma formation location; and a fuel contamination control arrangement operable to separate contamination particles from said fuel, the contamination control arrangement comprising an acoustic filter.
2. A fuel supply as claimed in clause 1 wherein the fuel contamination control arrangement is configured to drive said contamination particles away from passing through the main nozzle.
3. A fuel supply as claimed in clause 1 wherein the acoustic filter is located between said reservoir and said main nozzle.
4. A fuel supply as claimed in clause 1 wherein the acoustic filter is located on a refill line to said reservoir.
5. A fuel supply as claimed in any preceding clause wherein the acoustic filter is located on said reservoir or a further reservoir upstream of said reservoir.
6. A fuel supply as claimed in any preceding clause wherein a plurality of acoustic filters are provided at different locations on said fuel supply.
7. A fuel supply as claimed in any preceding clause wherein the acoustic filter is operable to generate a standing wave in the fuel, local to said filter, such that said contamination particles align with maxima and/or minima of said standing wave.
8. A fuel supply as claimed in clause 7 wherein said fuel contamination control arrangement further comprises an extraction nozzle through which said contamination particles are directed and removed by said fuel contamination control arrangement; and wherein the acoustic filter is operable to generate said standing waves in said fuel having a form such that said contamination particles are aligned towards said contamination extraction nozzle.
9. A fuel supply as claimed in clause 8 wherein the contamination extraction nozzle(s) has a larger cross-section than said main nozzle.
10. A fuel supply as claimed in any of clauses 7 to 9 wherein said standing wave comprises half a wavelength across the fuel being filtered, with nodes at extremities of the fuel.
11. A fuel supply as claimed in any preceding clause wherein said acoustic filter comprises piezo-ceramic elements.
12. A fuel supply as claimed in any preceding clause wherein said acoustic filter is directly coupled to the fuel.
13. A fuel supply as claimed in any of clauses 1 to 11 wherein said acoustic filter is coupled to the fuel via a coupling layer.
14. A fuel supply as claimed in any preceding clause wherein said acoustic filter emits at a frequency within the range of 1 to 11 MHz.
15. A fuel supply as claimed in any preceding clause wherein said acoustic filter comprises a plurality of serial and/or parallel filtration stages.
16. An EUV radiation source comprising: a fuel supply as claimed in any preceding clause; and a laser configured to direct laser radiation at the stream at the plasma formation location to generate, in use, a radiation generating plasma.
17. A lithographic apparatus, comprising: an EUV radiation source as claimed in clause 16 configured to generate a beam of EUV radiation; an illumination system configured to condition the radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparling the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate.
18. A method of controlling contamination in a fuel supply for a radiation source comprising: generating an acoustic standing wave in a portion of the fuel comprised in said fuel supply, such that contamination particles in said portion of the fuel align with maxima and/or minima of said standing wave; and directing said aligned contamination particles away from a main outlet nozzle of said fuel supply.
19. A method as claimed in clause 18 wherein said acoustic standing wave is generated in said fuel between a reservoir and said main outlet nozzle of the fuel supply.
20. A method as claimed in clause 18 or 19 wherein said acoustic standing wave is generated in said fuel in a refill line to a reservoir of the fuel supply.
21. A method as claimed in clause 18, 19 or 20 wherein said acoustic standing wave is generated in said fuel in a reservoir of the fuel supply.
22. A method as claimed in any of clauses 18 to 21 wherein said directing step comprises directing said aligned contamination particles toward one or more contamination extraction nozzles.
23. A method as claimed in clause 22 wherein said contamination extraction nozzle(s) have a larger cross-section than said main nozzle.
24. A method as claimed in any of clauses 18 to 23 wherein said standing wave is a half a wavelength across the fuel being filtered, with nodes at the fuel extremities.

Claims (1)

1. Een lithografieinrichting omvattende: een belichtinginrichting ingericht voor het leveren van een stralingsbundel; een drager geconstrueerd voor het dragen van een patroneerinrichting, welke patroneerinrichting in staat is een patroon aan te brengen in een doorsnede van de stralingsbundel ter vorming van een gepatroneerde stralingsbundel; een substraattafel geconstrueerd om een substraat te dragen; en een projectieinrichting ingericht voor het projecteren van de gepatroneerde stralingsbundel op een doelgebied van het substraat, met het kenmerk, dat de substraattafel is ingericht voor het positioneren van het doelgebied van het substraat in een brandpuntsvlak van de proj ectieinrichting.A lithography device comprising: an exposure device adapted to provide a radiation beam; a carrier constructed to support a patterning device, the patterning device being capable of applying a pattern in a section of the radiation beam to form a patterned radiation beam; a substrate table constructed to support a substrate; and a projection device adapted to project the patterned radiation beam onto a target area of the substrate, characterized in that the substrate table is adapted to position the target area of the substrate in a focal plane of the projection device.
NL2008964A 2012-06-08 2012-06-08 Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method. NL2008964A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
NL2008964A NL2008964A (en) 2012-06-08 2012-06-08 Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method.

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL2008964A NL2008964A (en) 2012-06-08 2012-06-08 Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method.
NL2008964 2012-06-08

Publications (1)

Publication Number Publication Date
NL2008964A true NL2008964A (en) 2012-08-08

Family

ID=52023065

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2008964A NL2008964A (en) 2012-06-08 2012-06-08 Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method.

Country Status (1)

Country Link
NL (1) NL2008964A (en)

Similar Documents

Publication Publication Date Title
NL2018004A (en) Droplet generator for lithographic apparatus, euv source and lithographic apparatus
US9655222B2 (en) Radiation source
EP2545413A1 (en) Radiation source, lithographic apparatus and device manufacturing method
US9494879B2 (en) Contamination trap for a lithographic apparatus
US9007560B2 (en) Radiation source
JP6209217B2 (en) Method and apparatus for generating radiation
NL2011741A (en) Droplet generator, euv radiation source, lithographic apparatus, method for generating droplets and device manufacturing method.
NL2004085A (en) Radiation source, lithographic apparatus, and device manufacturing method.
US10750604B2 (en) Droplet generator for lithographic apparatus, EUV source and lithographic apparatus
WO2014019803A1 (en) Method and apparatus for generating radiation
NL2009359A (en) Radiation source.
NL2018005A (en) Droplet generator for lithographic apparatus, euv source and lithographic apparatus
NL2011742A (en) Power source for a lithographic apparatus, and lithographic apparatus comprising such a power source.
US9192039B2 (en) Radiation source
US9648714B2 (en) Fuel system for lithographic apparatus, EUV source, lithographic apparatus and fuel filtering method
WO2015014531A1 (en) Component for a radiation source, associated radiation source and lithographic apparatus
NL2008964A (en) Fuel system for lithographic apparatus, euv source, lithographic apparatus and fuel filtering method.
NL2010306A (en) Radiation source for an euv optical apparatus and method of generating euv radiation.
NL2011773A (en) Component for a radiation source, associated radiation source and lithographic apparatus.
NL2004969A (en) Radiation source, lithographic apparatus and device manufacturing method.
NL2010575A (en) Contamination trap for a lithographic apparatus.
NL2011306A (en) Method and apparatus for generating radiation.
NL2004977A (en) Euv radiation source and lithographic apparatus.
NL2011772A (en) Beam delivery apparatus, euv radiation apparatus, euv optical apparatus, lithographic apparatus and associated methods.
NL2010217A (en) Source collector apparatus, lithographic apparatus and device manufacturing method.

Legal Events

Date Code Title Description
WDAP Patent application withdrawn

Effective date: 20120917