KR20240103983A - 에칭 방법 및 플라즈마 처리 장치 - Google Patents

에칭 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20240103983A
KR20240103983A KR1020230180333A KR20230180333A KR20240103983A KR 20240103983 A KR20240103983 A KR 20240103983A KR 1020230180333 A KR1020230180333 A KR 1020230180333A KR 20230180333 A KR20230180333 A KR 20230180333A KR 20240103983 A KR20240103983 A KR 20240103983A
Authority
KR
South Korea
Prior art keywords
period
films
gas
plasma
chamber
Prior art date
Application number
KR1020230180333A
Other languages
English (en)
Inventor
다이헤이 마츠하시
와카코 이시다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20240103983A publication Critical patent/KR20240103983A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

개시되는 에칭 방법은, 챔버 내에서 기판 지지부 상에 기판을 준비하는 공정 (a)를 포함한다. 기판은, 복수의 제1 막 및 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 포함하는 다층막과, 다층막 상에 마련된 마스크를 포함한다. 에칭 방법은, 복수의 제1 막 중 하나 이상의 제1 막을 에칭하는 공정 (b)를 더 포함한다. 에칭 방법은, 복수의 제2 막 중 하나 이상의 제2 막을 에칭하는 공정 (c)를 더 포함한다. 공정 (b) 및 공정 (c) 각각에 있어서, 플라즈마 생성용의 소스 고주파 전력의 펄스 및 이온 끌어들임을 위한 전기 바이어스의 펄스가 간헐적 또는 주기적으로 공급된다.

Description

에칭 방법 및 플라즈마 처리 장치{ETCHING METHOD AND PLASMA PROCESSING APPARATUS}
본 개시의 예시적 실시형태는, 에칭 방법 및 플라즈마 처리 장치에 관한 것이다.
기판에 대한 플라즈마 에칭에서는, 기판의 막이 에칭되어, 오목부를 구획형성하는 형상이 해당 막에 형성된다. 일본 공개특허공보 2021-118304호는, 기판의 다층막을 에칭하여 오목부를 구획형성하는 계단 형상을 형성하는 방법을 개시하고 있다. 다층막은, 복수의 실리콘 산화막과 해당 복수의 실리콘 산화막과 교대로 적층된 복수의 실리콘 질화막을 포함하고 있다.
본 개시는, 다층막의 에칭에 의하여 형성되는 오목부의 폭의 확대를 억제하는 기술을 제공한다.
일 예시적 실시형태에 있어서, 에칭 방법이 제공된다. 에칭 방법은, 플라즈마 처리 장치의 챔버 내에서 기판 지지부 상에 기판을 준비하는 공정 (a)를 포함한다. 기판은, 산화 실리콘으로 형성된 복수의 제1 막 및 질화 실리콘으로 형성되어 있고 복수의 제1 막과 교대로 적층된 복수의 제2 막을 포함하는 다층막과, 다층막 상에 마련된 마스크를 포함한다. 다층막은, 마스크의 개구의 하방에 제1 영역 및 상기 제1 영역에 인접하는 제2 영역을 포함하고 있다. 제1 영역 및 제2 영역 각각은, 그들이 나열되는 방향에 직교하는 단면에 있어서 계단 형상을 갖고 있다. 마스크의 개구의 하방에서 제1 영역이 구획형성하는 제1 오목부의 깊이는, 마스크의 개구의 하방에서 제2 영역이 구획형성하는 제2 오목부의 깊이보다 깊다. 에칭 방법은, 챔버 내에서 제1 처리 가스로부터 플라즈마를 생성함으로써, 복수의 제1 막 중 하나 이상의 제1 막을 에칭하는 공정 (b)를 더 포함한다. 에칭 방법은, 챔버 내에서 제2 처리 가스로부터 플라즈마를 생성함으로써, 복수의 제2 막 중 하나 이상의 제2 막을 에칭하는 공정 (c)를 더 포함한다. 공정 (b) 및 공정 (c) 각각에 있어서, 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스 및 챔버 내의 플라즈마로부터 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가 간헐적 또는 주기적으로 공급된다.
일 예시적 실시형태에 의하면, 다층막의 에칭에 의하여 형성되는 오목부의 폭의 확대를 억제하는 것이 가능해진다.
도 1은, 플라즈마 처리 시스템의 구성예를 설명하기 위한 도이다.
도 2는, 용량 결합형의 플라즈마 처리 장치의 구성예를 설명하기 위한 도이다.
도 3은 일 예시적 실시형태에 관한 에칭 방법에 대하여 설명한다.
도 4의 (a) 및 도 4의 (b) 각각은, 일례의 기판을 나타내는 단면도이다.
도 5의 (a) 및 도 5의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 6의 (a) 및 도 6의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 7의 (a) 및 도 7의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 8은 소스 고주파 전력 및 전기 바이어스의 일례의 타이밍 차트이다.
도 9는 소스 고주파 전력 및 전기 바이어스의 일례의 타이밍 차트이다.
도 10은 도 3에 나타내는 에칭 방법의 공정 STb의 예를 나타내는 흐름도이다.
도 11의 (a) 및 도 11의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 12의 (a) 및 도 12의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 13은 도 3에 나타내는 에칭 방법의 공정 STc의 예를 나타내는 흐름도이다.
도 14의 (a) 및 도 14의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 15의 (a) 및 도 15의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
이하, 도면을 참조하여 다양한 예시적 실시형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
도 1은, 플라즈마 처리 시스템의 구성예를 설명하기 위한 도이다. 일 실시형태에 있어서, 플라즈마 처리 시스템은, 플라즈마 처리 장치(1) 및 제어부(2)를 포함한다. 플라즈마 처리 시스템은, 기판 처리 시스템의 일례이며, 플라즈마 처리 장치(1)는, 기판 처리 장치의 일례이다. 플라즈마 처리 장치(1)는, 플라즈마 처리 챔버(10), 기판 지지부(11) 및 플라즈마 생성부(12)를 포함한다. 플라즈마 처리 챔버(10)는, 플라즈마 처리 공간을 갖는다. 또, 플라즈마 처리 챔버(10)는, 적어도 하나의 처리 가스를 플라즈마 처리 공간에 공급하기 위한 적어도 하나의 가스 공급구와, 플라즈마 처리 공간으로부터 가스를 배출하기 위한 적어도 하나의 가스 배출구를 갖는다. 가스 공급구는, 후술하는 가스 공급부(20)에 접속되고, 가스 배출구는, 후술하는 배기 시스템(40)에 접속된다. 기판 지지부(11)는, 플라즈마 처리 공간 내에 배치되고, 기판을 지지하기 위한 기판 지지면을 갖는다.
플라즈마 생성부(12)는, 플라즈마 처리 공간 내에 공급된 적어도 하나의 처리 가스로부터 플라즈마를 생성하도록 구성된다. 플라즈마 처리 공간에 있어서 형성되는 플라즈마는, 용량 결합 플라즈마(CCP: Capacitively Coupled Plasma), 유도 결합 플라즈마(ICP: Inductively Coupled Plasma), ECR 플라즈마(Electron-Cyclotron-Resonance Plasma), 헬리콘파 여기 플라즈마(HWP: Helicon Wave Plasma), 또는, 표면파 플라즈마(SWP: Surface Wave Plasma) 등이어도 된다. 또, AC(Alternating Current) 플라즈마 생성부 및 DC(Direct Current) 플라즈마 생성부를 포함하는, 다양한 타입의 플라즈마 생성부가 이용되어도 된다. 일 실시형태에 있어서, AC 플라즈마 생성부에서 이용되는 AC 신호(AC 전력)는, 100kHz~10GHz의 범위 내의 주파수를 갖는다. 따라서, AC 신호는, RF(Radio Frequency) 신호 및 마이크로파 신호를 포함한다. 일 실시형태에 있어서, RF 신호는, 100kHz~150MHz의 범위 내의 주파수를 갖는다.
제어부(2)는, 본 개시에 있어서 설명되는 다양한 공정을 플라즈마 처리 장치(1)에 실행시키는 컴퓨터 실행 가능한 명령을 처리한다. 제어부(2)는, 여기에서 설명되는 다양한 공정을 실행하도록 플라즈마 처리 장치(1)의 각 요소를 제어하도록 구성될 수 있다. 일 실시형태에 있어서, 제어부(2)의 일부 또는 모두가 플라즈마 처리 장치(1)에 포함되어도 된다. 제어부(2)는, 처리부(2a1), 기억부(2a2) 및 통신 인터페이스(2a3)를 포함해도 된다. 제어부(2)는, 예를 들면 컴퓨터(2a)에 의하여 실현된다. 처리부(2a1)는, 기억부(2a2)로부터 프로그램을 판독하고, 판독된 프로그램을 실행함으로써 다양한 제어 동작을 행하도록 구성될 수 있다. 이 프로그램은, 미리 기억부(2a2)에 저장되어 있어도 되고, 필요한 때에, 매체를 통하여 취득되어도 된다. 취득된 프로그램은, 기억부(2a2)에 저장되고, 처리부(2a1)에 의하여 기억부(2a2)로부터 판독되어 실행된다. 매체는, 컴퓨터(2a)에 독취 가능한 다양한 기억 매체여도 되고, 통신 인터페이스(2a3)에 접속되어 있는 통신 회선이어도 된다. 처리부(2a1)는, CPU(Central Processing Unit)여도 된다. 기억부(2a2)는, RAM(Random Access Memory), ROM(Read Only Memory), HDD(Hard Disk Drive), SSD(Solid State Drive), 또는 이들의 조합을 포함해도 된다. 통신 인터페이스(2a3)는, LAN(Local Area Network) 등의 통신 회선을 통하여 플라즈마 처리 장치(1)와의 사이에서 통신해도 된다.
이하에, 플라즈마 처리 장치(1)의 일례로서의 용량 결합형의 플라즈마 처리 장치의 구성예에 대하여 설명한다. 도 2는, 용량 결합형의 플라즈마 처리 장치의 구성예를 설명하기 위한 도이다.
용량 결합형의 플라즈마 처리 장치(1)는, 플라즈마 처리 챔버(10), 가스 공급부(20), 전원(30) 및 배기 시스템(40)을 포함한다. 또, 플라즈마 처리 장치(1)는, 기판 지지부(11) 및 가스 도입부를 포함한다. 가스 도입부는, 적어도 하나의 처리 가스를 플라즈마 처리 챔버(10) 내에 도입하도록 구성된다. 가스 도입부는, 샤워 헤드(13)를 포함한다. 기판 지지부(11)는, 플라즈마 처리 챔버(10) 내에 배치된다. 샤워 헤드(13)는, 기판 지지부(11)의 상방에 배치된다. 일 실시형태에 있어서, 샤워 헤드(13)는, 플라즈마 처리 챔버(10)의 천장부(ceiling)의 적어도 일부를 구성한다. 플라즈마 처리 챔버(10)는, 샤워 헤드(13), 플라즈마 처리 챔버(10)의 측벽(10a) 및 기판 지지부(11)에 의하여 규정된 플라즈마 처리 공간(10s)을 갖는다. 플라즈마 처리 챔버(10)는 접지된다. 샤워 헤드(13) 및 기판 지지부(11)는, 플라즈마 처리 챔버(10)의 케이스와는 전기적으로 절연된다.
기판 지지부(11)는, 본체부(111) 및 링 어셈블리(112)를 포함한다. 본체부(111)는, 기판(W)을 지지하기 위한 중앙 영역(111a)과, 링 어셈블리(112)를 지지하기 위한 환상 영역(111b)을 갖는다. 웨이퍼는 기판(W)의 일례이다. 본체부(111)의 환상 영역(111b)은, 평면시에서 본체부(111)의 중앙 영역(111a)을 둘러싸고 있다. 기판(W)은, 본체부(111)의 중앙 영역(111a) 상에 배치되고, 링 어셈블리(112)는, 본체부(111)의 중앙 영역(111a) 상의 기판(W)을 둘러싸도록 본체부(111)의 환상 영역(111b) 상에 배치된다. 따라서, 중앙 영역(111a)은, 기판(W)을 지지하기 위한 기판 지지면이라고도 불리고, 환상 영역(111b)은, 링 어셈블리(112)를 지지하기 위한 링 지지면이라고도 불린다.
일 실시형태에 있어서, 본체부(111)는, 기대(基臺)(1110) 및 정전 척(1111)을 포함한다. 기대(1110)는, 도전성 부재를 포함한다. 기대(1110)의 도전성 부재는 하부 전극으로서 기능할 수 있다. 정전 척(1111)은, 기대(1110) 위에 배치된다. 정전 척(1111)은, 세라믹 부재(1111a)와 세라믹 부재(1111a) 내에 배치되는 정전 전극(1111b)을 포함한다. 세라믹 부재(1111a)는, 중앙 영역(111a)을 갖는다. 일 실시형태에 있어서, 세라믹 부재(1111a)는, 환상 영역(111b)도 갖는다. 또한, 환상 정전 척이나 환상 절연 부재와 같은, 정전 척(1111)을 둘러싸는 다른 부재가 환상 영역(111b)을 가져도 된다. 이 경우, 링 어셈블리(112)는, 환상 정전 척 또는 환상 절연 부재 위에 배치되어도 되고, 정전 척(1111)과 환상 절연 부재의 양방 위에 배치되어도 된다. 또, 후술하는 RF 전원(31) 및/또는 DC 전원(32)에 결합되는 적어도 하나의 RF/DC 전극이 세라믹 부재(1111a) 내에 배치되어도 된다. 이 경우, 적어도 하나의 RF/DC 전극이 하부 전극으로서 기능한다. 후술하는 바이어스 RF 신호 및/또는 DC 신호가 적어도 하나의 RF/DC 전극에 공급되는 경우, RF/DC 전극은 바이어스 전극이라고도 불린다. 또한, 기대(1110)의 도전성 부재와 적어도 하나의 RF/DC 전극이 복수의 하부 전극으로서 기능해도 된다. 또, 정전 전극(1111b)이 하부 전극으로서 기능해도 된다. 따라서, 기판 지지부(11)는, 적어도 하나의 하부 전극을 포함한다.
링 어셈블리(112)는, 하나 또는 복수의 환상 부재를 포함한다. 일 실시형태에 있어서, 하나 또는 복수의 환상 부재는, 하나 또는 복수의 에지 링과 적어도 하나의 커버 링을 포함한다. 에지 링은, 도전성 재료 또는 절연 재료로 형성되고, 커버 링은, 절연 재료로 형성된다.
또, 기판 지지부(11)는, 정전 척(1111), 링 어셈블리(112) 및 기판 중 적어도 하나를 타깃 온도로 조절하도록 구성되는 온조 모듈을 포함해도 된다. 온조 모듈은, 히터, 전열 매체, 유로(1110a), 또는 이들의 조합을 포함해도 된다. 유로(1110a)에는, 브라인이나 가스와 같은 전열 유체가 흐른다. 일 실시형태에 있어서, 유로(1110a)가 기대(1110) 내에 형성되고, 하나 또는 복수의 히터가 정전 척(1111)의 세라믹 부재(1111a) 내에 배치된다. 또, 기판 지지부(11)는, 기판(W)의 이면과 중앙 영역(111a) 사이의 간극에 전열 가스를 공급하도록 구성된 전열 가스 공급부를 포함해도 된다.
샤워 헤드(13)는, 가스 공급부(20)로부터의 적어도 하나의 처리 가스를 플라즈마 처리 공간(10s) 내에 도입하도록 구성된다. 샤워 헤드(13)는, 적어도 하나의 가스 공급구(13a), 적어도 하나의 가스 확산실(13b), 및 복수의 가스 도입구(13c)를 갖는다. 가스 공급구(13a)에 공급된 처리 가스는, 가스 확산실(13b)을 통과하여 복수의 가스 도입구(13c)로부터 플라즈마 처리 공간(10s) 내에 도입된다. 또, 샤워 헤드(13)는, 적어도 하나의 상부 전극을 포함한다. 또한, 가스 도입부는, 샤워 헤드(13)에 더하여, 측벽(10a)에 형성된 하나 또는 복수의 개구부에 장착되는 하나 또는 복수의 사이드 가스 주입부(SGI: Side Gas Injector)를 포함해도 된다.
가스 공급부(20)는, 적어도 하나의 가스 소스(21) 및 적어도 하나의 유량 제어기(22)를 포함해도 된다. 일 실시형태에 있어서, 가스 공급부(20)는, 적어도 하나의 처리 가스를, 각각에 대응하는 가스 소스(21)로부터 각각에 대응하는 유량 제어기(22)를 통하여 샤워 헤드(13)에 공급하도록 구성된다. 각 유량 제어기(22)는, 예를 들면 매스 플로 컨트롤러 또는 압력 제어식의 유량 제어기를 포함해도 된다. 또한, 가스 공급부(20)는, 적어도 하나의 처리 가스의 유량을 변조 또는 펄스화하는 적어도 하나의 유량 변조 디바이스를 포함해도 된다.
전원(30)은, 적어도 하나의 임피던스 정합 회로를 개재하여 플라즈마 처리 챔버(10)에 결합되는 RF 전원(31)을 포함한다. RF 전원(31)은, 적어도 하나의 RF 신호(RF 전력)를 적어도 하나의 하부 전극 및/또는 적어도 하나의 상부 전극에 공급하도록 구성된다. 이로써, 플라즈마 처리 공간(10s)에 공급된 적어도 하나의 처리 가스로부터 플라즈마가 형성된다. 따라서, RF 전원(31)은, 플라즈마 생성부(12)의 적어도 일부로서 기능할 수 있다. 또, 바이어스 RF 신호를 적어도 하나의 하부 전극에 공급함으로써, 기판(W)에 바이어스 전위가 발생하고, 형성된 플라즈마 중의 이온 성분을 기판(W)으로 끌어들일 수 있다.
일 실시형태에 있어서, RF 전원(31)은, 제1 RF 생성부(31a) 및 제2 RF 생성부(31b)를 포함한다. 제1 RF 생성부(31a)는, 적어도 하나의 임피던스 정합 회로를 개재하여 적어도 하나의 하부 전극 및/또는 적어도 하나의 상부 전극에 결합되고, 플라즈마 생성용의 소스 RF 신호(소스 RF 전력)를 생성하도록 구성된다. 일 실시형태에 있어서, 소스 RF 신호는, 10MHz~150MHz의 범위 내의 주파수를 갖는다. 일 실시형태에 있어서, 제1 RF 생성부(31a)는, 상이한 주파수를 갖는 복수의 소스 RF 신호를 생성하도록 구성되어도 된다. 생성된 하나 또는 복수의 소스 RF 신호는, 적어도 하나의 하부 전극 및/또는 적어도 하나의 상부 전극에 공급된다.
제2 RF 생성부(31b)는, 적어도 하나의 임피던스 정합 회로를 개재하여 적어도 하나의 하부 전극에 결합되고, 바이어스 RF 신호(바이어스 RF 전력)를 생성하도록 구성된다. 바이어스 RF 신호의 주파수는, 소스 RF 신호의 주파수와 동일해도 되고 상이해도 된다. 일 실시형태에 있어서, 바이어스 RF 신호는, 소스 RF 신호의 주파수보다 낮은 주파수를 갖는다. 일 실시형태에 있어서, 바이어스 RF 신호는, 100kHz~60MHz의 범위 내의 주파수를 갖는다. 일 실시형태에 있어서, 제2 RF 생성부(31b)는, 상이한 주파수를 갖는 복수의 바이어스 RF 신호를 생성하도록 구성되어도 된다. 생성된 하나 또는 복수의 바이어스 RF 신호는, 적어도 하나의 하부 전극에 공급된다. 또, 다양한 실시형태에 있어서, 소스 RF 신호 및 바이어스 RF 신호 중 적어도 하나가 펄스화되어도 된다.
또, 전원(30)은, 플라즈마 처리 챔버(10)에 결합되는 DC 전원(32)을 포함해도 된다. DC 전원(32)은, 제1 DC 생성부(32a) 및 제2 DC 생성부(32b)를 포함한다. 일 실시형태에 있어서, 제1 DC 생성부(32a)는, 적어도 하나의 하부 전극에 접속되고, 제1 DC 신호를 생성하도록 구성된다. 생성된 제1 DC 신호는, 적어도 하나의 하부 전극에 인가된다. 일 실시형태에 있어서, 제2 DC 생성부(32b)는, 적어도 하나의 상부 전극에 접속되고, 제2 DC 신호를 생성하도록 구성된다. 생성된 제2 DC 신호는, 적어도 하나의 상부 전극에 인가된다.
다양한 실시형태에 있어서, 제1 및 제2 DC 신호가 펄스화되어도 된다. 이 경우, 전압 펄스의 시퀀스가 적어도 하나의 하부 전극 및/또는 적어도 하나의 상부 전극에 인가된다. 전압 펄스는, 사각형, 사다리꼴, 삼각형 또는 이들의 조합의 펄스 파형을 가져도 된다. 일 실시형태에 있어서, DC 신호로부터 전압 펄스의 시퀀스를 생성하기 위한 파형 생성부가 제1 DC 생성부(32a)와 적어도 하나의 하부 전극의 사이에 접속된다. 따라서, 제1 DC 생성부(32a) 및 파형 생성부는, 전압 펄스 생성부를 구성한다. 제2 DC 생성부(32b) 및 파형 생성부가 전압 펄스 생성부를 구성하는 경우, 전압 펄스 생성부는, 적어도 하나의 상부 전극에 접속된다. 전압 펄스는, 양의 극성을 가져도 되고, 음의 극성을 가져도 된다. 또, 전압 펄스의 시퀀스는, 1주기 내에 하나 또는 복수의 양극성 전압 펄스와 하나 또는 복수의 음극성 전압 펄스를 포함해도 된다. 또한, 제1 및 제2 DC 생성부(32a, 32b)는, RF 전원(31)에 더하여 마련되어도 되고, 제1 DC 생성부(32a)가 제2 RF 생성부(31b) 대신에 마련되어도 된다.
배기 시스템(40)은, 예를 들면 플라즈마 처리 챔버(10)의 저부(底部)에 마련된 가스 배출구(10e)에 접속될 수 있다. 배기 시스템(40)은, 압력 조정 밸브 및 진공 펌프를 포함해도 된다. 압력 조정 밸브에 의하여, 플라즈마 처리 공간(10s) 내의 압력이 조정된다. 진공 펌프는, 터보 분자 펌프, 드라이 펌프 또는 이들의 조합을 포함해도 된다.
이하, 도 3을 참조하여, 일 예시적 실시형태에 관한 에칭 방법에 대하여 설명한다. 도 3은, 일 예시적 실시형태에 관한 에칭 방법의 흐름도이다. 이하, 플라즈마 처리 장치(1)가 이용되는 경우를 예를 들어, 도 3에 나타내는 에칭 방법(이하, "방법 MT"라고 한다)에 대하여 설명한다. 또, 방법 MT에 있어서의 제어부(2)에 의한 플라즈마 처리 장치(1)의 각부의 제어에 대하여 설명한다. 또한, 방법 MT는, 플라즈마 처리 장치(1) 이외의 플라즈마 처리 장치를 이용하여 행해져도 된다.
도 3에 나타내는 바와 같이, 방법(MT)은, 공정 STa에서 개시된다. 기판(W)이, 기판 지지부(11) 상에 준비된다. 기판(W)은, 정전 척(1111) 상에 재치되어, 정전 척(1111)에 의하여 유지된다.
도 4의 (a) 및 도 4의 (b) 각각은, 일례의 기판을 나타내는 단면도이다. 도 4의 (a)는, 도 4의 (b)에 나타내는 기판(W)의 IVA-IVA선을 따라 취한 단면을 나타내고 있다. 기판(W)은, 다층막(ML)을 포함하고 있다. 기판(W)은, 마스크(MK)를 더 포함하고 있어도 된다. 마스크(MK)는, 다층막(ML) 상에 마련될 수 있다. 마스크(MK)는, 다층막(ML)을 마스크(MK)에 대하여 선택적으로 에칭하기 위하여 선택된 재료로 형성된다. 마스크(MK)는, 어모퍼스 카본과 같은 유기막으로 형성되어 있어도 된다. 또, 기판(W)은, 하지(下地) 영역(UR)을 더 포함하고 있어도 된다. 다층막(ML)은, 하지 영역(UR) 상에 마련될 수 있다. 하지 영역(UR)은, 텅스텐으로 형성되어 있어도 된다.
다층막(ML)은, 복수의 제1 막(F1) 및 복수의 제2 막(F2)을 포함하고 있다. 복수의 제1 막(F1) 및 복수의 제2 막(F2)은, 교대로 적층되어 있다. 복수의 제1 막(F1) 및 복수의 제2 막(F2)은, 복수의 적층막(SL)을 구성하고 있다. 복수의 적층막(SL)은, 순서대로 겹쳐져 있다. 복수의 적층막(SL)은, 제1 막(F1)과 제2 막(F2)을 포함한다. 적층막(SL)에 있어서, 제1 막(F1)은, 제2 막(F2) 상에 마련되어 있다.
복수의 제1 막(F1) 각각은, 복수의 제2 막(F2) 각각의 재료와는 상이한 재료로 형성되어 있다. 복수의 제1 막(F1) 각각과 복수의 제2 막(F2) 각각은, 서로 상이한 실리콘 함유 재료로 형성되어 있어도 된다. 복수의 제1 막(F1) 각각은, 산화 실리콘으로 형성되어 있어도 되고, 복수의 제2 막(F2) 각각은, 질화 실리콘으로 형성되어 있어도 된다. 복수의 제1 막(F1) 각각은, 질화 실리콘으로 형성되어 있어도 되고, 복수의 제2 막(F2) 각각은, 산화 실리콘으로 형성되어 있어도 된다.
일 실시형태에 있어서, 다층막(ML)은, 도 4의 (b)에 나타내는 바와 같이, 마스크(MK)의 개구의 하방에 제1 영역(R1) 및 제2 영역(R2)을 제공하고 있다. 제2 영역(R2)은, 제1 영역(R1)에 인접하고 있다. 제1 영역(R1) 및 제2 영역(R2) 각각은, 도 4의 (a)에 나타내는 바와 같이, 그들이 나열되는 방향에 직교하는 단면에 있어서 계단 형상을 갖고 있다. 도 4의 (b)에 나타내는 바와 같이, 마스크(MK)의 개구의 하방에서 제1 영역(R1)이 구획형성하는 제1 오목부(C1)의 깊이는, 마스크(MK)의 개구의 하방에서 제2 영역(R2)이 구획형성하는 제2 오목부(C2)의 깊이보다 깊다. 또한, 이하의 설명에서는, 제1 영역(R1) 및 제2 영역(R2)이 나열되는 방향을 X방향이라고 부른다. 또, 다층막(ML)의 깊이 방향 및 X방향에 직교하는 방향을 Y방향이라고 부른다.
이하, 도 3, 도 4의 (a), 및 도 4의 (b)와 함께, 도 5의 (a), 도 5의 (b), 도 6의 (a), 도 6의 (b), 도 7의 (a), 및 도 7의 (b)를 참조한다. 도 5의 (a), 도 5의 (b), 도 6의 (a), 도 6의 (b), 도 7의 (a), 및 도 7의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
도 3에 나타내는 바와 같이, 방법 MT에서는, 공정 STa 후에, 공정 STb가 실행된다. 공정 STb에서는, 챔버(10) 내에서 제1 처리 가스로부터 플라즈마를 생성함으로써, 도 5의 (a) 및 도 5의 (b)에 나타내는 바와 같이, 복수의 제1 막(F1) 중 하나 이상의 제1 막(F1)이 에칭된다. 공정 STb에서는, 하나 이상의 제1 막(F1) 각각의 전체 영역 중 그 위에 마스크(MK) 및 다층막(ML)의 다른 층이 존재하고 있지 않은 영역이 에칭된다. 제1 처리 가스는, 플루오로카본 가스를 포함하고 있어도 된다. 제1 처리 가스는, 산소 함유 가스(예를 들면 산소 가스) 및/또는 희가스를 더 포함하고 있어도 된다.
공정 STb에서는, 제어부(2)는, 제1 처리 가스를 챔버(10) 내에 공급하도록, 가스 공급부(20)를 제어한다. 공정 STb에서는, 제어부(2)는, 챔버(10) 내의 압력을 지정 압력으로 설정하도록, 배기 시스템(40)을 제어한다. 공정 STb에서는, 제어부(2)는, 챔버(10) 내에서 제1 처리 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부(12)를 제어한다. 일 실시형태에서는, 제어부(2)는, 제1 처리 가스로부터 플라즈마를 생성하기 위하여, 소스 고주파 전력(HF)(즉, 제1 RF 신호)을 공급하도록, 제1 RF 생성부(31a)를 제어한다. 또, 공정 STb에서는, 제어부(2)는, 챔버(10) 내에서 플라즈마로부터 이온을 끌어들이기 위하여, 기판 지지부(11)(또는 하부 전극)에 전기 바이어스(EB)를 공급하도록, 바이어스 전원을 제어한다. 바이어스 전원은, 제2 RF 생성부(31b) 또는 제1 DC 생성부(32a)이다. 즉, 전기 바이어스(EB)는, 바이어스 고주파 전력이어도 된다. 혹은, 전기 바이어스(EB)는, 펄스화된 제1 DC 신호, 즉, 전압 펄스의 시퀀스여도 된다.
도 3에 나타내는 바와 같이, 방법 MT에서는, 공정 STb 후에, 공정 STc가 행해진다. 공정 STc에서는, 챔버(10) 내에서 제2 처리 가스로부터 플라즈마를 생성함으로써, 도 6의 (a) 및 도 6의 (b)에 나타내는 바와 같이, 복수의 제2 막(F2) 중 하나 이상의 제2 막(F2)이 에칭된다. 공정 STc에서는, 하나 이상의 제2 막(F2) 각각의 전체 영역 중 그 위에 마스크(MK) 및 다층막(ML)의 다른 층이 존재하고 있지 않은 영역이 에칭된다. 제2 처리 가스는, 하이드로플루오로카본 가스를 포함하고 있어도 된다. 제2 처리 가스는, 산소 함유 가스(예를 들면 산소 가스) 및 희가스를 더 포함하고 있어도 된다. 제2 처리 가스는, 플루오로카본 가스를 더 포함하고 있어도 된다.
공정 STc에서는, 제어부(2)는, 제2 처리 가스를 챔버(10) 내에 공급하도록, 가스 공급부(20)를 제어한다. 공정 STc에서는, 제어부(2)는, 챔버(10) 내의 압력을 지정 압력으로 설정하도록, 배기 시스템(40)을 제어한다. 공정 STc에서는, 제어부(2)는, 챔버(10) 내에서 제2 처리 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부(12)를 제어한다. 일 실시형태에서는, 제어부(2)는, 제2 처리 가스로부터 플라즈마를 생성하기 위하여, 소스 고주파 전력(HF)을 공급하도록, 제1 RF 생성부(31a)를 제어한다. 또, 공정 STc에서는, 제어부(2)는, 챔버(10) 내에서 플라즈마로부터 이온을 끌어들이기 위하여, 기판 지지부(11)(또는 하부 전극)에 전기 바이어스(EB)를 공급하도록, 바이어스 전원을 제어한다.
일 실시형태에 있어서, 공정 STb와 공정 STc는 교대로 반복되어도 된다. 이 경우에는, 공정 STJ에 있어서, 정지 조건이 충족되는지 아닌지가 판정된다. 정지 조건은, 공정 STb와 공정 STc가 교대되는 반복의 횟수가 소정 횟수에 이르고 있을 때에 충족된다. 공정 STJ에 있어서 정지 조건이 충족되지 않는다고 판정되면, 공정 STb 및 공정 STc가 순서대로 반복된다. 공정 STb 및 공정 STc가 교대되는 반복에 의하여, 다층막(ML)은, 도 7의 (a) 및 도 7의 (b)에 나타내는 바와 같이, 에칭된다. 한편, 공정 STJ에 있어서 정지 조건이 충족되어 있다고 판정되면, 방법 MT는, 종료된다.
방법 MT의 공정 STb 및 공정 STc 각각에서는, 소스 고주파 전력(HF)의 펄스(HFP) 및 전기 바이어스(EB)의 펄스(EBP)가, 간헐적 또는 주기적으로 공급되어도 된다.
이하, 도 8 및 도 9를 참조한다. 도 8 및 도 9 각각은, 소스 고주파 전력 및 전기 바이어스의 일례의 타이밍 차트이다. 도 8 및 도 9 각각에 있어서, 소스 고주파 전력(HF)이 ON인 것은, 펄스(HFP)가 공급되고 있는 것을 나타내고 있고, 소스 고주파 전력(HF)이 OFF인 것은, 펄스(HFP)가 공급되고 있지 않은 것을 나타내고 있다. 도 8 및 도 9 각각에 있어서, 전기 바이어스(EB)가 ON인 것은, 펄스(EBP)가 공급되고 있는 것을 나타내고 있고, 전기 바이어스(EB)가 OFF인 것은, 펄스(EBP)가 공급되고 있지 않은 것을 나타내고 있다.
일 실시형태에 있어서는, 도 8에 나타내는 바와 같이, 펄스(HFP)와 펄스(EBP)는, 동기되어 있어도 된다. 즉, 펄스(HFP)의 공급 개시 타이밍과 펄스(EBP)의 공급 개시 타이밍은 서로 동일해도 되고, 펄스(HFP)의 종료 타이밍과 펄스(EBP)의 종료 타이밍은 서로 동일해도 된다. 이 경우에 있어서, 펄스(HFP)와 펄스(EBP) 각각의 듀티비는, 40% 이상, 80% 이하여도 된다. 또한, 듀티비는, 펄스(HFP)와 펄스(EBP) 각각이 공급되고 있는 기간의 시간 길이의, 펄스 주기(PC)의 시간 길이에 대한 비율(%)이다.
다른 실시형태에 있어서는, 도 9에 나타내는 바와 같이, 공정 STb에 있어서의 펄스 주기(PC)는, 제1 기간(P1) 및 제2 기간(P2)을 포함하고 있어도 된다. 또, 공정 STc에 있어서의 펄스 주기(PC)는, 제3 기간(P3) 및 제4 기간(P4)을 포함하고 있어도 된다. 펄스(HFP)는, 제1 기간(P1) 및 제3 기간(P3)에 있어서 공급되어도 되고, 펄스(EBP)는, 제2 기간(P2) 및 제4 기간(P4)에 있어서 공급되어도 된다.
제2 기간(P2)에 있어서의 소스 고주파 전력(HF)의 파워 레벨은, 제1 기간(P1)에 있어서의 소스 고주파 전력(HF)의 파워 레벨보다 낮아도 된다. 또, 제4 기간(P4)에 있어서의 소스 고주파 전력(HF)의 파워 레벨은, 제3 기간(P3)에 있어서의 소스 고주파 전력(HF)의 파워 레벨보다 낮아도 된다.
전기 바이어스(EB)는, 제2 기간(P2)에 있어서의 전기 바이어스(EB)의 레벨보다 낮은 레벨을 제1 기간(P1)에 있어서 갖거나, 제1 기간(P1)에 있어서 정지되어도 된다. 또, 전기 바이어스(EB)는, 제4 기간(P4)에 있어서의 전기 바이어스(EB)의 레벨보다 낮은 레벨을 제3 기간(P3)에 있어서 갖거나, 제3 기간(P3)에 있어서 정지되어도 된다. 또한, 전기 바이어스(EB)의 레벨은, 전기 바이어스(EB)가 바이어스 고주파 전력인 경우에는, 그 파워 레벨이다. 전기 바이어스(EB)의 레벨은, 전기 바이어스(EB)가 전압 펄스를 포함하는 경우에는, 해당 전압 펄스의 전압 레벨이 기준 전압(예를 들면 0V)에 대하여 음의 방향으로 큰 차를 가질수록 크고, 또한, 음의 전압 레벨의 절댓값이 클수록, 크다.
펄스 주기(PC)에 있어서 제1 기간(P1)의 시간 길이가 차지하는 비율 및 펄스 주기(PC)에 있어서 제3 기간(P3)의 시간 길이가 차지하는 비율 각각, 즉 듀티비는, 50% 이상이어도 된다. 이 듀티비는, 65% 이상 또는 70% 이상이어도 된다.
이러한 방법 MT에 의하면, 다층막(ML)의 에칭에 의하여 기판(W)의 오목부의 깊이를 증가시켜도, 오목부의 폭의 확대가 억제된다. 예를 들면, 제1 오목부(C1)의 최심(最深)부에 있어서의 X방향의 폭(W1)(도 7의 (b) 참조) 및 Y방향의 폭(W3)의 확대가 억제된다. 또, 제2 오목부(C2)의 최심부에 있어서의 X방향의 폭(W2)(도 7의 (b) 참조) 및 Y방향의 폭의 확대가 억제된다.
이하, 도 10, 도 11의 (a), 도 11의 (b), 도 12의 (a), 도 12의 (b), 도 13, 도 14의 (a), 도 14의 (b), 도 15의 (a), 및 도 15의 (b)를 참조한다. 도 10은, 도 3에 나타내는 에칭 방법의 공정 STb의 예를 나타내는 흐름도이다. 도 13은, 도 3에 나타내는 에칭 방법의 공정 STc의 예를 나타내는 흐름도이다. 도 11의 (a), 도 11의 (b), 도 12의 (a), 도 12의 (b), 도 14의 (a), 도 14의 (b), 도 15의 (a), 및 도 15의 (b) 각각은, 도 3에 나타내는 에칭 방법의 대응하는 공정이 적용된 일례의 기판을 나타내는 단면도이다.
일 실시형태에 있어서, 공정 STb는, 도 10에 나타내는 바와 같이, 제1 사이클 CY1을 반복하는 것을 포함하고 있어도 된다. 제1 사이클 CY1은, 공정 STb1 및 공정 STb2를 포함한다.
공정 STb1은, 제1 기간에 있어서 행해진다. 공정 STb1에서는, 도 11의 (a) 및 도 11의 (b)에 나타내는 바와 같이, 퇴적물(D1)(제1 퇴적물)이, 복수의 제1 막(F1) 중 하나 이상의 제1 막(F1) 상에 형성된다. 퇴적물(D1)은, 하나 이상의 제1 막(F1) 각각의 전체 영역 중, 그 위에 마스크(MK) 및 다층막(ML)의 다른 막이 존재하고 있지 않은 영역에 형성된다. 또한, 퇴적물(D1)은, 기판(W)의 표면의 전체에 형성되어도 된다. 퇴적물(D1)은, 챔버(10) 내에서 상술한 제1 처리 가스로부터 생성된 플라즈마로부터 기판(W)에 공급된다. 공정 STb1이 행해지는 기간은, 상술한 제1 기간(P1)이어도 된다. 즉, 공정 STb1에서는, 펄스(HFP)가 공급되어도 된다. 공정 STb1에서는, 전기 바이어스(EB)는 공급되지 않아도 되고, 전기 바이어스(EB)의 레벨이 공정 STb2에 있어서의 전기 바이어스(EB)의 레벨보다 낮은 레벨로 설정되어도 된다.
공정 STb1에서는, 제어부(2)는, 제1 처리 가스를 챔버(10) 내에 공급하도록, 가스 공급부(20)를 제어한다. 공정 STb1에서는, 제어부(2)는, 챔버(10) 내의 압력을 지정 압력으로 설정하도록, 배기 시스템(40)을 제어한다. 공정 STb1에서는, 제어부(2)는, 챔버(10) 내에서 제1 처리 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부(12)를 제어한다. 일 실시형태에서는, 제어부(2)는, 제1 처리 가스로부터 플라즈마를 생성하기 위하여, 소스 고주파 전력(HF)(또는 그 펄스(HFP))을 공급하도록, 제1 RF 생성부(31a)를 제어한다.
공정 STb2는, 제1 기간 후의 제2 기간에 있어서 행해진다. 공정 STb2에서는, 하나 이상의 제1 막(F1)이, 도 12의 (a) 및 도 12의 (b)에 나타내는 바와 같이, 챔버(10) 내에서 상술한 제1 처리 가스로부터 생성된 플라즈마를 이용하여 에칭된다. 공정 STb2에서는, 하나 이상의 제1 막(F1) 각각의 전체 영역 중, 그 위에 마스크(MK) 및 다층막(ML)의 다른 막이 존재하고 있지 않은 영역이 에칭된다. 또, 공정 STb2에서는, 에칭에 의하여 생긴 반응 생성물이, 퇴적물(D3)(제3 퇴적물)로서 기판(W) 상에 퇴적된다. 퇴적물(D3)의 일부는, 기판(W)에 형성되어 있는 오목부를 구획형성하는 측벽면, 구체적으로는 제2 막(F2)의 단면을 포함하는 측벽면에 형성된다. 공정 STb2가 행해지는 기간은, 상술한 제2 기간(P2)이어도 된다. 즉, 공정 STb2에서는, 전기 바이어스(EB)가 공급된다. 공정 STb2에서는, 소스 고주파 전력(HF)이 공급되어도 된다. 공정 STb2에서는, 소스 고주파 전력(HF)의 파워 레벨은, 공정 STb1에 있어서의 소스 고주파 전력(HF)의 파워 레벨보다 낮은 레벨로 설정될 수 있다.
공정 STb2에서는, 제어부(2)는, 제1 처리 가스를 챔버(10) 내에 공급하도록, 가스 공급부(20)를 제어한다. 공정 STb2에서는, 제어부(2)는, 챔버(10) 내의 압력을 지정 압력으로 설정하도록, 배기 시스템(40)을 제어한다. 공정 STb2에서는, 제어부(2)는, 챔버(10) 내에서 제1 처리 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부(12)를 제어한다. 일 실시형태에서는, 제어부(2)는, 제1 처리 가스로부터 플라즈마를 생성하기 위하여, 소스 고주파 전력(HF)을 공급하도록, 제1 RF 생성부(31a)를 제어한다. 또, 제어부(2)는, 기판 지지부(11)(또는 하부 전극)에 전기 바이어스(EB)(또는 그 펄스(EBP))를 공급하도록, 바이어스 전원을 제어한다.
공정 STb는, 공정 STbJ를 더 포함하고 있어도 된다. 공정 STbJ에서는, 정지 조건이 충족되는지 아닌지가 판정된다. 정지 조건은, 제1 사이클 CY1의 실행 횟수가 소정 횟수에 이르고 있을 때에 충족된다. 공정 STbJ에 있어서 정지 조건이 충족되지 않는다고 판정되면, 다시 제1 사이클 CY1이 실행된다. 한편, 공정 STbJ에 있어서 정지 조건이 충족되어 있다고 판정되면, 공정 STb가 종료되고, 공정 STc로 처리가 넘어간다.
일 실시형태에 있어서, 공정 STc는, 도 13에 나타내는 바와 같이, 제2 사이클 CY2를 반복하는 것을 포함하고 있어도 된다. 제2 사이클 CY2는, 공정 STc1 및 공정 STc2를 포함한다.
공정 STc1은, 제3 기간에 있어서 행해진다. 공정 STc1에서는, 도 14의 (a) 및 도 14의 (b)에 나타내는 바와 같이, 퇴적물(D2)(제2 퇴적물)이, 복수의 제2 막(F2) 중 하나 이상의 제2 막(F2) 위에 형성된다. 퇴적물(D2)은, 하나 이상의 제2 막(F2) 각각의 전체 영역 중, 그 위에 마스크(MK) 및 다층막(ML)의 다른 막이 존재하고 있지 않은 영역에 형성된다. 또한, 퇴적물(D2)은, 기판(W)의 표면의 전체에 형성되어도 된다. 퇴적물(D2)은, 챔버(10) 내에서 상술한 제2 처리 가스로부터 생성된 플라즈마로부터 기판(W)에 공급된다. 공정 STc1이 행해지는 기간은, 상술한 제3 기간(P3)이어도 된다. 즉, 공정 STc1에서는, 펄스(HFP)가 공급되어도 된다. 공정 STc1에서는, 전기 바이어스(EB)는 공급되지 않아도 되고, 전기 바이어스(EB)의 레벨이 공정 STc2에 있어서의 전기 바이어스(EB)의 레벨보다 낮은 레벨로 설정되어도 된다.
공정 STc1에서는, 제어부(2)는, 제2 처리 가스를 챔버(10) 내에 공급하도록, 가스 공급부(20)를 제어한다. 공정 STc1에서는, 제어부(2)는, 챔버(10) 내의 압력을 지정 압력으로 설정하도록, 배기 시스템(40)을 제어한다. 공정 STc1에서는, 제어부(2)는, 챔버(10) 내에서 제2 처리 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부(12)를 제어한다. 일 실시형태에서는, 제어부(2)는, 제2 처리 가스로부터 플라즈마를 생성하기 위하여, 소스 고주파 전력(HF)(또는 그 펄스(HFP))을 공급하도록, 제1 RF 생성부(31a)를 제어한다.
공정 STc2는, 제3 기간 후의 제4 기간에 있어서 행해진다. 공정 STc2에서는, 하나 이상의 제2 막(F2)이, 도 15의 (a) 및 도 15의 (b)에 나타내는 바와 같이, 챔버(10) 내에서 상술한 제2 처리 가스로부터 생성된 플라즈마를 이용하여 에칭된다. 공정 STc2에서는, 하나 이상의 제2 막(F2) 각각의 전체 영역 중, 그 위에 마스크(MK) 및 다층막(ML)의 다른 막이 존재하고 있지 않은 영역이 에칭된다. 공정 STc2에서는, 퇴적물(D3)이, 하나 이상의 제2 막(F2)과 함께 에칭된다.
공정 STc2가 행해지는 기간은, 상술한 제4 기간(P4)이어도 된다. 즉, 공정 STc2에서는, 전기 바이어스(EB)가 공급된다. 공정 STc2에서는, 소스 고주파 전력(HF)이 공급되어도 된다. 공정 STc2에서는, 소스 고주파 전력(HF)의 파워 레벨은, 공정 STc1에 있어서의 소스 고주파 전력(HF)의 파워 레벨보다 낮은 레벨로 설정될 수 있다.
공정 STc2에서는, 제어부(2)는, 제2 처리 가스를 챔버(10) 내에 공급하도록, 가스 공급부(20)를 제어한다. 공정 STc2에서는, 제어부(2)는, 챔버(10) 내의 압력을 지정 압력으로 설정하도록, 배기 시스템(40)을 제어한다. 공정 STc2에서는, 제어부(2)는, 챔버(10) 내에서 제2 처리 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부(12)를 제어한다. 일 실시형태에서는, 제어부(2)는, 제2 처리 가스로부터 플라즈마를 생성하기 위하여, 소스 고주파 전력(HF)을 공급하도록, 제1 RF 생성부(31a)를 제어한다. 또, 제어부(2)는, 기판 지지부(11)(또는 하부 전극)에 전기 바이어스(EB)(또는 그 펄스(EBP))를 공급하도록, 바이어스 전원을 제어한다.
공정 STc는, 공정 STcJ를 더 포함하고 있어도 된다. 공정 STcJ에서는, 정지 조건이 충족되는지 아닌지가 판정된다. 정지 조건은, 제2 사이클 CY2의 실행 횟수가 소정 횟수에 이르고 있을 때에 충족된다. 공정 STcJ에 있어서 정지 조건이 충족되지 않는다고 판정되면, 다시 제2 사이클 CY2가 실행된다. 한편, 공정 STcJ에 있어서 정지 조건이 충족되어 있다고 판정되면, 공정 STc가 종료된다.
이상, 다양한 예시적 실시형태에 대하여 설명해 왔지만, 상술한 예시적 실시형태에 한정되지 않고, 다양한 추가, 생략, 치환, 및 변경이 이루어져도 된다. 또, 상이한 실시형태에 있어서의 요소를 조합하여 다른 실시형태를 형성하는 것이 가능하다.
예를 들면, 방법 MT가 적용되는 기판(W)의 다층막(ML)은, 계단 형상을 갖고 있지 않아도 된다. 또, 방법 MT가 적용되기 전 상태에 있어서의 기판(W)의 다층막(ML)은, 오목부를 갖고 있지 않아도 된다.
또, 상술한 제1 처리 가스 및 제2 처리 가스 각각은, 할로젠 원소 및 인을 더 포함하고 있어도 된다. 제1 처리 가스 및 제2 처리 가스 각각에 포함되는 할로젠 원소는, 불소일 수 있다. 제1 처리 가스 및 제2 처리 가스 각각은, 적어도 하나의 할로젠 함유 분자를 포함할 수 있다. 제1 처리 가스 및 제2 처리 가스 각각은, 적어도 하나의 할로젠 함유 분자로서, 플루오로카본 또는 하이드로플루오로카본 중 적어도 하나를 포함할 수 있다. 플루오로카본은, 예를 들면 CF4, C3F8, C4F6, 또는 C4F8 중 적어도 하나이다. 하이드로플루오로카본은, 예를 들면 CH2F2, CHF3, 또는 CH3F 중 적어도 하나이다. 하이드로플루오로카본은, 2개 이상의 탄소를 포함하고 있어도 된다. 하이드로플루오로카본은, 예를 들면, 3개의 탄소, 또는 4개의 탄소를 포함하고 있어도 된다.
제1 처리 가스 및 제2 처리 가스 각각은, 상술한 인으로서, 적어도 하나의 인 함유 분자를 포함할 수 있다. 인 함유 분자는, 십산화 사인(P4O10), 팔산화 사인(P4O8), 육산화 사인(P4O6)과 같은 산화물이어도 된다. 십산화 사인은, 오산화 이인(P2O5)이라고 불리는 경우가 있다. 인 함유 분자는, 삼불화 인(PF3), 오불화 인(PF5), 삼염화 인(PCl3), 오염화 인(PCl5), 삼브로민화 인(PBr3), 오브로민화 인(PBr5), 아이오딘화 인(PI3)과 같은 할로젠화물이어도 된다. 즉, 인을 포함하는 분자는, 할로젠 원소로서 불소를 포함하고 있어도 된다. 혹은, 인을 포함하는 분자는, 할로젠 원소로서 불소 이외의 할로젠 원소를 포함하고 있어도 된다. 인 함유 분자는, 불화 포스포릴(POF3), 염화 포스포릴(POCl3), 브로민화 포스포릴(POBr3)과 같은 할로젠화 포스포릴이어도 된다. 인 함유 분자는, 포스핀(PH3), 인화 칼슘(Ca3P2 등), 인산(H3PO4), 인산 나트륨(Na3PO4), 헥사플루오로 인산(HPF6) 등이어도 된다. 인 함유 분자는, 플루오로포스핀류(HxPFy)여도 된다. 여기에서, x와 y의 합은, 3 또는 5이다. 플루오로포스핀류로서는, HPF2, H2PF3이 예시된다. 제1 처리 가스 및 제2 처리 가스 각각은, 적어도 하나의 인 함유 분자로서, 상기의 인 함유 분자 중 하나 이상의 인 함유 분자를 포함할 수 있다. 예를 들면, 제1 처리 가스 및 제2 처리 가스 각각은, 적어도 하나의 인 함유 분자로서, PF3, PCl3, PF5, PCl5, POCl3, PH3, PBr3, 또는 PBr5 중 적어도 하나를 포함할 수 있다. 또한, 제1 처리 가스 및 제2 처리 가스 각각에 포함되는 각 인 함유 분자는, 그것이 액체 또는 고체인 경우에는, 가열 등에 의하여 기화되어 챔버(10) 내에 공급될 수 있다.
제1 처리 가스 및 제2 처리 가스 각각은, 탄소 및 수소를 더 포함하고 있어도 된다. 제1 처리 가스 및 제2 처리 가스 각각은, 수소를 포함하는 분자로서, H2, 불화 수소(HF), 탄화 수소(CxHy), 하이드로플루오로카본(CHxFy), 또는 NH3 중 적어도 하나를 포함하고 있어도 된다. 탄화 수소는, 예를 들면 CH4 또는 C3H6이다. 여기에서, x 및 y 각각은 자연수이다. 제1 처리 가스 및 제2 처리 가스 각각은, 탄소를 포함하는 분자로서, 플루오로카본 또는 탄화 수소(예를 들면 CH4)를 포함하고 있어도 된다. 제1 처리 가스 및 제2 처리 가스 각각은, 산소를 더 포함하고 있어도 된다. 이들 처리 가스는, 예를 들면 O2를 포함하고 있어도 된다. 혹은, 이들 처리 가스는, 산소를 포함하고 있지 않아도 된다.
일 실시형태에 있어서, 제1 처리 가스 및 제2 처리 가스 각각은, 인 함유 가스, 불소 함유 가스, 및 수소 함유 가스를 포함하고 있어도 된다. 수소 함유 가스는, 불화 수소(HF), H2, 암모니아(NH3), 및 탄화 수소로 이루어지는 군으로부터 선택되는 적어도 하나를 함유한다. 인 함유 가스는, 상술한 인 함유 분자 중 적어도 하나를 포함한다. 불소 함유 가스는, 플루오로카본 가스 및 탄소를 함유하지 않는 불소 함유 가스로 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 포함한다. 플루오로카본 가스는, 상술한 플루오로카본을 함유하는 가스이다. 탄소를 함유하지 않는 불소 함유 가스는, 예를 들면 삼불화 질소 가스(NF3 가스) 또는 육불화 황 가스(SF6 가스)이다. 또, 제1 처리 가스 및 제2 처리 가스 각각은, 하이드로플루오로카본 가스를 더 포함하고 있어도 된다. 하이드로플루오로카본 가스는, 상술한 하이드로플루오로카본의 가스이다. 또, 제1 처리 가스 및 제2 처리 가스 각각은, 불소 이외의 할로젠 원소를 함유하는 할로젠 함유 가스를 더 포함하고 있어도 된다. 할로젠 함유 가스는, 예를 들면 Cl2 가스 및/또는 HBr 가스이다.
일례에 있어서, 제1 처리 가스 및 제2 처리 가스 각각은, 인 함유 가스, 플루오로카본 가스, 수소 함유 가스, 및 산소 함유 가스(예를 들면 O2 가스)를 포함하거나, 실질적으로 이들로 이루어져 있어도 된다. 다른 일례에 있어서, 제1 처리 가스 및 제2 처리 가스 각각은, 인 함유 가스, 탄소를 함유하지 않는 불소 함유 가스, 플루오로카본 가스, 수소 함유 가스, 하이드로플루오로카본 가스, 및 불소 이외의 할로젠 원소를 함유하는 할로젠 함유 가스를 포함하거나, 실질적으로 이들로 이루어져 있어도 된다.
다른 실시형태에 있어서, 제1 처리 가스 및 제2 처리 가스 각각은, 상술한 인 함유 가스, 상술한 불소 함유 가스, 상술한 하이드로플루오로카본 가스, 및 상술한 불소 이외의 할로젠 원소를 함유하는 할로젠 함유 가스를 포함하거나, 실질적으로 이들로 이루어져 있어도 된다.
일 실시형태에 있어서, 제1 처리 가스 및 제2 처리 가스 각각은, 제1 가스 및 제2 가스를 포함할 수 있다. 제1 가스는, 인을 함유하지 않는 가스이다. 즉, 제1 가스는, 처리 가스에 포함되는 인 함유 가스 이외의 모든 가스이다. 제1 가스는, 할로젠 원소를 포함할 수 있다. 제1 가스는, 상술한 적어도 하나의 할로젠 함유 분자의 가스를 포함할 수 있다. 제1 가스는, 탄소 및 수소를 더 포함하고 있어도 된다. 제1 가스는, 상술한 수소를 포함하는 분자의 가스 및/또는 탄소를 포함하는 분자의 가스를 더 포함하고 있어도 된다. 제1 가스는, 산소를 더 포함하고 있어도 된다. 제1 가스는, O2 가스를 포함하고 있어도 된다. 혹은, 제1 가스는, 산소를 포함하고 있지 않아도 된다. 제2 가스는, 인을 함유하는 가스이다. 즉, 제2 가스는, 상술한 인 함유 가스이다. 제2 가스는, 상술한 적어도 하나의 인 함유 분자의 가스를 포함하고 있어도 된다.
제1 처리 가스 및 제2 처리 가스 각각에 있어서, 제1 가스의 유량에 대한 제2 가스의 유량의 비인 유량비는, 0보다 크고, 0.5 이하로 설정되어도 된다. 유량비는, 0.075 이상, 0.3 이하로 설정되어도 된다. 유량비는, 0.1 이상, 0.25 이하로 설정되어도 된다.
이하, 방법 MT의 평가를 위하여 행한 다양한 실험에 대하여 설명한다. 또한, 방법 MT는, 이하에 설명하는 다양한 실험에 의하여 한정되는 것은 아니다.
(제1~제3 실험)
제1~제3 실험 각각에서는, 도 4의 (a) 및 도 4의 (b)에 나타낸 기판(W)과 동일한 구조의 샘플 기판을 준비했다. 샘플 기판에 있어서, 복수의 제1 막(F1) 각각은 실리콘 산화막이며, 복수의 제2 막(F2) 각각은 실리콘 질화막이었다. 제1~제3 실험 각각에서는, 플라즈마 처리 장치(1)를 이용하여, 샘플 기판의 다층막(ML)을, 하지 영역(UR)이 노출될 때까지 에칭했다. 제1~제3 실험 각각에서는, 제1 처리 가스로서, 플루오로카본 가스, 산소 가스, 및 아르곤 가스를 포함하는 혼합 가스를 이용했다. 또, 제1~제3 실험 각각에서는, 제2 처리 가스로서, 하이드로플루오로카본 가스, 플루오로카본 가스, 산소 가스, 및 아르곤 가스를 포함하는 혼합 가스를 이용했다. 제1 실험에서는, 공정 STb 및 공정 STc 각각에 있어서, 소스 고주파 전력(HF) 및 전기 바이어스를 연속적으로 공급했다. 제2 실험에서는, 방법 MT의 공정 STb 및 공정 STc 각각에 있어서, 도 8에 나타내는 바와 같이 서로 동기된 펄스(HFP) 및 펄스(EBP)를 공급했다. 제2 실험에 있어서, 펄스 주기(PC)의 시간 길이의 역수인 펄스 주파수는, 5kHz이며, 듀티비는 80%였다. 제3 실험에서는, 방법 MT의 공정 STb 및 공정 STc 각각에 있어서, 도 9에 나타내는 바와 같이 펄스(HFP) 및 펄스(EBP)를 공급했다. 제3 실험에 있어서, 펄스 주기(PC)의 시간 길이의 역수인 펄스 주파수는, 2kHz였다. 또, 제3 실험에서는, 펄스 주기(PC)에 있어서 제1 기간(P1)의 시간 길이가 차지하는 비율 및 펄스 주기(PC)에 있어서 제3 기간(P3)의 시간 길이가 차지하는 비율 각각, 즉 듀티비는, 70%였다.
제1~제3 실험 각각에서는, 에칭 후의 샘플 기판에 있어서의 폭(W1)과 폭(W2)의 합계에 대한 폭(W1)의 비의 값을 구했다. 또한, 방법 MT의 적용 전에, 샘플 기판에 있어서의 폭(W1)과 폭(W2)의 합계에 대한 폭(W2)의 비의 값은, 약 0.5였다. 그리고, 제1~제3 실험에서의 방법 MT의 적용 후의 비의 값은 각각, 0.32, 0.46, 0.43이었다. 따라서, 공정 STb 및 공정 STc 각각에 있어서, 펄스(HFP) 및 펄스(EBP)를 공급함으로써, 에칭 전의 샘플 기판에 있어서의 폭(W1)과 폭(W2)의 합계에 대한 폭(W2)의 비의 값에 대하여, 에칭 후의 해당 비의 값의 변화가 저감되는 것이 확인되었다. 따라서, 방법 MT에 의하면, 다층막(ML)의 에칭에 의하여 오목부의 깊이가 증가되어도, 오목부의 폭의 확대가 억제되는 것이 확인되었다.
여기에서, 본 개시에 포함되는 다양한 예시적 실시형태를, 이하의 [E1]~[E16]에 기재한다.
[E1]
(a) 플라즈마 처리 장치의 챔버 내에서 기판 지지부 상에 기판을 준비하는 공정이며, 상기 기판은, 산화 실리콘으로 형성된 복수의 제1 막 및 질화 실리콘으로 형성되어 있고 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 포함하는 다층막과, 상기 다층막 상에 마련된 마스크를 포함하며, 상기 다층막은, 상기 마스크의 개구의 하방에 제1 영역 및 상기 제1 영역에 인접하는 제2 영역을 포함하고 있고, 상기 제1 영역 및 상기 제2 영역 각각은, 그들이 나열되는 방향에 직교하는 단면에 있어서 계단 형상을 갖고 있으며, 상기 마스크의 개구의 하방에서 상기 제1 영역이 구획형성하는 제1 오목부의 깊이는, 상기 마스크의 개구의 하방에서 상기 제2 영역이 구획형성하는 제2 오목부의 깊이보다 깊은, 상기 공정과,
(b) 상기 챔버 내에서 제1 처리 가스로부터 플라즈마를 생성함으로써, 상기 복수의 제1 막 중 하나 이상의 제1 막을 에칭하는 공정과,
(c) 상기 챔버 내에서 제2 처리 가스로부터 플라즈마를 생성함으로써, 상기 복수의 제2 막 중 하나 이상의 제2 막을 에칭하는 공정을 포함하고,
상기 (b) 및 상기 (c) 각각에 있어서, 상기 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스 및 상기 챔버 내의 플라즈마로부터 상기 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가 간헐적 또는 주기적으로 공급되는, 에칭 방법.
[E2]
상기 소스 고주파 전력의 상기 펄스와 상기 전기 바이어스의 상기 펄스는, 동기되어 있고, 동시에 공급되는, E1에 기재된 에칭 방법.
[E3]
상기 (b)가 행해지는 기간은, 제1 기간 및 상기 제1 기간과 교대되는 제2 기간을 포함하고,
상기 (c)가 행해지는 기간은, 제3 기간 및 상기 제3 기간과 교대되는 제4 기간을 포함하며,
상기 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스가, 상기 제1 기간 및 상기 제3 기간 각각에 있어서 공급되고,
상기 챔버 내의 플라즈마로부터 상기 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가, 상기 제2 기간 및 제4 기간 각각에 있어서 공급되는, E1에 기재된 에칭 방법.
[E4]
상기 제2 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제1 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮고,
상기 제4 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제3 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮은, E3에 기재된 에칭 방법.
[E5]
상기 전기 바이어스는, 상기 제2 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제1 기간에 있어서 갖거나, 상기 제1 기간에 있어서 정지되고, 또한, 상기 제4 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제3 기간에 있어서 갖거나, 상기 제3 기간에 있어서 정지되는, E3 또는 E4에 기재된 에칭 방법.
[E6]
상기 제1 기간의 시간 길이와 상기 제2 기간의 시간 길이의 합계에서 차지하는 상기 제1 기간의 시간 길이의 비율 및 상기 제3 기간의 시간 길이와 상기 제4 기간의 시간 길이의 합계에서 차지하는 상기 제3 기간의 시간 길이의 비율 각각은, 65% 이상인, E3 내지 E5 중 어느 한 항에 기재된 에칭 방법.
[E7]
상기 제1 처리 가스는, 플루오로카본 가스를 포함하고,
상기 제2 처리 가스는, 하이드로플루오로카본 가스를 포함하는, E1 내지 E6 중 어느 한 항에 기재된 에칭 방법.
[E8]
상기 (b) 및 상기 (c)가 교대로 반복되는, E1 내지 E7 중 어느 한 항에 기재된 에칭 방법.
[E9]
(a) 플라즈마 처리 장치의 챔버 내에서 기판 지지부 상에 기판을 준비하는 공정이며, 상기 기판은, 복수의 제1 막 및 상기 복수의 제1 막의 재료와는 상이한 재료로 형성되어 있고 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 갖는 다층막을 포함하는, 상기 공정과,
(b) 제1 사이클을 반복하는 공정으로서, 상기 제1 사이클은,
(b1) 제1 기간에 있어서, 상기 복수의 제1 막 중 하나 이상의 제1 막 상에 제1 퇴적물을 형성하는 공정이며, 상기 제1 퇴적물은 상기 챔버 내에서 제1 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
(b2) 제2 기간에 있어서 상기 챔버 내에서 상기 제1 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제1 막을 에칭하는 공정을 포함하는, 상기 공정과,
(c) 제2 사이클을 반복하는 공정으로서, 상기 제2 사이클은,
(c1) 제3 기간에 있어서, 상기 복수의 제2 막 중 하나 이상의 제2 막 상에 제2 퇴적물을 형성하는 공정이고, 상기 제2 퇴적물은 상기 챔버 내에서 제2 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
(c2) 제4 기간에 있어서 상기 챔버 내에서 상기 제2 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제2 막을 에칭하는 공정이며, 상기 (b2)에 있어서 상기 기판 상에 형성된 제3 퇴적물이, 상기 하나 이상의 제2 막과 함께 에칭되는, 상기 공정을 포함하는, 상기 공정을 포함하는, 에칭 방법.
[E10]
상기 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스가, 상기 제1 기간 및 상기 제3 기간 각각에 있어서 공급되고,
상기 챔버 내의 플라즈마로부터 상기 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가, 상기 제2 기간 및 제4 기간 각각에 있어서 공급되는, E9에 기재된 에칭 방법.
[E11]
상기 제2 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제1 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮고,
상기 제4 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제3 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮은, E10에 기재된 에칭 방법.
[E12]
상기 전기 바이어스는, 상기 제2 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제1 기간에 있어서 갖거나, 상기 제1 기간에 있어서 정지되고, 또한, 상기 제4 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제3 기간에 있어서 갖거나, 상기 제3 기간에 있어서 정지되는, E10 또는 E11에 기재된 에칭 방법.
[E13]
상기 제1 기간의 시간 길이와 상기 제2 기간의 시간 길이의 합계에서 차지하는 상기 제1 기간의 시간 길이의 비율 및 상기 제3 기간의 시간 길이와 상기 제4 기간의 시간 길이의 합계에서 차지하는 상기 제3 기간의 시간 길이의 비율 각각은, 65% 이상인, E10 내지 E12 중 어느 한 항에 기재된 에칭 방법.
[E14]
상기 복수의 제1 막 각각은, 산화 실리콘으로 형성되어 있고,
상기 복수의 제2 막 각각은, 질화 실리콘으로 형성되어 있으며,
상기 제1 처리 가스는, 플루오로카본 가스를 포함하고,
상기 제2 처리 가스는, 하이드로플루오로카본 가스를 포함하는, E9 내지 E13 중 어느 한 항에 기재된 에칭 방법.
[E15]
상기 (b) 및 상기 (c)가 교대로 반복되는, E9 내지 E14 중 어느 한 항에 기재된 에칭 방법.
[E16]
챔버와,
상기 챔버 내에 마련된 기판 지지부와,
상기 챔버 내에서 가스로부터 플라즈마를 생성하기 위한 플라즈마 생성부와,
상기 기판 지지부에 전기적으로 결합된 바이어스 전원과,
상기 플라즈마 생성부 및 상기 바이어스 전원을 제어하도록 구성된 제어부를 구비하며,
상기 제어부는, 복수의 제1 막 및 상기 복수의 제1 막의 재료와는 상이한 재료로 형성되어 있고 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 갖는 다층막을 포함하는 기판이 상기 기판 지지부 상에 재치되어 있는 상태에서,
(b) 제1 사이클을 반복하는 공정으로서, 상기 제1 사이클은,
(b1) 제1 기간에 있어서, 상기 복수의 제1 막 중 하나 이상의 제1 막 상에 제1 퇴적물을 형성하는 공정이며, 상기 제1 퇴적물은 상기 챔버 내에서 제1 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
(b2) 제2 기간에 있어서 상기 제1 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제1 막을 에칭하는 공정을 포함하는, 상기 공정과,
(c) 제2 사이클을 반복하는 공정으로서, 상기 제2 사이클은,
(c1) 제3 기간에 있어서, 상기 복수의 제2 막 중 하나 이상의 제2 막 상에 제2 퇴적물을 형성하는 공정이고, 상기 제2 퇴적물은 상기 챔버 내에서 제2 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
(c2) 제4 기간에 있어서 상기 제2 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제2 막을 에칭하는 공정이며, 상기 (b2)에 있어서 상기 기판 상에 형성된 제3 퇴적물이, 상기 하나 이상의 제2 막과 함께 에칭되는, 상기 공정을 포함하는, 상기 공정을 행하도록 구성되어 있는, 플라즈마 처리 장치.
이상의 설명으로부터, 본 개시의 다양한 실시형태는, 설명의 목적으로 본 명세서에서 설명되어 있고, 본 개시의 범위 및 주지로부터 일탈하지 않고 다양한 변경을 할 수 있는 것이, 이해될 것이다. 따라서, 본 명세서에 개시한 다양한 실시형태는 한정하는 것을 의도하고 있지 않고, 실제 범위와 주지는, 첨부된 특허청구의 범위에 의하여 나타난다.

Claims (16)

  1. (a) 플라즈마 처리 장치의 챔버 내에서 기판 지지부 상에 기판을 준비하는 공정이며, 상기 기판은, 산화 실리콘으로 형성된 복수의 제1 막 및 질화 실리콘으로 형성되어 있고 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 포함하는 다층막과, 상기 다층막 상에 마련된 마스크를 포함하며, 상기 다층막은, 상기 마스크의 개구의 하방에 제1 영역 및 상기 제1 영역에 인접하는 제2 영역을 포함하고 있고, 상기 제1 영역 및 상기 제2 영역 각각은, 그들이 나열되는 방향에 직교하는 단면에 있어서 계단 형상을 갖고 있으며, 상기 마스크의 개구의 하방에서 상기 제1 영역이 구획형성하는 제1 오목부의 깊이는, 상기 마스크의 개구의 하방에서 상기 제2 영역이 구획형성하는 제2 오목부의 깊이보다 깊은, 상기 공정과,
    (b) 상기 챔버 내에서 제1 처리 가스로부터 플라즈마를 생성함으로써, 상기 복수의 제1 막 중 하나 이상의 제1 막을 에칭하는 공정과,
    (c) 상기 챔버 내에서 제2 처리 가스로부터 플라즈마를 생성함으로써, 상기 복수의 제2 막 중 하나 이상의 제2 막을 에칭하는 공정을 포함하고,
    상기 (b) 및 상기 (c) 각각에 있어서, 상기 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스 및 상기 챔버 내의 플라즈마로부터 상기 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가 간헐적 또는 주기적으로 공급되는, 에칭 방법.
  2. 청구항 1에 있어서,
    상기 소스 고주파 전력의 상기 펄스와 상기 전기 바이어스의 상기 펄스는, 동기되어 있고, 동시에 공급되는, 에칭 방법.
  3. 청구항 1에 있어서,
    상기 (b)가 행해지는 기간은, 제1 기간 및 상기 제1 기간과 교대되는 제2 기간을 포함하고,
    상기 (c)가 행해지는 기간은, 제3 기간 및 상기 제3 기간과 교대되는 제4 기간을 포함하며,
    상기 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스가, 상기 제1 기간 및 상기 제3 기간 각각에 있어서 공급되고,
    상기 챔버 내의 플라즈마로부터 상기 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가, 상기 제2 기간 및 제4 기간 각각에 있어서 공급되는, 에칭 방법.
  4. 청구항 3에 있어서,
    상기 제2 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제1 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮고,
    상기 제4 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제3 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮은, 에칭 방법.
  5. 청구항 4에 있어서,
    상기 전기 바이어스는, 상기 제2 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제1 기간에 있어서 갖거나, 상기 제1 기간에 있어서 정지되고, 또한, 상기 제4 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제3 기간에 있어서 갖거나, 상기 제3 기간에 있어서 정지되는, 에칭 방법.
  6. 청구항 3 내지 청구항 5 중 어느 한 항에 있어서,
    상기 제1 기간의 시간 길이와 상기 제2 기간의 시간 길이의 합계에서 차지하는 상기 제1 기간의 시간 길이의 비율 및 상기 제3 기간의 시간 길이와 상기 제4 기간의 시간 길이의 합계에서 차지하는 상기 제3 기간의 시간 길이의 비율 각각은, 65% 이상인, 에칭 방법.
  7. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    상기 제1 처리 가스는, 플루오로카본 가스를 포함하고,
    상기 제2 처리 가스는, 하이드로플루오로카본 가스를 포함하는, 에칭 방법.
  8. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    상기 (b) 및 상기 (c)가 교대로 반복되는, 에칭 방법.
  9. (a) 플라즈마 처리 장치의 챔버 내에서 기판 지지부 상에 기판을 준비하는 공정이며, 상기 기판은, 복수의 제1 막 및 상기 복수의 제1 막의 재료와는 상이한 재료로 형성되어 있고 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 갖는 다층막을 포함하는, 상기 공정과,
    (b) 제1 사이클을 반복하는 공정으로서, 상기 제1 사이클은,
    (b1) 제1 기간에 있어서, 상기 복수의 제1 막 중 하나 이상의 제1 막 상에 제1 퇴적물을 형성하는 공정이며, 상기 제1 퇴적물은 상기 챔버 내에서 제1 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
    (b2) 제2 기간에 있어서 상기 챔버 내에서 상기 제1 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제1 막을 에칭하는 공정을 포함하는, 상기 공정과,
    (c) 제2 사이클을 반복하는 공정으로서, 상기 제2 사이클은,
    (c1) 제3 기간에 있어서, 상기 복수의 제2 막 중 하나 이상의 제2 막 상에 제2 퇴적물을 형성하는 공정이고, 상기 제2 퇴적물은 상기 챔버 내에서 제2 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
    (c2) 제4 기간에 있어서 상기 챔버 내에서 상기 제2 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제2 막을 에칭하는 공정이며, 상기 (b2)에 있어서 상기 기판 상에 형성된 제3 퇴적물이, 상기 하나 이상의 제2 막과 함께 에칭되는, 상기 공정을 포함하는, 상기 공정을 포함하는, 에칭 방법.
  10. 청구항 9에 있어서,
    상기 챔버 내에서 플라즈마를 생성하기 위한 소스 고주파 전력의 펄스가, 상기 제1 기간 및 상기 제3 기간 각각에 있어서 공급되고,
    상기 챔버 내의 플라즈마로부터 상기 기판에 이온을 끌어들이기 위한 전기 바이어스의 펄스가, 상기 제2 기간 및 제4 기간 각각에 있어서 공급되는, 에칭 방법.
  11. 청구항 10에 있어서,
    상기 제2 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제1 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮고,
    상기 제4 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨은, 상기 제3 기간에 있어서의 상기 소스 고주파 전력의 파워 레벨보다 낮은, 에칭 방법.
  12. 청구항 11에 있어서,
    상기 전기 바이어스는, 상기 제2 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제1 기간에 있어서 갖거나, 상기 제1 기간에 있어서 정지되고, 또한, 상기 제4 기간에 있어서의 상기 전기 바이어스의 레벨보다 낮은 레벨을 상기 제3 기간에 있어서 갖거나, 상기 제3 기간에 있어서 정지되는, 에칭 방법.
  13. 청구항 10 내지 청구항 12 중 어느 한 항에 있어서,
    상기 제1 기간의 시간 길이와 상기 제2 기간의 시간 길이의 합계에서 차지하는 상기 제1 기간의 시간 길이의 비율 및 상기 제3 기간의 시간 길이와 상기 제4 기간의 시간 길이의 합계에서 차지하는 상기 제3 기간의 시간 길이의 비율 각각은, 65% 이상인, 에칭 방법.
  14. 청구항 9 내지 청구항 12 중 어느 한 항에 있어서,
    상기 복수의 제1 막 각각은, 산화 실리콘으로 형성되어 있고,
    상기 복수의 제2 막 각각은, 질화 실리콘으로 형성되어 있으며,
    상기 제1 처리 가스는, 플루오로카본 가스를 포함하고,
    상기 제2 처리 가스는, 하이드로플루오로카본 가스를 포함하는, 에칭 방법.
  15. 청구항 9 내지 청구항 12 중 어느 한 항에 있어서,
    상기 (b) 및 상기 (c)가 교대로 반복되는, 에칭 방법.
  16. 챔버와,
    상기 챔버 내에 마련된 기판 지지부와,
    상기 챔버 내에서 가스로부터 플라즈마를 생성하기 위한 플라즈마 생성부와,
    상기 기판 지지부에 전기적으로 결합된 바이어스 전원과,
    상기 플라즈마 생성부 및 상기 바이어스 전원을 제어하도록 구성된 제어부를 구비하며,
    상기 제어부는, 복수의 제1 막 및 상기 복수의 제1 막의 재료와는 상이한 재료로 형성되어 있고 상기 복수의 제1 막과 교대로 적층된 복수의 제2 막을 갖는 다층막을 포함하는 기판이 상기 기판 지지부 상에 재치되어 있는 상태에서,
    (b) 제1 사이클을 반복하는 공정으로서, 상기 제1 사이클은,
    (b1) 제1 기간에 있어서, 상기 복수의 제1 막 중 하나 이상의 제1 막 상에 제1 퇴적물을 형성하는 공정이며, 상기 제1 퇴적물은 상기 챔버 내에서 제1 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
    (b2) 제2 기간에 있어서 상기 제1 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제1 막을 에칭하는 공정을 포함하는, 상기 공정과,
    (c) 제2 사이클을 반복하는 공정으로서, 상기 제2 사이클은,
    (c1) 제3 기간에 있어서, 상기 복수의 제2 막 중 하나 이상의 제2 막 상에 제2 퇴적물을 형성하는 공정이고, 상기 제2 퇴적물은 상기 챔버 내에서 제2 처리 가스로부터 생성된 플라즈마로부터 공급되는, 상기 공정과,
    (c2) 제4 기간에 있어서 상기 제2 처리 가스로부터 생성된 플라즈마를 이용하여 상기 하나 이상의 상기 제2 막을 에칭하는 공정이며, 상기 (b2)에 있어서 상기 기판 상에 형성된 제3 퇴적물이, 상기 하나 이상의 제2 막과 함께 에칭되는, 상기 공정을 포함하는, 상기 공정을 행하도록 구성되어 있는, 플라즈마 처리 장치.
KR1020230180333A 2022-12-27 2023-12-13 에칭 방법 및 플라즈마 처리 장치 KR20240103983A (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JPJP-P-2022-209422 2022-12-27

Publications (1)

Publication Number Publication Date
KR20240103983A true KR20240103983A (ko) 2024-07-04

Family

ID=

Similar Documents

Publication Publication Date Title
WO2021090516A1 (ja) エッチング方法
WO2022234805A1 (ja) エッチング方法及びプラズマ処理システム
KR20240103983A (ko) 에칭 방법 및 플라즈마 처리 장치
US20240212982A1 (en) Etching method and plasma processing apparatus
JP2024093205A (ja) エッチング方法及びプラズマ処理装置
CN118263110A (en) Etching method and plasma processing apparatus
WO2022234648A1 (ja) エッチング方法
US20230135998A1 (en) Plasma processing method and plasma processing system
WO2022234643A1 (ja) エッチング方法及びエッチング装置
US20230317466A1 (en) Etching method and plasma processing system
US20230127467A1 (en) Plasma processing method and plasma processing system
TW202245050A (zh) 蝕刻方法及蝕刻裝置
TW202244984A (zh) 蝕刻方法
WO2022215649A1 (ja) エッチング方法及びプラズマ処理システム
WO2024043082A1 (ja) エッチング方法及びプラズマ処理システム
TW202407797A (zh) 電漿處理方法及電漿處理裝置
JP2023127546A (ja) プラズマ処理方法及びプラズマ処理装置
KR20240064532A (ko) 에칭 방법 및 플라즈마 처리 장치
TW202249119A (zh) 基板處理方法及基板處理裝置
KR20240103990A (ko) 에칭 방법 및 플라즈마 처리 장치
KR20230017748A (ko) 플라즈마 처리 방법, 플라즈마 처리 장치 및 플라즈마 처리 시스템
CN112786441A (zh) 蚀刻方法及等离子体处理装置
CN116705601A (zh) 等离子体处理方法和等离子体处理装置