KR20240074901A - Method to improve profile control during selectively etching of silicon nitride spacers - Google Patents

Method to improve profile control during selectively etching of silicon nitride spacers Download PDF

Info

Publication number
KR20240074901A
KR20240074901A KR1020247016023A KR20247016023A KR20240074901A KR 20240074901 A KR20240074901 A KR 20240074901A KR 1020247016023 A KR1020247016023 A KR 1020247016023A KR 20247016023 A KR20247016023 A KR 20247016023A KR 20240074901 A KR20240074901 A KR 20240074901A
Authority
KR
South Korea
Prior art keywords
sin
plasma
sin layer
hfc
layer
Prior art date
Application number
KR1020247016023A
Other languages
Korean (ko)
Inventor
시앙유 구오
제임스 로이어
벤카테스와라 알. 팔렘
나탄 스태포드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20240074901A publication Critical patent/KR20240074901A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

순환 에칭 방법은 i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상의 SiN 층이 선택적으로 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 구조물의 측벽 상에 SiN 층을 포함하는 실질적으로 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함한다.The cyclic etching method includes i) exposing the SiN layer covering the structure on the substrate to a plasma of hydrofluorocarbon (HFC) in a reaction chamber to form a polymer layer deposited on the SiN layer that modifies the surface of the SiN layer. wherein the HFC has the formula C exposing the polymer layer to a plasma of an inert gas, wherein the plasma of the inert gas removes the polymer layer deposited on the SiN layer and the modified surface of the SiN layer on the etch front, and iii) the SiN layer on the etch front is selectively and repeating steps i) and steps ii) until removed, thereby forming a substantially vertically upright SiN spacer comprising a SiN layer on the sidewall of the structure.

Description

질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법{METHOD TO IMPROVE PROFILE CONTROL DURING SELECTIVELY ETCHING OF SILICON NITRIDE SPACERS}METHOD TO IMPROVE PROFILE CONTROL DURING SELECTIVELY ETCHING OF SILICON NITRIDE SPACERS}

관련 출원의 상호 참조 Cross-reference to related applications

본 출원은 2019년 2월 1일자로 출원된 미국 특허 출원 제16/265,782호의 이익을 주장하며, 모든 목적을 위해 그 전체 내용이 본 명세서에 참고로서 포함된다.This application claims the benefit of U.S. Patent Application No. 16/265,782, filed February 1, 2019, the entire contents of which are incorporated herein by reference for all purposes.

기술분야Technology field

반도체 응용에서 스페이서 패턴화를 위한 순환 원자 층 에칭(atomic layer etch)(ALE) 방법이 개시되어 있다. 특히, 하이드로플루오로카본(HFC) 가스를 사용하여 수직으로 직립한 질화규소(SiN) 스페이서를 형성하기 위한 순환 ALE 공정이 개시되어 있다. 개시된 HFC 가스는 SiN을 선택적으로 플라즈마 에칭하기 위해 포화 또는 불포화, 선형 또는 환형인 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖는다.A cyclic atomic layer etch (ALE) method for spacer patterning in semiconductor applications is disclosed. In particular, a cyclic ALE process is disclosed to form vertically erected silicon nitride (SiN) spacers using hydrofluorocarbon (HFC) gas. The disclosed HFC gases have the formula C

반도체 디바이스의 지속적인 크기 축소는 반도체 제조 공정에 더욱 더 많은 과제를 제기한다. 14 nm 미만의 기술 노드에 있어서, 가장 중요한 단계 중 하나는 스페이서 에칭이다. 이는 규소 및 산화규소와 같은 노출된 재료를 손상시키거나 소비시키지 않으면서 완벽한 비등방성 에칭(임계 치수(CD) 손실 없음)을 요구한다. 이는 보통 플루오로카본계 화학을 사용하는 플라즈마 에칭에 의해 수행된다. 그러나, 진보된 기술 노드와 관련하여 종횡비가 증가함에 따라, 통상적인 에칭 공정에 의해 프로파일 제어(예를 들어, 푸팅(footing) 및 표면 조도), 기저 층에 대한 무-손상, CD 제어 등과 같은 에칭 사양을 달성할 수 없게 되었다.The continued size reduction of semiconductor devices poses more and more challenges to the semiconductor manufacturing process. For technology nodes below 14 nm, one of the most important steps is spacer etching. This requires a completely anisotropic etch (no critical dimension (CD) loss) without damaging or consuming exposed materials such as silicon and silicon oxide. This is usually performed by plasma etching using fluorocarbon-based chemistry. However, with increasing aspect ratios associated with advanced technology nodes, conventional etching processes allow for etching with profile control (e.g. footing and surface roughness), no damage to the underlying layer, CD control, etc. Specifications could not be achieved.

산업에서, SiN 에칭을 위한 표준 에칭 공정은 산화제 및/또는 희가스와 조합된 HFC, 예를 들어 산화제(예를 들어, O2), 희가스(예를 들어, Ar 또는 He) 및 때때로 추가의 F 또는 H 함유 가스(예를 들어, CH4 또는 CF4)와 조합된 CH3F를 사용한다. 그러나, 에칭 선택성, 프로파일 제어 및 기저 층에 대한 손상 간에 균형을 유지하기가 곤란하다. SiN 에칭에 대한 이전의 특허들은 프로파일 제어에 관한 정량적인 정보 없이 상이한 HFC를 사용하여 SiN 스페이서를 선택적으로 에칭할 것을 주장하였다.In industry, the standard etching process for SiN etching is HFC in combination with an oxidizing agent and/or noble gas, for example an oxidizing agent (e.g. O 2 ), a noble gas (e.g. Ar or He) and sometimes additional F or CH 3 F combined with a H-containing gas (eg CH 4 or CF 4 ) is used. However, it is difficult to maintain a balance between etch selectivity, profile control and damage to the underlying layer. Previous patents on SiN etching claimed to selectively etch SiN spacers using different HFCs without quantitative information about profile control.

Chang 등에게 허여된 미국 특허 제20130105916A1호는 HFC 플라즈마를 사용하여 다양한 두께의 SiNx, SiO2 및 Si 상에 HFC 중합체를 형성하는 SiNx의 비등방성 에칭을 포함한, 고 선택성 질화물 에칭 공정을 개시한다. 상기 공정은 포화 또는 불포화, 선형 또는 환형인 화학식 CxHyFz(식 중, x는 3 내지 6이고, y > z이다)를 갖는 HFC를 사용한 SiNx의 선택적 에칭이다. 그러나, Chang 등은 푸팅 제어와 같은 프로파일 제어에 대해서 어떠한 논의도 개시하지 않았다. Chang 등이 개시한 에칭 공정은 순환 공정이 아니다.U.S. Patent No. 20130105916A1, issued to Chang et al., discloses a highly selective nitride etch process involving anisotropic etching of SiN x using HFC plasma to form various thicknesses of SiN x , SiO 2 and HFC polymers on Si. . The process is a selective etching of SiN x using HFC having the formula C x H y F z (where x is 3 to 6 and y > z), which is saturated or unsaturated, linear or cyclic. However, Chang et al. did not disclose any discussion about profile control such as footing control. The etching process disclosed by Chang et al. is not a cyclic process.

Suzuki 등에게 허여된 미국 특허 제20110068086A1호는 오직 포화 분자인, 선형 또는 환형 HFC인 CxHyFz(x는 3 내지 5이고, y > z이다)를 사용하여 타겟을 플라즈마 에칭함을 포함한 평면 웨이퍼 상의 플라즈마 에칭 방법을 개시한다. 더욱 구체적으로, Suzuki 등은 반도체 구조물을 함유하는 패턴화된 웨이퍼보다 오히려 평면 웨이퍼 상에 플라즈마 조건 하에서 특정한 HFC를 이용함으로써 SiO2에 대해 SiNx를 선택적 에칭함을 개시한다. 실시예에서 예시된 바와 같이, Suzuki 등은 2,2-디플루오로-n-부탄을 사용하여 SiN 평면 웨이퍼 및 SiO 평면 웨이퍼를 에칭하였다.US Patent No. 20110068086A1, issued to Suzuki et al., involves plasma etching a target using only saturated molecules, linear or cyclic HFCs, C x H y F z (x is 3 to 5 and y > z). Disclosed is a plasma etching method on a planar wafer. More specifically, Suzuki et al. disclose selective etching of SiN x over SiO 2 by using specific HFCs under plasma conditions on planar wafers rather than patterned wafers containing semiconductor structures. As illustrated in the examples, Suzuki et al. etched SiN planar wafers and SiO planar wafers using 2,2-difluoro-n-butane.

Metz 등에게 허여된 미국 특허 제8,501,630호 또는 미국 특허 제20120077347A1호는 기판을 선택적으로 에칭하기 위한 플라즈마 에칭 방법을 개시한다. 상기 플라즈마 에칭 공정은 C, H 및 F를 함유하는 공정 가스 및 비-산소-함유 첨가 가스를 갖는 공정 조성물을 사용한다. 공정 가스는 CH3F, CHF3, CH2F2 또는 이들 둘 이상의 임의의 조합을 포함한다. Metz 등이 개시한 플라즈마 에칭 공정은 순환 공정이 아니다.US Patent No. 8,501,630 or US Patent No. 20120077347A1 issued to Metz et al. discloses a plasma etching method for selectively etching a substrate. The plasma etching process uses a process composition having a process gas containing C, H and F and a non-oxygen-containing additive gas. The process gas includes CH 3 F, CHF 3 , CH 2 F 2 or any combination of two or more of these. The plasma etching process disclosed by Metz et al. is not a cyclic process.

Kajiwara에게 허여된 미국 특허 제20010005634A1호는 에칭 가스로서 CH2F2를 사용하여 SiO2 상에서 SiN을 고 선택적 에칭함으로써 콘택 홀을 형성하기 위한 건식 에칭 방법을 개시한다.US Patent No. 20010005634A1 issued to Kajiwara discloses a dry etching method for forming contact holes by highly selective etching of SiN on SiO 2 using CH 2 F 2 as an etching gas.

Brink 등에게 허여된 미국 특허 제20130105996호는 하부로부터 상부로 기판 상에 형성된 질소-함유 유전체 층, 상호연결 수준 유전체 재료 층 및 하드 마스크 층을 포함하는 스택 안에 포함된 질소-함유 유전체 층을 위한 저 에너지 에칭 공정을 개시한다. 질소-함유 유전체 층은 CxHyFz(x는 3 내지 6이고, y > z이다)를 갖는 HFC를 사용하여 플라즈마 에칭되었다. Brink 등은 Si 또는 SiO2에 대한 선택성에 대해서는 언급하지 않았다.U.S. Patent No. 20130105996, issued to Brink et al., discloses a method for a nitrogen-containing dielectric layer contained in a stack comprising a nitrogen-containing dielectric layer formed from bottom to top on a substrate, a layer of interconnection level dielectric material, and a hard mask layer. The energy etching process is initiated. The nitrogen-containing dielectric layer was plasma etched using HFC with C x H y F z (x is 3 to 6 and y > z). Brink et al. did not mention selectivity for Si or SiO 2 .

Posseme 등에게 허여된 미국 특허 제20140273292A1호는 기판 위에 배치된 노출된 규소 함유 층 및 적어도 부분적으로 형성된 게이트 스택 위의 SiN 층을 침착시키는 단계; SiN 층을 실질적으로 불소가 부재한 수소 또는 헬륨 함유 플라즈마에 노출시킴으로써 SiN 층의 일부를 개질시키는 단계; 및 SiN 층의 개질된 부분을 습식 세정 공정을 수행함으로써 제거하여 SiN 스페이서를 형성하는 단계를 포함하는 SiN 스페이서의 형성 방법을 개시한다. 일 구현예에서, Posseme 등은 CH2F2, CH4, CHF3과 같은 HFC-함유 가스를 사용하여 SiN 층이 에칭됨을 개시한다.US Patent No. 20140273292A1, issued to Posseme et al., includes depositing a SiN layer over an exposed silicon-containing layer disposed over a substrate and an at least partially formed gate stack; modifying a portion of the SiN layer by exposing the SiN layer to a substantially fluorine-free hydrogen or helium containing plasma; and removing the modified portion of the SiN layer by performing a wet cleaning process to form a SiN spacer. In one embodiment, Posseme et al. disclose that the SiN layer is etched using an HFC-containing gas such as CH 2 F 2 , CH 4 , CHF 3 .

Gupta 등에게 허여된 미국 특허 제20150270140A1호는 Si, Ti, Ta, W, Al, Pd, Ir, Co, Fe, B, Cu, Ni, Pt, Ru, Mn, Mg, Cr, Au, 이들의 합금, 이들의 산화물, 이들의 질화물 및 이들의 조합을 포함한 필름을 에칭하기 위한 원자 층 또는 순환 플라즈마 에칭 화학 및 공정을 개시한다. 예에는 Cl2 및 에탄올(EtOH)을 사용한 Fe 및 Pd 에칭, Cl2 및 아세틸아세토네이트(Acac)를 사용한 Ni, Co, Pd 또는 Fe 에칭이 포함된다.US Patent No. 20150270140A1 issued to Gupta et al. covers Si, Ti, Ta, W, Al, Pd, Ir, Co, Fe, B, Cu, Ni, Pt, Ru, Mn, Mg, Cr, Au, and alloys thereof. , discloses atomic layer or cyclic plasma etch chemistries and processes for etching films containing their oxides, their nitrides, and combinations thereof. Examples include etching Fe and Pd with Cl 2 and ethanol (EtOH), etching Ni, Co, Pd, or Fe with Cl 2 and acetylacetonate (Acac).

Zhou 등에게 허여된 미국 특허 제20160293438A1호는 개선된 프로파일 제어를 갖는 순환 스페이서 에칭 공정을 개시하지만, 이 방법은 HFC 가스보다 오히려 NF3/NH3 플라즈마를 기반으로 한다.US Patent No. 20160293438A1 to Zhou et al. discloses a cyclic spacer etching process with improved profile control, but the method is based on NF 3 /NH 3 plasma rather than HFC gas.

Sherpa 등에게 허여된 국제 특허 공개 제WO2018/044713A1호는 공정 가스가 H 및 임의로 희가스; H2, 또는 H2 및 Ar을 함유하는 제1 단계; 공정 가스가 N, F, O, 및 임의로 희원소 NF3, O2 및 Ar을 함유하는 제2 단계를 포함하는, SiN의 유사-원자 층 에칭 방법을 개시한다.International Patent Publication No. WO2018/044713A1 to Sherpa et al. discloses that the process gases include H and optionally noble gases; A first step containing H 2 , or H 2 and Ar; A method for quasi-atomic layer etching of SiN is disclosed, comprising a second step where the process gases contain N, F, O, and optionally rare elements NF 3 , O 2 and Ar.

Ranjan 등에게 허여된 미국 특허 제9318343B2호는 CxHyFz(식 중, x, y 및 z는 0이 아니다)로서 표시된 HFC 가스를 함유하는 공정 가스를 사용한 SiN 스페이서 및 규소(예컨대 다결정질 규소)의 에칭 및 산화의 순환 공정을 포함하는, SiN 스페이서 에칭 동안 에칭 선택성을 개선시키는 방법을 개시한다. Ranjan 등에서 개시된 HFC는 CH3F이다. Ranjan 등은 스페이서의 푸팅 및 표면 조도와 같은 스페이서의 프로파일에 대해서는 언급하지 않았다.U.S. Patent No. 9318343B2 , issued to Ranjan et al . , discloses SiN spacers and silicon (e.g. polycrystalline) gases using process gases containing HFC gases denoted as C A method for improving etch selectivity during SiN spacer etching comprising a cyclic process of etching and oxidation of silicon) is disclosed. The HFC disclosed in Ranjan et al. is CH 3 F. Ranjan et al. did not mention the profile of the spacer, such as footing and surface roughness of the spacer.

SiN 스페이서와 같은 규소-함유 스페이서를 에칭하기 위한 프로파일 제어를 개선시키는 데 적용가능한 새롭고 신규한 에칭 요소의 발견은 어려움을 겪고 있는데, 왜냐하면 규소-함유 스페이서 에칭에 대한 적용 시에는 푸팅이 거의 없음, 플루오라이드 형성이 거의 없음, 에칭 후 평활한 스페이서 표면 달성 등과 같은 에칭 프로파일의 요건을 만족해야 하기 때문이다. 따라서, 이들 요건을 만족시키는 그러한 에칭 요소를 제공할 필요성이 있다.The discovery of new and novel etching elements applicable to improving profile control for etching silicon-containing spacers, such as SiN spacers, is challenging because, in applications for etching silicon-containing spacers, there is little footing, and fluorine This is because the etch profile requirements must be met, such as virtually no ride formation and achieving a smooth spacer surface after etching. Accordingly, there is a need to provide such an etching element that satisfies these requirements.

i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상을 피복하는 SiN 층이 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 SiN 층이 구조물의 측벽 상을 피복하는 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하는 순환 에칭 방법이 개시되어 있다.i) exposing the SiN layer covering the structure on the substrate in a reaction chamber to a plasma of hydrofluorocarbon (HFC) to form a polymer layer deposited on the SiN layer that modifies the surface of the SiN layer, wherein the HFC is ii) a polymer layer deposited on the SiN layer having the formula C exposing to a plasma of an inert gas, wherein the plasma of the inert gas removes the polymer layer deposited on the SiN layer and the modified surface of the SiN layer on the etch front, and iii) the SiN layer covering the etch front is removed. A cyclic etching method is disclosed comprising repeating steps i) and step ii) until thereby forming a vertically upright SiN spacer wherein the SiN layer covers the sidewalls of the structure.

i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상을 피복하는 SiN 층이 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 SiN 층이 구조물의 측벽 상을 피복하는 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하는, 수직으로 직립한 SiN 스페이서를 형성하는 순환 에칭 방법이 또한 개시되어 있다.i) exposing the SiN layer covering the structure on the substrate in a reaction chamber to a plasma of hydrofluorocarbon (HFC) to form a polymer layer deposited on the SiN layer that modifies the surface of the SiN layer, wherein the HFC is ii) a polymer layer deposited on the SiN layer having the formula C exposing to a plasma of an inert gas, wherein the plasma of the inert gas removes the polymer layer deposited on the SiN layer and the modified surface of the SiN layer on the etch front, and iii) the SiN layer covering the etch front is removed. cyclic etching to form a vertically upright SiN spacer, comprising repeating steps i) and step ii) until the SiN layer forms a vertically upright SiN spacer covering the sidewalls of the structure. A method is also disclosed.

i) 반응 챔버에서 기판 상에 게이트 스택을 피복하는 SiN 층을 C2H5F 및 C3H7F로 이루어진 군으로부터 선택된 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상을 피복하는 SiN 층이 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 SiN 층이 게이트 스택의 측벽 상을 피복하는 수직으로 직립한 SiN 게이트 스페이서를 형성하는 단계를 포함하는, 수직으로 직립한 SiN 게이트 스페이서를 형성하는 순환 에칭 방법이 또한 개시되어 있다.i) exposing the SiN layer covering the gate stack on the substrate in a reaction chamber to a plasma of a hydrofluorocarbon (HFC) selected from the group consisting of C 2 H 5 F and C 3 H 7 F to modify the surface of the SiN layer ii) exposing the polymer layer deposited on the SiN layer to a plasma of an inert gas, such that the plasma of the inert gas is formed on the polymer layer deposited on the SiN layer and the etch front. removing the modified surface of the SiN layer, and iii) repeating steps i) and step ii) until the SiN layer covering the etch front is removed, thereby causing the SiN layer to cover the sidewalls of the gate stack. A cyclic etching method for forming vertically upright SiN gate spacers is also disclosed, comprising forming vertically upright SiN gate spacers.

개시된 방법들 중 어느 하나는 하기 양태들 중 하나 이상을 포함할 수 있다:Any of the disclosed methods may include one or more of the following aspects:

· 단계 (i) 후에,· After step (i),

반응 챔버를 진공으로 펌핑하는 단계;pumping the reaction chamber to vacuum;

반응 챔버를 N2로 퍼지하는 단계;purging the reaction chamber with N 2 ;

반응 챔버를 진공으로 펌핑하는 단계; 및pumping the reaction chamber to vacuum; and

비활성 가스를 반응 챔버로 도입시켜 비활성 가스의 플라즈마를 생성하는 단계를 추가로 포함함;further comprising introducing an inert gas into the reaction chamber to generate a plasma of the inert gas;

· 단계 (ii) 후에,· After step (ii),

반응 챔버를 진공으로 펌핑하는 단계;pumping the reaction chamber to vacuum;

반응 챔버를 N2로 퍼지하는 단계;purging the reaction chamber with N 2 ;

반응 챔버를 진공으로 펌핑하는 단계; 및pumping the reaction chamber to vacuum; and

HFC를 반응 챔버로 도입시켜 HFC의 플라즈마를 생성하는 단계를 추가로 포함함;further comprising introducing the HFC into the reaction chamber to generate a plasma of the HFC;

· SiN 층을 HFC와 비활성 가스의 가스 혼합물의 플라즈마에 노출시킴;· exposing the SiN layer to a plasma of a gas mixture of HFC and an inert gas;

· 게이트 스택의 측벽 상에서 SiN 층의 적어도 대부분은 제거되지 않음;· At least most of the SiN layer on the sidewalls of the gate stack is not removed;

· 게이트 스택의 측벽 상에서 SiN 층의 두께의 10% 미만은 제거됨;· Less than 10% of the thickness of the SiN layer on the sidewalls of the gate stack is removed;

· 게이트 스택의 측벽 상에서 SiN 층의 두께의 5% 미만은 제거됨;· Less than 5% of the thickness of the SiN layer on the sidewalls of the gate stack is removed;

· 게이트 스택의 측벽 상에서 SiN 층의 두께의 1% 미만은 제거됨;· Less than 1% of the thickness of the SiN layer on the sidewalls of the gate stack is removed;

· 게이트 스택의 측벽 상에서 SiN 층의 측정가능한 두께 감소가 발생하지 않음;· No measurable thickness reduction of the SiN layer occurs on the sidewalls of the gate stack;

· 비활성 가스는 N2, Ar, Kr 또는 Xe로부터 선택됨;· The inert gas is selected from N 2 , Ar, Kr or Xe;

· 비활성 가스는 Ar임;· Inert gas is Ar;

· HFC는 C2H5F임;· HFC is C 2 H 5 F;

· HFC는 C3H7F임;· HFC is C 3 H 7 F;

· 기판은 규소-함유 재료를 포함함;· The substrate comprises a silicon-containing material;

· 기판은 규소임;· The substrate is silicon;

· 구조물은 게이트 스택임;· The structure is a gate stack;

· HFC 플라즈마는 SiN과 상호작용하여 C 풍부 중합체(C:F > 1)를 형성함;· HFC plasma interacts with SiN to form C-rich polymers (C:F > 1);

· C 풍부 중합체는 SiN 층의 상부 상에 침착된 중합체 층임;· C-rich polymer is a polymer layer deposited on top of the SiN layer;

· HFC는 구조물 상에서 SiN 층을 선택적으로 에칭시킴;· HFC selectively etch the SiN layer on the structure;

· HFC는 기판 상에서 SiN 층을 선택적으로 에칭시킴;· HFC selectively etch the SiN layer on the substrate;

· 구조물 대비 SiN의 무한 선택성;· Infinite selectivity of SiN over structures;

· 게이트 스택 대비 SiN의 무한 선택성;· Infinite selectivity of SiN versus gate stack;

· p-Si, SiO, SiON 및 SiCN에 대한 SiN의 무한 선택성;· Infinite selectivity of SiN over p-Si, SiO, SiON and SiCN;

· ALE 과다 에칭 레피시가 적용됨;· ALE over-etch recipe is applied;

· ALE 과다 에칭 레시피는 대략 10% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭의 범위임;· ALE overetch recipes range from approximately 10% ALE overetch to approximately 200% ALE overetch;

· ALE 과다 에칭 레시피는 대략 50% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭의 범위임;· ALE overetch recipes range from approximately 50% ALE overetch to approximately 200% ALE overetch;

· HFC 가스를 반응 챔버로 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 도입함;· Introducing HFC gas into the reaction chamber at a flow rate ranging from approximately 1 sccm to approximately 10 slm;

· HFC 가스를 반응 챔버로 대략 1 sccm 내지 대략 100 sccm 범위의 유량으로 도입함;· Introducing HFC gas into the reaction chamber at a flow rate ranging from approximately 1 sccm to approximately 100 sccm;

· 비활성 가스를 반응 챔버로 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 도입함;· Introducing an inert gas into the reaction chamber at a flow rate ranging from approximately 1 sccm to approximately 10 slm;

· 비활성 가스를 반응 챔버로 대략 10 sccm 내지 대략 200 sccm 범위의 유량으로 도입함;· Introducing an inert gas into the reaction chamber at a flow rate ranging from approximately 10 sccm to approximately 200 sccm;

· 반응 챔버는 대략 1 mTorr 내지 대략 50 Torr 범위의 압력을 가짐;· The reaction chamber has a pressure ranging from approximately 1 mTorr to approximately 50 Torr;

· 반응 챔버는 대략 1 mTorr 내지 대략 10 Torr 범위의 압력을 가짐;· The reaction chamber has a pressure ranging from approximately 1 mTorr to approximately 10 Torr;

· 반응 챔버는 대략 300 mTorr 내지 대략 1 Torr 범위의 압력을 가짐;· The reaction chamber has a pressure ranging from approximately 300 mTorr to approximately 1 Torr;

· 챔버 내의 기판 온도는 대략 -110℃ 내지 대략 2000℃의 범위임;· The substrate temperature in the chamber ranges from approximately -110°C to approximately 2000°C;

· 챔버 내의 기판 온도는 대략 -20℃ 내지 대략 1000℃의 범위임;· The substrate temperature in the chamber ranges from approximately -20°C to approximately 1000°C;

· 챔버 내의 기판 온도는 대략 25℃ 내지 대략 700℃의 범위임;· The substrate temperature in the chamber ranges from approximately 25° C. to approximately 700° C.;

· 챔버 내의 기판 온도는 대략 25℃ 내지 대략 500℃의 범위임;· The substrate temperature in the chamber ranges from approximately 25° C. to approximately 500° C.;

· 챔버 내의 기판 온도는 대략 25℃ 내지 대략 50℃의 범위임;· The substrate temperature in the chamber ranges from approximately 25° C. to approximately 50° C.;

· 반응 챔버 벽 온도는 대략 25℃ 내지 대략 100℃의 범위임;· The reaction chamber wall temperature ranges from approximately 25° C. to approximately 100° C.;

· 플라즈마 공정 시간은 0.01초 내지 10000초로 다양함;· Plasma process time varies from 0.01 to 10000 seconds;

· 플라즈마 공정 시간은 1초 내지 30초로 다양함;· Plasma process time varies from 1 to 30 seconds;

· N2 퍼지 시간은 1초 내지 10000초로 다양함;· N 2 purge time varies from 1 to 10000 seconds;

· N2 퍼지 시간은 10초 내지 60초로 다양함;· N 2 purge time varies from 10 to 60 seconds;

· SiN 스페이서와 기판 사이의 각각의 모서리에서 푸팅이 거의 형성되지 않음;· Little footing is formed at each corner between the SiN spacer and the substrate;

· SiN 층 및 기판 근처에 과잉 재료가 거의 남아 있지 않음;· Little excess material remains near the SiN layer and substrate;

· 수직으로 직립한 SiN 스페이서 및 에칭 프런트 상에 플루오라이드 잔여물이 전혀 남아 있지 않음;· No fluoride residues remain on vertically upright SiN spacers and etch fronts;

· 순환 에칭 후 수직으로 직립한 SiN 스페이서의 표면 및 에칭 프런트의 표면 상의 표면 조도가 순환 에칭 전의 것에 비해 개선됨;· The surface roughness on the surface of the vertically upright SiN spacer and the surface of the etching front after cyclic etching is improved compared to that before cyclic etching;

· 중합체 층의 제거 단계는 이온 충격 공정임;· The removal step of the polymer layer is an ion bombardment process;

· 산소-함유 가스를 첨가하는 단계를 추가로 포함함; 및· further comprising adding an oxygen-containing gas; and

· 산소-함유 가스는 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합으로 이루어진 군으로부터 선택됨.· The oxygen-containing gas is selected from the group consisting of O 2 , O 3 , CO, CO 2 , NO, NO 2 , N 2 O, SO 2 , COS, H 2 O and combinations thereof.

화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖는 HFC 에칭 가스가 또한 개시되어 있다. 개시된 HFC 에칭 가스는 하기 양태들 중 하나 이상을 포함한다:HFC etching gases having the formula C x H y F z where x is 2 to 5 and y > z are also disclosed. The disclosed HFC etching gas includes one or more of the following aspects:

· HFC는 포화 또는 불포화, 선형 또는 환형 HFC임;· HFCs are saturated or unsaturated, linear or cyclic HFCs;

· 대략 99 부피% 초과의 순도를 가짐;· has a purity of approximately greater than 99% by volume;

· 대략 99.9 부피% 초과의 순도를 가짐;· has a purity of approximately greater than 99.9% by volume;

· 1 부피% 미만의 미량 가스 불순물을 함유함;· Contains less than 1% by volume of trace gaseous impurities;

· 미량 가스 불순물은 물을 포함함;· Trace gaseous impurities include water;

· 미량 가스 불순물은 CO2를 포함함;· Trace gaseous impurities include CO2 ;

· 미량 가스 불순물은 N2를 포함함; 및· Trace gaseous impurities include N 2 ; and

· HFC 에칭 가스는 20 ppmw 미만의 물 함량을 가짐.· HFC etching gas has a water content of less than 20 ppmw.

표기법 및 명명법Notation and nomenclature

하기 상세한 설명 및 청구항들은 당해 분야에서 일반적으로 널리 알려진, 다수의 약어, 기호, 및 용어를 사용하고 하기를 포함한다:The following detailed description and claims use a number of abbreviations, symbols, and terms that are commonly known in the art and include the following:

본원에서 사용되는, 단수형은 하나 이상을 의미한다.As used herein, the singular refers to one or more.

본원에서 사용되는, 텍스트에서 또는 청구항에서 "약(about 또는 around)" 또는 "대략(approximately)"은 기술된 값의 ±10%를 의미한다.As used herein, in the text or in the claims, “about or around” or “approximately” means ±10% of the stated value.

본원에서 사용되는, 텍스트 또는 청구항에서 "실온"은 대략 20℃ 내지 대략 25℃를 의미한다.As used herein, in the text or in the claims, “room temperature” means approximately 20°C to approximately 25°C.

"웨이퍼" 또는 "패턴화된 웨이퍼"라는 용어는, 기판 상의 규소-함유 필름들의 스택 및 패턴 에칭을 위해서 형성된 규소-함유 필름들의 스택 상의 패턴화된 하드마스크 층을 가지는 웨이퍼를 지칭한다.The term “wafer” or “patterned wafer” refers to a wafer having a stack of silicon-containing films on a substrate and a patterned hardmask layer on the stack of silicon-containing films formed for pattern etching.

"기판"이라는 용어는, 공정이 수행되는 재료 또는 재료들을 지칭한다. 기판은, 공정이 수행되는 재료 또는 재료들을 갖는 웨이퍼를 지칭할 수 있다. 기판은 반도체, 광전지, 평판 패널, 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 웨이퍼일 수 있다. 기판은 또한, 이전 제조 단계에서 이미 침착된 상이한 재료의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(예를 들어, 결정질, 비정질, 다공성 등), 규소 함유 층(예를 들어, SiO2, SiN, SiON, SiCOH 등), 금속 함유 층(예를 들어, 구리, 코발트, 루테늄, 텅스텐, 백금, 팔라듐, 니켈, 루테늄, 금 등), 또는 이들의 조합을 포함할 수 있다. 추가로, 기판은 평면형이거나 패턴화될 수 있다. 기판은 유기 패턴화된 포토레지스트 필름일 수 있다. 기판은, MEMS, 3D NAND, MIM, DRAM, 또는 FeRam 디바이스 응용에서 유전체 재료(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 삼원 산화물계 재료 등)로서 사용되는 산화물의 층 또는 전극으로서 사용되는 질화물계 필름(예를 들어, TaN, TiN, NbN)을 포함할 수 있다. 당업자는, 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 표면 상에 놓여 지거나 그 위에 펼쳐진 일부 재료의 두께를 지칭하며, 그러한 표면이 트렌치 또는 라인일 수 있다는 것을 인지할 것이다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층은 기판으로 지칭된다.The term “substrate” refers to the material or materials on which the process is performed. A substrate may refer to a wafer having a material or materials on which a process is performed. The substrate may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. The substrate may also have one or more layers of different materials already deposited in previous manufacturing steps. For example, the wafer may include a silicon layer (e.g., crystalline, amorphous, porous, etc.), a silicon-containing layer (e.g., SiO 2 , SiN, SiON, SiCOH, etc.), a metal-containing layer (e.g., copper, cobalt, ruthenium, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.), or a combination thereof. Additionally, the substrate may be planar or patterned. The substrate may be an organic patterned photoresist film. The substrate may be a dielectric material (e.g., ZrO 2 -based material, HfO 2 -based material, TiO 2 -based material, rare earth oxide-based material, ternary oxide-based material, etc.) in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications. It may include a layer of oxide used as an oxide layer or a nitride-based film (eg, TaN, TiN, NbN) used as an electrode. Those skilled in the art will recognize that the terms “film” or “layer” as used herein refer to the thickness of some material placed on or spread over a surface, and that such surface may be a trench or line. Throughout this specification and claims, the wafer and any associated layers on the wafer are referred to as the substrate.

"패턴 에칭" 또는 "패턴화된 에칭"이라는 용어는, 패턴화된 하드마스크 층 아래의 규소-함유 필름들의 스택과 같은, 비-평면형 구조물의 에칭을 지칭한다.The terms “pattern etching” or “patterned etching” refer to the etching of a non-planar structure, such as a stack of silicon-containing films beneath a patterned hardmask layer.

본원에서 사용되는 "에치" 또는 "에칭"이라는 용어는 등방성 에칭 공정 및/또는 비등방성 에칭 공정을 지칭한다. 등방성 에칭 공정은, 기판 상의 재료의 일부가 제거되는 결과를 초래하는 에칭 화합물과 기판 사이의 화학적 반응을 포함한다. 이러한 유형의 에칭 공정은 화학적 건식 에칭, 증기 상 화학적 에칭, 열적 건식 에칭 등을 포함한다. 등방성 에칭 공정은 기판 내에서 측방향 또는 수평 에칭 프로파일을 생성한다. 등방성 에칭 공정은 기판 내의 미리-형성된 개구의 측벽 상에서 함몰부 또는 수평 함몰부를 생성한다. 비등방성 에칭 공정은 기판의 표면에 수직으로만 재료를 제거하며, 이는 마스크 패턴의 정확한 전달을 수행한다. 건식 에칭 공정은 플라즈마 에칭 공정일 수 있다. 플라즈마는 유효 백분율의 원자 또는 분자가 이온화된 임의의 가스이다. 플라즈마는 반응기 내에 위치한 짧은 거리 만큼 분리된 2개의 금속 전극으로 본질적으로 이루어진 CCP 시스템에 의해 생성된 용량 결합 플라즈마(capacitively coupled plasma)(CCP)일 수 있다. 전형적인 CCP 시스템은 단일 라디오-주파수(RF) 전원에 의해 구동된다. 2개의 전극 중 하나는 전원에 연결되고, 다른 하나는 접지된다. 전기장이 전극 사이에서 생성될 때, 원자는 이온화되고, 전자를 방출한다. 가스 내의 전자는 RF 장에 의해 가속되고, 충돌에 의해 직접적 또는 간접적으로 가스를 이온화하여 2차 전자를 생성할 수 있다. 플라즈마는 또한 유도 결합 플라즈마(inductively coupled plasma)(ICP) 또는 전자기 유도에 의해, 즉 시변 자기장에 의해 생성된 전류에 의해 에너지가 공급되는 ICP 시스템에 의해 발생된 변압기 결합 플라즈마(transformer coupled plasma)(TCP)일 수 있다. ICP 방전은 1015 cm-3 정도의 비교적 높은 전자 밀도를 갖는다. 결과적으로, ICP 방전은 고밀도 플라즈마(HDP)가 필요한 경우에 있어 광범위한 응용을 갖는다. ICP 방전의 또 다른 이점은 이들이 비교적 오염이 없다는 것인데, 왜냐하면 전극이 완전히 반응 챔버 밖에 있기 때문이다. 플라즈마 에칭 공정은 기판 내에 수직 에칭 프로파일을 생성한다. 플라즈마 에칭 공정은 수직 개구, 트렌치, 채널 홀, 게이트 트렌치, 스테어케이스 콘택(staircase contact), 커패시터 홀, 콘택 홀 등을 기판 내에 생성한다.As used herein, the term “etch” or “etching” refers to an isotropic etching process and/or an anisotropic etching process. Isotropic etching processes involve a chemical reaction between an etching compound and a substrate that results in the removal of some of the material on the substrate. These types of etching processes include chemical dry etching, vapor phase chemical etching, thermal dry etching, etc. Isotropic etching processes create a lateral or horizontal etch profile within the substrate. The isotropic etching process creates depressions or horizontal depressions on the sidewalls of pre-formed openings in the substrate. The anisotropic etching process removes material only perpendicular to the surface of the substrate, which ensures accurate transfer of the mask pattern. The dry etching process may be a plasma etching process. Plasma is any gas in which a significant percentage of atoms or molecules are ionized. The plasma may be a capacitively coupled plasma (CCP) generated by a CCP system consisting essentially of two metal electrodes separated by a short distance located within a reactor. A typical CCP system is powered by a single radio-frequency (RF) power source. One of the two electrodes is connected to a power source and the other is grounded. When an electric field is created between the electrodes, the atoms become ionized and emit electrons. Electrons in the gas are accelerated by the RF field and can ionize the gas directly or indirectly by collision, producing secondary electrons. Plasma can also be called inductively coupled plasma (ICP) or transformer coupled plasma (TCP) generated by an ICP system energized by electromagnetic induction, i.e. by a current generated by a time-varying magnetic field. ) can be. ICP discharge has a relatively high electron density of the order of 10 15 cm -3 . As a result, ICP discharge has wide applications in cases where high density plasma (HDP) is required. Another advantage of ICP discharges is that they are relatively contamination-free, because the electrodes are completely outside the reaction chamber. The plasma etch process creates a vertical etch profile within the substrate. The plasma etching process creates vertical openings, trenches, channel holes, gate trenches, staircase contacts, capacitor holes, and contact holes in the substrate.

"100% 에칭"이라는 용어는 ALE 공정이 그의 두께를 완전히 가로질러 재료를 에칭함을 의미한다. "과다 에칭"이라는 용어는 심지어 재료가 에칭된 후에도 ALE 공정이 계속됨을 의미한다. 예를 들어, 개시된 방법에서, 하나의 ALE 레시피가 SiN 층에 대해서 1 nm/사이클의 에칭 속도를 갖고, SiN 층은 10 nm의 두께를 갖는 경우, 10 nm 두께의 SiN을 가로질러 완전히 에칭하기 위해서 10회의 사이클이 필요하다. 이것이 100% 에칭을 의미한다. SiN 층을 에칭하기 위해 에칭 사이클을 10회 초과의 사이클로 설정하는 경우, ALE는 "과다 에칭"이다. 예를 들어, SiN 층을 에칭하기 위해 15회의 에칭 사이클을 설정하는 경우, 에칭 공정은 50% 과다 에칭이다. SiN 층을 에칭하기 위해 20회의 에칭 사이클을 설정하는 경우, 에칭 공정은 100% 과다 에칭이다.The term “100% etch” means that the ALE process etches the material completely across its thickness. The term “overetch” means that the ALE process continues even after the material has been etched. For example, in the disclosed method, if one ALE recipe has an etch rate of 1 nm/cycle for the SiN layer, and the SiN layer has a thickness of 10 nm, to completely etch across the 10 nm thick SiN 10 cycles are required. This means 100% etching. If the etch cycle is set to more than 10 cycles to etch the SiN layer, the ALE is "overetched." For example, if 15 etching cycles are set to etch the SiN layer, the etch process is a 50% overetch. When setting up 20 etch cycles to etch the SiN layer, the etch process is 100% overetch.

"침착하다" 또는 "침착"이라는 용어는 원자 또는 분자 수준에서의 재료가 박막으로서 가스 상태(증기)로부터 고체 상태로 웨이퍼 표면 상에 또는 기판 상에 침착되는 일련의 과정들을 지칭한다. 이러한 과정 내에 화학적 반응이 수반되고, 이는 반응하는 가스의 플라즈마 생성 후에 일어난다. 플라즈마는 일반적으로 2개의 전극 사이에서, 즉 반응하는 가스가 충전된 공간에서 라디오 주파수(RF)(교류(AC)) 또는 직류(DC) 방전에 의해 생성된 상기 기재된 바와 같은 CCP일 수 있다. 침착 방법에는 원자 층 침착(ALD) 및 화학 기상 침착(CVD)이 포함될 수 있다.The term “deposit” or “deposition” refers to a series of processes by which material at the atomic or molecular level is deposited as a thin film from a gaseous state (vapor) to a solid state on a wafer surface or on a substrate. A chemical reaction is involved within this process, which occurs after the creation of a plasma of reacting gases. The plasma may be a CCP as described above, generally generated by radio frequency (RF) (alternating current (AC)) or direct current (DC) discharge between two electrodes, i.e. in a space filled with reacting gases. Deposition methods may include atomic layer deposition (ALD) and chemical vapor deposition (CVD).

"마스크"라는 용어는 에칭에 저항하는 층을 지칭한다. 하드마스크 층은 에칭될 층 위에 위치할 수 있다.The term “mask” refers to a layer that resists etching. A hardmask layer may be positioned over the layer to be etched.

"종횡비"라는 용어는 트렌치의 폭(또는 개구의 직경)에 대한 트렌치(또는 개구)의 높이의 비를 지칭한다.The term “aspect ratio” refers to the ratio of the height of a trench (or opening) to the width of the trench (or diameter of the opening).

"선택성"이라는 용어는 다른 재료의 에칭 속도에 대한 한 재료의 에칭 속도의 비를 의미한다. "선택적 에칭" 또는 "선택적으로 에칭하다"라는 용어는 다른 재료보다 하나의 재료를 더 많이 에칭시키거나, 다시 말해서, 2개의 재료들 간에 1:1 초과 또는 미만의 에칭 선택성을 갖는 것을 의미한다.The term “selectivity” refers to the ratio of the etch rate of one material to the etch rate of another material. The terms “selective etch” or “selectively etch” mean to etch one material more than the other material, or, in other words, to have an etch selectivity of greater than or less than 1:1 between the two materials.

본원에서, "필름" 및 "층"이라는 용어는 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 필름이 층에 해당하거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 표면 상에 놓여 지거나 표면 위에 펼쳐진 일부 재료의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 크거나 트렌치 또는 라인 정도로 작은 범위일 수 있다는 것을 인지할 것이다.Note that herein, the terms “film” and “layer” may be used interchangeably. It is understood that a film may correspond to or be related to a layer, and that a layer may refer to a film. Additionally, those skilled in the art will recognize that the terms "film" or "layer" as used herein refer to the thickness of some material placed on or spread over a surface, which may range from as large as an entire wafer to as small as a trench or line. You will realize that it exists.

본원에서, "에칭 화합물" 및 "에칭 가스"라는 용어는 에칭 화합물이 실온 및 주변 압력에서 가스 상태인 경우 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 에칭 화합물이 에칭 가스에 해당하거나 이와 관련될 수 있으며, 에칭 가스가 에칭 화합물을 지칭할 수 있는 것으로 이해된다.Note that herein, the terms “etching compound” and “etching gas” may be used interchangeably when the etching compound is in a gaseous state at room temperature and ambient pressure. It is understood that an etching compound may correspond to or be related to an etching gas, and that an etching gas may refer to an etching compound.

원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이러한 약어에 의해 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Si는 규소를 지칭하며, N은 질소를 지칭하며, O는 산소를 지칭하며, C는 탄소를 지칭하며, H는 수소를 지칭하며, F는 불소를 지칭하는 등).Standard abbreviations for elements from the Periodic Table of the Elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen , F refers to fluorine, etc.).

화학물질 식별 서비스(Chemical Abstract Service)에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 식별하기 위해 제공된다.A unique CAS registration number (i.e., “CAS”), assigned by the Chemical Abstract Service, is provided to identify the specific molecule disclosed.

규소-함유 필름, 예컨대 SiN 및 SiO가, 그 적절한 화학양론에 대한 언급이 없이, 명세서 및 청구범위 전체를 통해서 나열되어 있다는 것을 주목한다. 규소-함유 필름은 결정질 Si, 폴리-규소(p-Si 또는 다결정질 Si), 또는 비정질 규소와 같은 순수한 규소(Si) 층; 질화규소(SikNl) 층; 또는 산화규소(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 식 중, k, l, m, 및 n은 0.1 내지 6의 범위이다(경계값 포함함). 바람직하게는, 질화규소는 SikNl이며, 식 중, k 및 l은 각각 0.5 내지 1.5의 범위이다. 더욱 바람직하게는, 질화규소는 Si3N4이다. 본원에서, 하기 설명에서의 SiN은 SikNl 함유 층들을 나타내는 데 사용될 수 있다. 바람직하게는, 산화규소는 SinOm이며, 식 중, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게는, 산화규소는 SiO2이다. 본원에서, 하기 설명에서의 SiO는 SinOm 함유 층들을 나타내는 데 사용될 수 있다. 규소-함유 필름은 또한 Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III 재료와 같은, 유기계 또는 산화규소계 저-k 유전체 재료와 같은 산화규소계 유전체 재료일 수 있다. 규소-함유 필름은 또한 SiaObNc를 포함할 수 있으며, 식 중, a, b, c는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한 B, C, P, As 및/또는 Ge와 같은 도펀트를 포함할 수 있다.Note that silicon-containing films, such as SiN and SiO, are listed throughout the specification and claims, without reference to their appropriate stoichiometry. Silicon-containing films include pure silicon (Si) layers such as crystalline Si, poly-silicon (p-Si or polycrystalline Si), or amorphous silicon; Silicon nitride (Si k N l ) layer; or a silicon oxide (Si n O m ) layer; or a mixture thereof, where k, l, m, and n range from 0.1 to 6 (inclusive). Preferably, the silicon nitride is Si k N l , where k and l each range from 0.5 to 1.5. More preferably, the silicon nitride is Si 3 N 4 . Herein, SiN in the following description may be used to denote Si k N l containing layers. Preferably, the silicon oxide is Si n O m , where n is in the range of 0.5 to 1.5 and m is in the range of 1.5 to 3.5. More preferably, the silicon oxide is SiO 2 . Herein, SiO in the description below may be used to refer to Si n O m containing layers. The silicon-containing film can also be a silicon oxide-based dielectric material, such as an organic-based or silicon oxide-based low-k dielectric material, such as the Black Diamond II or III material with the formula SiOCH by Applied Materials, Inc. The silicon-containing film may also include Si a O b N c , where a, b, and c range from 0.1 to 6. Silicon-containing films may also include dopants such as B, C, P, As and/or Ge.

범위는 본원에 대략적으로 하나의 특정 값으로부터, 및/또는 대략적으로 다른 특정 값까지인 것으로 표현될 수 있다. 그러한 범위가 표현되었을 때, 다른 구현예가, 그러한 범위 내의 모든 조합과 함께, 하나의 특정 값으로부터 및/또는 다른 특정 값까지라는 것이 이해되어야 한다.Ranges may be expressed herein as approximately from one particular value, and/or to approximately another particular value. When such ranges are expressed, it should be understood that alternative embodiments may range from one particular value and/or to another particular value, along with all combinations within such range.

본원에서 "일 구현예" 또는 "구현예"의 언급은, 구현예와 관련하여 설명된 특정 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 구현예에 포함될 수 있다는 것을 의미한다. 명세서 내의 여러 곳에서 "일 구현예"라는 문구의 출현이 반드시 동일한 구현예를 지칭하는 것이 아니고, 별도의 또는 대안적인 구현예가 반드시 다른 구현예와 상호 배타적이라는 것을 지칭하는 것이 아니다. "실행예"라는 용어에서도 마찬가지이다.Reference herein to “one embodiment” or “an implementation” means that a particular feature, structure, or characteristic described in connection with the implementation can be included in at least one implementation of the invention. The appearances of the phrase “one implementation” in various places in the specification are not necessarily intended to refer to the same implementation, nor are they intended to indicate that a separate or alternative implementation is mutually exclusive of the other implementations. The same applies to the term “execution”.

본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 관련하여 기술된 하기 상세한 설명이 참조되어야 하며, 이러한 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 제공된다.
도 1a는 당업계에서 기저 기판 상에 SiN 스페이서를 생성하기 위해 형성된 예시적인 패턴의 측단면도이다;
도 1b는 당업계에서 SiN 스페이서의 이상적인 에칭 결과를 갖는 기저 기판 상의 예시적인 SiN 스페이서의 측단면도이다;
도 1c는 당업계에서 스페이서의 하부에서 푸팅을 생성하는 실제 스페이서 에칭 공정에 의한 기저 기판 상의 예시적인 SiN 스페이서의 측단면도이다;
도 2는 개시된 순환 ALE 공정의 사이클에 대한 공정 흐름이다;
도 3은 CH3F를 사용하는 ALE 사이클 대비 에칭된 두께의 그래프이다;
도 4는 C2H5F를 사용하는 ALE 사이클 대비 에칭된 두께의 그래프이다;
도 5는 C3H7F를 사용하는 ALE 사이클 대비 에칭된 두께의 그래프이다;
도 6a는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 ALE 후 SiN 스페이서의 EDS 도표화이다(측벽의 수평 스캔);
도 6b는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 ALE 후 SiN 스페이서의 원자를 사용한 EDS 라인 스캔을 나타낸다(스페이서의 하부의 수직 스캔); 및
도 7은 C2H5F를 사용하는 SiN 스페이서의 연속 에칭이다: EDS 도표화(좌측 도면) 및 EDS 라인 스캔(우측 도면).
For a better understanding of the nature and purpose of the present invention, reference should be made to the following detailed description taken in conjunction with the accompanying drawings, in which like elements are given identical or similar reference numerals.
1A is a cross-sectional side view of an exemplary pattern formed in the art to create SiN spacers on a base substrate;
1B is a cross-sectional side view of an exemplary SiN spacer on a base substrate with ideal etching results for SiN spacers in the art;
FIG. 1C is a cross-sectional side view of an exemplary SiN spacer on a base substrate following an actual spacer etching process that creates footing at the bottom of the spacer in the art;
Figure 2 is a process flow for a cycle of the disclosed cyclic ALE process;
Figure 3 is a graph of etched thickness versus ALE cycle using CH 3 F;
Figure 4 is a graph of etched thickness versus ALE cycle using C 2 H 5 F;
Figure 5 is a graph of etched thickness versus ALE cycle using C 3 H 7 F;
Figure 6a is an EDS plot of a SiN spacer after ALE applying 100% etched sidewalls and 100% overetched sidewalls using C 2 H 5 F, respectively (horizontal scan of the sidewalls);
Figure 6b shows an EDS line scan using atoms of a SiN spacer after ALE applying 100% etched sidewalls and 100% overetched sidewalls using C 2 H 5 F, respectively (vertical scan of the bottom of the spacer); and
Figure 7 is a sequential etching of a SiN spacer using C 2 H 5 F: EDS plotting (left diagram) and EDS line scan (right diagram).

반도체 응용에서 고 선택성으로 Si-함유 기판 상의 질화규소(SiN) 스페이서를 형성하기 위해 프로파일 제어를 개선시키는 방법이 개시되어 있다. 개시된 방법은 HFC의 플라즈마 및 희가스의 플라즈마를 사용하는 순환 원자 층 에칭(ALE) 공정을 적용하여 SiN 층에 의해 피복된 구조물 및/또는 기저 Si-함유 층(예를 들어, 기판) 상에서 SiN 층을 선택적으로 에칭한다. 본원에서, 구조물은 게이트 또는 게이트 스택일 수 있다.A method for improving profile control to form silicon nitride (SiN) spacers on Si-containing substrates with high selectivity in semiconductor applications is disclosed. The disclosed method applies a cyclic atomic layer etch (ALE) process using a plasma of HFC and a plasma of noble gas to etch the SiN layer on a structure covered by a SiN layer and/or on an underlying Si-containing layer (e.g., a substrate). Selectively etched. As used herein, a structure may be a gate or gate stack.

개시된 방법은 SiN 스페이서를 형성하기 위해 프로파일 제어를 상당히 개선시킨다. 형성된 SiN 스페이서의 중요한 특징은 기저 Si-함유 층, 예컨대 폴리-Si(또는 Si) 및 SiO2에 대한 SiN의 고 선택성을 포함한다. 또한, 형성된 SiN 스페이서의 중요한 특징은 심지어 과다-에칭 레시피를 사용하는 경우에도 기저 Si-함유 층에 대해 화학적 손상이 전혀 없음, SiN 층 및 기판에 근접한 과잉 재료가 거의 없음, 스페이서의 하부 엣지에서 푸팅이 거의 없음, 스페이서의 측벽 상에 F 잔여물이 전혀 남아 있지 않음 등을 포함한다.The disclosed method significantly improves profile control for forming SiN spacers. Important features of the SiN spacers formed include the high selectivity of SiN for underlying Si-containing layers, such as poly-Si (or Si) and SiO 2 . Additionally, important features of the formed SiN spacers include zero chemical damage to the underlying Si-containing layer, even when using an over-etch recipe, little excess material close to the SiN layer and substrate, and no footing at the lower edge of the spacer. virtually no F residue remaining on the sidewalls of the spacer, etc.

반도체 응용에서, 스페이서는 금속-산화물-반도체 전계-효과 트랜지스터(metal-oxide-semiconductor field-effect transistors)(MOSFET)에서 게이트 콘택 및 소스 및 드레인 콘택을 단리하기 위해 CVD 또는 ALD에 의해 게이트 또는 게이트 스택과 같은 구조물 상에 침착된 재료의 층이다. 재료는 SiN 등일 수 있다. 스페이서는 게이트 스택의 측벽을 부동태화한다. 개시된 방법은 게이트 스페이서, 자가-정렬 이중 패턴화(SADP) 스페이서, 자가-정렬 사중 패턴화(SAQP) 스페이서를 갖는 패턴화 스페이서 등을 포함한 반도체 응용에서의 임의의 유형의 스페이서에 적용될 수 있다. 본원에서, 게이트 스택은 디지털 스위치, 랜덤-액세스 메모리(RAM), 증폭기, 전계-효과 트랜지스터계 바이오센서(BioFET), DNA 전계-효과 트랜지스터(DNAFET), 강유전체, 자성, 전해질 등일 수 있다. 더욱 구체적으로, 게이트 스택은 플래시 메모리, 예컨대 3D NAND 및 NOR, 규소-산화물-질화물-산화물-규소(SONOS), 전체적 스트레인 및 국소적 스트레인을 포함한 스트레인 계면, 강유전체 게이트 스택, 전해질 계면 등을 포함한 고-k 게이트 스택일 수 있다.In semiconductor applications, spacers are used on gates or gate stacks by CVD or ALD to isolate the gate contact and source and drain contacts in metal-oxide-semiconductor field-effect transistors (MOSFETs). A layer of material deposited on a structure such as The material may be SiN or the like. The spacer passivates the sidewalls of the gate stack. The disclosed method can be applied to any type of spacer in semiconductor applications, including gate spacers, self-aligned double patterned (SADP) spacers, patterned spacers with self-aligned quadruple patterned (SAQP) spacers, etc. As used herein, the gate stack may be a digital switch, random-access memory (RAM), amplifier, field-effect transistor-based biosensor (BioFET), DNA field-effect transistor (DNAFET), ferroelectric, magnetic, electrolytic, etc. More specifically, the gate stack is used in flash memory, such as 3D NAND and NOR, silicon-oxide-nitride-oxide-silicon (SONOS), high-gauge devices including strain interfaces including global and local strains, ferroelectric gate stacks, electrolyte interfaces, etc. -k can be a gate stack.

도 1a 내지 도 1c는 기저 기판 상의 예시적인 SiN 스페이서 형성의 예시적인 측단면도를 보여준다. 도 1a는 SiN 스페이서를 생성하기 위해 형성된 트렌치 패턴을 나타내지만, 이에 한정되지 않는다. SiN 피복된 구조물(1012)은 기판(102)의 상부 상에 형성되어 있다. 복수개의 SiN 피복된 구조물이 기판(102)의 상부 상에 형성될 수 있지만, 오직 2개의 구조물(1020)만이 나타나 있다. 기판(102)은 Si, 폴리-Si, SiO2 등과 같은 Si-함유 재료로 구성된 FinFET(핀 전계-효과 트랜지스터) 기판일 수 있다. 부호(104)는 기판(102) 상의 구조물(106)을 피복하는 SiN의 층을 나타낸다. 당업계에서 필러로도 불리는 구조물(106)은 SiN 층(104)에 의해 피복된 게이트 스택일 수 있다. 이상적인 환경에서, 에칭 프런트, 구조물(106)의 상부 또는 필러의 상부 및 기판(102)의 상부 또는 트렌치의 하부를 수평으로 피복하는 SiN 층은 제거되어야 하고, 하부 모서리에서 푸팅이 거의 없는 구조물(106) 상의 수직으로 직립하고 균일한 SiN 측벽이 수득되어야 한다. 본원에서, "a1" 및 "a2"는 구조물 또는 게이트 스택의 상이한 높이에서 측벽(104) 상의 SiN 층의 두께를 나타낸다. "a1"의 높이는 필러의 상부에 밀접할 수 있고, 예를 들어 필러의 상부 아래에서 구조물(106)의 전체 높이의 약 1/3 높이에 있을 수 있고; "a2"는 기판(102) 위에서 구조물(106)의 전체 높이의 약 1/3 높이에서 기판(102)에 밀접한 높이에 있을 수 있다. SiN 층(104) 아래의 구조물(106)은 기판(102)에 인접한 하부에서 만곡될 수 있기 때문에(도시되지 않음), "a2"의 값은 수직으로 직립한 SiN 스페이서가 있는 "a1"의 값보다 작을 수 있다. "b" 및 "c"는 각각 구조물(106)의 상부 및 기판(102)의 상부 상의 SiN 층의 두께를 나타낸다. 본원에서 "b" 및 "c"는 에칭 프런트의 두께이다. 추가로, "c"는 SiN 층을 제거한 후 기판(102)의 제거 두께를 또한 나타낼 수 있다. 이러한 경우, "c"는 음의 값일 수 있다. 도 1b에 나타낸 바와 같이, 구조물(206) 상에 수직으로 직립하고 균일한 SiN 측벽(204) 피복물이 형성되어 있고, 구조물(206)의 상부 및 기판(202)의 상부를 수평으로 피복하는 에칭 프런트 상의 SiN 층이 제거되어 있는, 이상적인 SiN 스페이서 에칭 결과가 제시되어 있다. 그러나, 실제 스페이서 에칭 공정은 종종 SiN 층 및 기판 근처에 과잉 재료를 남겨서, 도 1c에 나타낸 바와 같이, 스페이서 하부에서 푸팅(308)이 생성된다. 본원에서, 기판(302)에 인접한 푸팅(308)의 수평 길이, "d"는 푸팅의 크기를 나타내도록 정의된다. 1A - 1C show exemplary cross-sectional side views of exemplary SiN spacer formations on a base substrate. 1A shows, but is not limited to, a trench pattern formed to create SiN spacers. SiN coated structures 10 and 12 are formed on top of substrate 102 . Although multiple SiN coated structures can be formed on top of substrate 102 , only two structures 10 and 20 are shown. Substrate 102 may be a FinFET (fin field-effect transistor) substrate composed of Si-containing materials such as Si, poly-Si, SiO 2 , etc. The symbol 104 represents a layer of SiN covering the structure 106 on the substrate 102 . Structure 106 , also called a pillar in the art, may be a gate stack covered by a SiN layer 104 . In ideal circumstances, the etch front, the SiN layer covering the top of the structure 106 or the top of the filler and the top of the substrate 102 or the bottom of the trench horizontally should be removed, leaving a structure 106 with little footing at the bottom edges. ), vertically upright and uniform SiN sidewalls should be obtained. Herein, “a 1 ” and “a 2 ” refer to the thickness of the SiN layer on the sidewall 104 at different heights of the structure or gate stack. The height of “ a 1 ” may be close to the top of the pillar, for example about one third of the overall height of the structure 106 below the top of the pillar; “a 2 ” may be at a height proximal to the substrate 102 at a height of about one third of the total height of the structure 106 above the substrate 102 . Because the structure 106 beneath the SiN layer 104 may be curved at the bottom adjacent the substrate 102 (not shown), the value of "a 2 " is equivalent to "a 1 " with the SiN spacer standing vertically. It may be smaller than the value of . “b” and “c” represent the thickness of the SiN layer on top of structure 106 and on top of substrate 102 , respectively. “b” and “c” herein are the thickness of the etch front. Additionally, “c” may also represent the removed thickness of substrate 102 after removing the SiN layer. In this case, “c” may be a negative value. As shown in Figure 1B , a vertically erect and uniform SiN sidewall 204 coating is formed on the structure 206 , and an etch front horizontally covers the top of the structure 206 and the top of the substrate 202 . An idealized SiN spacer etching result is presented, with the upper SiN layer removed. However, the actual spacer etch process often leaves excess material near the SiN layer and substrate, resulting in footing 308 at the bottom of the spacer, as shown in Figure 1C . Herein, the horizontal length of footing 308 adjacent to substrate 302 , “d”, is defined to indicate the size of the footing.

Si-함유 기판 상에 형성된 SiN 스페이서의 에칭 프로파일을 제어하기 위해 개시된 순환 ALE 공정은 SiN 스페이서를 제조하는 경우 푸팅의 결함을 극복한다. Si-함유 기판 상에 형성된 SiN 스페이서의 에칭 프로파일을 제어하기 위해 개시된 순환 ALE 공정은 SiN 스페이서를 제조하는 경우 테이퍼링 없이 수직으로 직립한 스페이서를 또한 생성한다. 개시된 순환 ALE 공정은 표면 개질 단계 또는 침착 단계 및 표면 제거 단계 또는 에칭 단계를 하나의 ALE 사이클 안에 포함한다. 표면 개질 단계 동안, 중합체 박막이 반응 챔버에서 SiN 층(도 1a, SiN 층(104) 참고)의 표면을 개질하는 SiN 층의 표면 상에 침착된다. 중합체 박막은 HFC 가스의 플라즈마 또는 HFC 가스와 비활성 가스, 예컨대 N2, Ar, Kr, Xe, 바람직하게는 Ar의 가스 혼합물의 플라즈마에 의해 형성된다. HFC 가스는 SiN 층의 표면 상의 재료 SiN과 반응하여, SiN 층의 표면 상에 C 풍부 중합체(C:F > 1)이며 또한 개질된 표면 층으로도 불리는 중합체 박막을 형성하는데, 여기에서 화학적 결합이 중합체 박막과 SiN 층의 표면 사이의 간층에서 형성되어 있다. 표면 제거 단계에서, 개질된 표면 층은 개질된 표면 층을 스퍼터링하는 에너지 이온 충격을 통해 순수한 비활성 가스(예를 들어, Ar) 플라즈마에 의해 에칭되거나 제거되며, 이는 휘발성이 매우 높고, 챔버로부터 펌핑 제거될 수 있다. 표면 제거 단계 후, 표면 개질 단계가 반복되어 순환 ALE 공정이 형성된다. 순환 ALE에 의해, ALE 과다 에칭 레시피가 적용되어 구조물 또는 게이트 스택 대비 SiN의 무한 선택성으로 에칭 프런트 상의 SiN 층을 더욱 제거할 수 있다. ALE 과다 에칭 레시피는 대략 10% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭, 바람직하게는 대략 50% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭의 범위일 수 있다. 이러한 공정은 순환될 수 있고, 재료의 단계적인 제거를 가능하게 하여, 패턴 정확성을 증가시키고 SiN 스페이서의 푸팅을 최소화한다. 표면 개질 단계와 표면 제거 단계 사이에 또는 침착 단계와 에칭 단계 후에, N2 퍼지 단계가 적용된다. N2 퍼지 단계는 N2 퍼지 단계 전에 반응 챔버 밖으로 HFC 가스를 펌핑하는 진공 펌프 단계 및 N2 퍼지 단계 후에 반응 챔버 밖으로 N2를 펌핑하는 진공 펌프 단계를 포함한다.A cyclic ALE process disclosed to control the etch profile of SiN spacers formed on Si-containing substrates overcomes the defects of footing when manufacturing SiN spacers. The cyclic ALE process disclosed to control the etch profile of SiN spacers formed on Si-containing substrates also produces vertically upright spacers without tapering when manufacturing SiN spacers. The disclosed cyclic ALE process includes a surface modification step or deposition step and a surface removal step or etching step within one ALE cycle. During the surface modification step, a polymer thin film is deposited on the surface of the SiN layer (Figure 1a , see SiN layer ( 104 )) in the reaction chamber. It is deposited on the surface of the modifying SiN layer. The polymer thin film is formed by a plasma of HFC gas or a gas mixture of HFC gas and an inert gas such as N 2 , Ar, Kr, Xe, preferably Ar. HFC gas reacts with the material SiN on the surface of the SiN layer to form a polymer thin film, also called a modified surface layer, which is a C-rich polymer (C:F > 1) on the surface of the SiN layer, where chemical bonds It is formed in the interlayer between the polymer thin film and the surface of the SiN layer. In the surface removal step, the modified surface layer is etched or removed by a pure inert gas (e.g. Ar) plasma through energetic ion bombardment that sputters the modified surface layer, which is highly volatile and is pumped away from the chamber. It can be. After the surface removal step, the surface modification step is repeated to form a cyclic ALE process. By cyclic ALE, an ALE overetch recipe can be applied to further remove the SiN layer on the etch front due to the infinite selectivity of SiN over the structure or gate stack. The ALE overetch recipe can range from approximately 10% ALE overetch to approximately 200% ALE overetch, preferably approximately 50% ALE overetch to approximately 200% ALE overetch. This process can be cycled and allows staged removal of material, increasing pattern accuracy and minimizing footing of SiN spacers. Between the surface modification step and the surface removal step or after the deposition step and the etching step, an N 2 purge step is applied. The N 2 purge step includes a vacuum pump step to pump HFC gas out of the reaction chamber before the N 2 purge step and a vacuum pump step to pump N 2 out of the reaction chamber after the N 2 purge step.

이상적인 순환 ALE 공정은 자가-제한 반응을 기반으로 하며, 이는 하부 층을 온전히 유지하면서 반응물이 기판 상에서 오직 이용가능한 표면 부위에만 반응함을 의미한다. ALE 공정 조건은 반응물 유량 및 노출 시간에 관한 자가-제한 성질을 추적함으로써 최적화될 수 있다. 시스템으로부터 과다한 에칭액을 제거하기 위해 각 단계의 말미에서 일정한 N2 퍼지를 사용하여 임의의 상승적 반응을 방지하였다.An ideal cyclic ALE process is based on self-limiting reactions, meaning that the reactants react only on the available surface sites on the substrate while keeping the underlying layers intact. ALE process conditions can be optimized by tracking the self-limiting properties of reactant flow rates and exposure times. A constant N 2 purge was used at the end of each step to remove excess etchant from the system to prevent any synergistic reactions.

도 2를 참고하면, 개시된 ALE 공정의 한 사이클에서, HFC 가스와 Ar의 가스 혼합물로부터 형성된 플라즈마 에칭 가스는 단계 1에서 반응 챔버에서 SiN 층의 표면 상에 중합체 박막을 침착한다. 이어서 중합체 박막은 단계 2에서 순수한 비활성 가스(예를 들어, Ar) 플라즈마에 의해 에칭되거나 제거된다. 각각의 단계 후에, 반응 챔버는 펌프/N2 퍼지/펌프 공정을 경험하고, 이는 다음 단계를 진행하기 전에 반응 챔버를 진공으로 펌핑함, 퍼지하기 위해 반응 챔버로 N2를 충전함 및 반응 챔버를 진공으로 다시 펌핑함을 포함한다.Referring to Figure 2 , in one cycle of the disclosed ALE process, a plasma etch gas formed from a gas mixture of HFC gas and Ar deposits a polymer thin film on the surface of the SiN layer in the reaction chamber in step 1. The polymer thin film is then etched or removed in step 2 by a pure inert gas (e.g. Ar) plasma. After each step, the reaction chamber undergoes a pump/N 2 purge/pump process, which pumps the reaction chamber to vacuum before proceeding to the next step, charges N 2 into the reaction chamber to purge it, and purges the reaction chamber. Including pumping back to vacuum.

개시된 순환 ALE 방법은 포화 또는 불포화, 선형 또는 환형인 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖는 HFC 가스를 사용하여 SiN을 선택적으로 플라즈마 에칭하는 단계를 포함할 수 있다. HFC 플라즈마는 SiN과 상호작용하여 C 풍부 중합체(C:F > 1)를 형성하고, 이는 SiN 층의 상부에 침착되어 중합체 층을 형성한다. 개시된 HFC 가스를 사용하여 플라즈마 챔버에서 비활성 가스와 혼합하여 중합체 층 및 또한 SiN 층의 단일 원자 층을 선택적으로 에칭할 수 있다. 따라서, 높은 선택성, 최소화된 푸팅, 제한된 불소 형성 및 SiN 스페이서의 평활한 표면과 같은 개선된 프로파일 제어에 의해 SiN 스페이서가 형성된다. 비활성 가스는 Ar, Kr 및 Xe일 수 있다. 바람직하게는, Ar이다.The disclosed cyclic ALE method is to selectively plasma etch SiN using an HFC gas having the formula C May include steps. The HFC plasma interacts with SiN to form a C-rich polymer (C:F > 1), which is deposited on top of the SiN layer to form a polymer layer. The disclosed HFC gas can be used to selectively etch single atomic layers of the polymer layer and also the SiN layer by mixing with an inert gas in a plasma chamber. Accordingly, SiN spacers are formed with improved profile control such as high selectivity, minimized footing, limited fluorine formation, and smooth surfaces of the SiN spacers. Inert gases may be Ar, Kr and Xe. Preferably, it is Ar.

SiN 층 상에 중합체 층을 형성하기 위해 개시된 HFC 가스에는 다음의 HFC 가스들, 즉 플루오로에탄 C2H5F(CAS# 353-36-6) 및 1-플루오로프로판 C3H7F(CAS# 460-13-9)가 포함될 수 있다. 이들 HFC 가스를 사용하여 플라즈마 챔버에서 비활성 가스와 혼합하여 SiN 층 상에 중합체 층을 침착한다. 중합체 층과 SiN 층 사이의 간층은 SiN 층의 표면을 개질하기 위해 형성된다. 이어서, Ar과 같은 비활성 가스의 플라즈마가 중합체 층 및 또한 간층을 선택적으로 제거한다. 이는 SiN 층의 단일 원자 층을 제거하는 것과 동일하다. 이러한 방식으로, 높은 선택성, 최소화된 푸팅, 제한된 불소 형성 및 SiN 스페이서의 평활한 표면과 같은 개선된 프로파일 제어에 의해 SiN 스페이서가 형성된다. 비활성 가스는 Ar, Kr 및 Xe, 바람직하게는 Ar일 수 있다.HFC gases disclosed for forming polymer layers on SiN layers include the following HFC gases: fluoroethane C 2 H 5 F (CAS# 353-36-6) and 1-fluoropropane C 3 H 7 F ( CAS# 460-13-9) may be included. These HFC gases are used to deposit a polymer layer on the SiN layer by mixing with an inert gas in a plasma chamber. An interlayer between the polymer layer and the SiN layer is formed to modify the surface of the SiN layer. Subsequently, a plasma of an inert gas such as Ar selectively removes the polymer layer and also the interlayer. This is equivalent to removing a single atomic layer of the SiN layer. In this way, SiN spacers are formed with improved profile control such as high selectivity, minimized footing, limited fluorine formation, and smooth surfaces of the SiN spacers. The inert gas may be Ar, Kr and Xe, preferably Ar.

개시된 HFC 가스는 주요 불순물 N2, COx, SOx, H2O 등을 제거함으로써 99% v/v 초과의 순도, 바람직하게는 99.9% v/v 초과의 순도로 제공된다.The disclosed HFC gases are provided with a purity of greater than 99% v/v, preferably greater than 99.9% v/v, by removing major impurities N 2 , CO x , SO x , H 2 O and the like.

개시된 HFC 가스는 1 부피% 미만의 미량 가스 불순물을 함유하며, 상기 미량 가스상 불순물에는 N2 및/또는 H2O 및/또는 CO2와 같은 불순물 가스가 부피 기준으로 150 ppm 미만으로 함유된다. 바람직하게는, 플라즈마 에칭 가스에서 물 함량은 중량 기준으로 20 ppmw 미만이다. 정제된 생성물은 증류에 의해 및/또는 4 Å 분자체와 같은 적합한 흡착제를 통해 가스 또는 액체를 통과시킴으로써 생성될 수 있다.The disclosed HFC gases contain less than 1% by volume of trace gaseous impurities, which include less than 150 ppm by volume of impurity gases such as N 2 and/or H 2 O and/or CO 2 . Preferably, the water content in the plasma etch gas is less than 20 ppmw by weight. Purified products can be produced by distillation and/or by passing the gas or liquid through a suitable adsorbent such as a 4 Å molecular sieve.

개시된 순환 ALE 방법은 기판이 그 안에 배치되어 있는 플라즈마 공정 챔버를 제공하는 단계를 포함한다. 플라즈마 공정 챔버는 디바이스 내의 임의의 외장 또는 챔버일 수 있고, 그러한 디바이스에서, 에칭 방법은, 예를 들어, 그리고 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 공급원을 이용한 용량 결합 플라즈마(CCP), 유도 결합 플라즈마(ICP), 전자 사이클로트론 공명(ECR), 마이크로파 플라즈마 반응기, 원격 플라즈마 반응기, 펄스 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거하거나 또는 활성 종을 발생시킬 수 있는 다른 유형의 에칭 시스템과 같은, 플라즈마 에칭을 위해서 이용되는 임의의 챔버 또는 외장에서 실시된다. 바람직한 챔버는 CCP 챔버이다.The disclosed cyclic ALE method includes providing a plasma process chamber with a substrate disposed therein. The plasma process chamber may be any enclosure or chamber within the device, in which the etching method may include, for example and without limitation, reactive ion etching (RIE), capacitively coupled plasma using a single or multiple frequency RF source. (CCP), inductively coupled plasma (ICP), electron cyclotron resonance (ECR), microwave plasma reactor, remote plasma reactor, pulsed plasma reactor, or a method capable of selectively removing a portion of a silicon-containing film or generating active species. It is performed in any chamber or enclosure used for plasma etching, such as other types of etching systems. A preferred chamber is a CCP chamber.

당업자는, 상이한 플라즈마 반응 챔버 설계가 상이한 전자 온도 조절을 제공한다는 것을 인지할 것이다. 적합한 상업적으로 입수가능한 플라즈마 반응 챔버에는 상표명 eMAXTM으로 시판되는 Applied Materials의 자기적으로 향상된 반응성 이온 에칭기 또는 상표명 2300® FlexTM으로 시판되는 Lam Research Dual CCP의 반응성 이온 에칭기 유전체 에칭 제품 패밀리가 포함되지만, 이에 한정되지 않는다. 여기에서 RF 전력은 플라즈마 성질을 조절하기 위해 펄스화될 수 있고, 이에 의해 에칭 성능(선택성 및 손상)을 추가로 개선시킬 수 있다.Those skilled in the art will recognize that different plasma reaction chamber designs provide different electronic temperature control. Suitable commercially available plasma reaction chambers include Applied Materials' magnetically enhanced reactive ion etcher sold under the trade name eMAX TM or Lam Research Dual CCP's reactive ion etcher dielectric etch product family sold under the trade name 2300® Flex TM . However, it is not limited to this. Here, RF power can be pulsed to control plasma properties, thereby further improving etch performance (selectivity and damage).

고 중합체 침착을 제거하거나 또는 고 중합체 침착의 두께를 감소시키기 위해 산소-함유 가스가 반응 챔버로 도입될 수 있다. 산소-함유 가스에는, 비제한적으로, O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합과 같은 산화제가 포함된다. 산소 또는 산소 함유 가스를 플라즈마 화학물질에 첨가하면 플라즈마 종의 F/C 비가 증가하고 중합체 형성이 감소하는 것으로 알려져 있다(예를 들어, Hung 등에 허여된 미국 특허 제6387287호 참고). 개시된 HFC 가스 및 산소 함유 가스는 반응 챔버로 도입 전에 함께 혼합될 수 있다.Oxygen-containing gas may be introduced into the reaction chamber to remove high polymer deposits or reduce the thickness of high polymer deposits. Oxygen-containing gases include, but are not limited to, oxidizing agents such as O 2 , O 3 , CO, CO 2 , NO, NO 2 , N 2 O, SO 2 , COS, H 2 O, and combinations thereof. It is known that adding oxygen or oxygen-containing gases to the plasma chemistry increases the F/C ratio of the plasma species and reduces polymer formation ( see, for example , US Pat. No. 6,387,287 to Hung et al.). The disclosed HFC gas and oxygen-containing gas may be mixed together prior to introduction into the reaction chamber.

대안적으로, 산소-함유 가스는 챔버 내에 연속적으로 도입되며, 개시된 HFC 가스는 챔버 내에 펄스식으로 도입된다. 산소-함유 가스는 챔버 내에 도입된 혼합물의 대략 0.01 부피% 내지 대략 99.99 부피%를 차지한다.Alternatively, the oxygen-containing gas is continuously introduced into the chamber and the initiated HFC gas is introduced pulsed into the chamber. The oxygen-containing gas accounts for approximately 0.01% to approximately 99.99% by volume of the mixture introduced into the chamber.

개시된 순환 ALE 방법에서, 플라즈마 공정 시간은 0.01초 내지 10000초로 다양할 수 있다. 바람직하게는 1초 내지 30초이다. N2 퍼지 시간은 1초 내지 10000초로 다양할 수 있다. 바람직하게는 10초 내지 60초이다.In the disclosed cyclic ALE method, the plasma process time can vary from 0.01 seconds to 10000 seconds. Preferably it is 1 second to 30 seconds. The N 2 purge time can vary from 1 second to 10000 seconds. Preferably it is 10 seconds to 60 seconds.

반응 챔버 내의 온도 및 압력은 규소-함유 필름이 활성화된 에칭 가스와 반응하기에 적합한 조건에서 유지된다. 예를 들어, 챔버 내의 압력은 에칭 파라미터에 의해 요망되는 바와 같이, 대략 1 mTorr 내지 대략 50 Torr, 바람직하게는 대략 1 mTorr 내지 대략 10 Torr, 더욱 바람직하게는 대략 300 mTorr 내지 대략 1 Torr에서 유지될 수 있다. 마찬가지로, 챔버 내의 기판 온도는 대략 -110℃ 내지 대략 2000℃, 바람직하게는 대략 -70℃ 내지 대략 1500℃, 더욱 바람직하게는 대략 -20℃ 내지 대략 1000℃, 더욱 더 바람직하게는 대략 25℃ 내지 대략 700℃, 더욱 더 바람직하게는 대략 25℃ 내지 대략 500℃, 더욱 더 바람직하게는 대략 25℃ 내지 대략 50℃의 범위일 수 있다. 챔버 벽 온도는 공정 요건에 따라 대략 25℃ 내지 대략 100℃의 범위일 수 있다.The temperature and pressure within the reaction chamber are maintained at conditions suitable for the silicon-containing film to react with the activated etching gas. For example, the pressure within the chamber may be maintained at approximately 1 mTorr to approximately 50 Torr, preferably approximately 1 mTorr to approximately 10 Torr, more preferably approximately 300 mTorr to approximately 1 Torr, as desired by the etch parameters. You can. Likewise, the substrate temperature within the chamber is approximately -110°C to approximately 2000°C, preferably approximately -70°C to approximately 1500°C, more preferably approximately -20°C to approximately 1000°C, and even more preferably approximately 25°C to approximately 25°C. It may range from approximately 700°C, even more preferably from approximately 25°C to approximately 500°C, and even more preferably from approximately 25°C to approximately 50°C. Chamber wall temperature can range from approximately 25°C to approximately 100°C depending on process requirements.

일 구현예에서, 개시된 HFC 가스는 피복된 SiN 층을 갖는 게이트 스택과 같은 구조물이 그 위에 형성되어 있는 기판을 함유하는 반응 챔버 내에 도입된다. 가스는 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 챔버에 도입될 수 있다. 바람직하게는, 1 sccm 내지 100 sccm이다. 비활성 가스는 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 챔버에 도입될 수 있다. 바람직하게는, 10 sccm 내지 200 sccm이다. 당업자는 유량이 툴(tool)에 따라 달라질 수 있다는 것을 인지할 것이다.In one implementation, the disclosed HFC gas is introduced into a reaction chamber containing a substrate having a structure formed thereon, such as a gate stack with a covered SiN layer. Gas may be introduced into the chamber at a flow rate ranging from approximately 1 sccm to approximately 10 slm. Preferably, it is 1 sccm to 100 sccm. The inert gas may be introduced into the chamber at a flow rate ranging from approximately 1 sccm to approximately 10 slm. Preferably, it is 10 sccm to 200 sccm. Those skilled in the art will recognize that flow rates may vary depending on the tool.

개시된 순환 에칭 방법은 i) 플라즈마 공정 챔버 또는 반응 챔버 내에서 기판 홀더(holder) 상에 패턴화된 기판을 배치하는 단계로서, 패턴화된 기판은 기판 상에 적어도 하나의 구조물을 피복하는 SiN 층을 갖고, 여기서 구조물은 게이트 스택일 수 있으며, 기판은 Si-함유 층(들)을 함유할 수 있는 단계, ii) HFC 가스 또는 HFC 가스와 비활성 가스의 혼합물을 반응 챔버로 도입하여 그 안에 플라즈마를 생성시키는 단계로서, 일단 플라즈마가 생성되면, 플라즈마는 SiN 층의 표면을 개질하는 SiN 층 상에 중합체 층을 침착시키고, HFC 가스는 포화 또는 불포화, 선형 또는 환형 HFC인 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, 비활성 가스는 N2, Ar, Kr, Xe이고, 바람직하게는 Ar인 단계; iii) HFC 가스 또는 HFC 가스와 비활성 가스의 혼합물을 반응 챔버가 고 진공에 도달할 때까지 반응 챔버 밖으로 펌핑하는 단계; iv) 반응 챔버를 N2로 퍼지하는 단계; v) 반응 챔버를 고 진공으로 다시 펌핑하는 단계, 즉 반응 챔버가 고 진공에 도달할 때까지 N2를 반응 챔버 밖으로 펌핑하는 단계; vi) 비활성 가스를 반응 챔버로 도입시켜 비활성 가스의 플라즈마를 생성시키는 단계; vii) 비활성 가스의 플라즈마에 SiN 층 상에 침착된 중합체 층을 노출시켜, 비활성 가스의 플라즈마가 이온 충격을 통해 에칭 프런트 상의 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계; vii) 반응 챔버를 고 진공으로 펌핑하는 단계, 즉 반응 챔버가 고 진공에 도달할 때까지 비활성 가스를 반응 챔버 밖으로 펌핑하는 단계; viii) 반응 챔버를 N2로 퍼지하는 단계; ix) 반응 챔버를 고 진공으로 펌핑하는 단계; 및 x) 에칭 프런트 상의 SiN 층이 선택적으로 제거될 때까지 단계 ii) 내지 ix)를 반복하여, 이에 의해 게이트 스택의 측벽 상에 SiN 층을 포함하는 실질적으로 수직으로 직립한 SiN 스페이서를 형성하는 단계를 추가로 포함한다. 본원에서 과다 에칭 레시피가 적용될 수 있다, 예를 들어 50% 과다 에칭 내지 200% 과다 에칭이 적용될 수 있다.The disclosed cyclic etching method includes the steps of i) placing a patterned substrate on a substrate holder within a plasma process chamber or reaction chamber, wherein the patterned substrate has a SiN layer covering at least one structure on the substrate; ii) introducing an HFC gas or a mixture of an HFC gas and an inert gas into the reaction chamber to generate a plasma therein; As a step, once the plasma is generated, the plasma deposits a polymer layer on the SiN layer that modifies the surface of the SiN layer, and the HFC gas is a saturated or unsaturated, linear or cyclic HFC of the formula C x H y F z (Eq. where x is 2 to 5 and y > z), and the inert gas is N 2 , Ar, Kr, Xe, preferably Ar; iii) pumping the HFC gas or a mixture of HFC gas and inert gas out of the reaction chamber until the reaction chamber reaches a high vacuum; iv) purging the reaction chamber with N 2 ; v) pumping the reaction chamber back to high vacuum, i.e. pumping N 2 out of the reaction chamber until the reaction chamber reaches high vacuum; vi) introducing an inert gas into the reaction chamber to generate a plasma of the inert gas; vii) exposing the polymer layer deposited on the SiN layer to a plasma of an inert gas, wherein the plasma of the inert gas causes the polymer layer deposited on the SiN layer on the etch front and the modified surface of the SiN layer on the etch front through ion bombardment. removing; vii) pumping the reaction chamber to high vacuum, i.e. pumping the inert gas out of the reaction chamber until the reaction chamber reaches high vacuum; viii) purging the reaction chamber with N 2 ; ix) pumping the reaction chamber to high vacuum; and x) repeating steps ii) to ix) until the SiN layer on the etch front is selectively removed, thereby forming substantially vertically upright SiN spacers comprising a SiN layer on the sidewalls of the gate stack. Additionally includes. An overetch recipe may be applied herein, for example between 50% overetch and 200% overetch.

이상적인 경우, 이온 충격 공정은 오직 에칭 프런트 상의 중합체 층 및 SiN 층의 개질된 표면, 즉 필러의 상부 및 트렌치의 하부 상에서 SiN 층 및 SiN 층의 개질된 표면 만을 제거하고, 측벽 상의 SiN 층은 변하지 않은 채로 남겨둔다. 실제로, 작은 편차 및/또는 만곡된 하부를 갖는 구조물로 인해 측벽 상의 SiN 층의 두께는 약간 변할 수 있다. 개시된 순환 에칭 방법은 게이트 스택의 측벽 상에서 SiN 층의 적어도 대부분이 제거되지 않게 한다. 바람직하게는, 게이트 스택의 측벽 상에서 SiN 층, 특히 구조물의 하부에 밀접한 SiN 층의 두께의 10% 미만이 제거된다. 더욱 바람직하게는, 게이트 스택의 측벽 상에서 SiN 층의 두께의 5% 미만이 제거된다. 더욱 더 적합하게는, 게이트 스택의 측벽 상에서 SiN 층의 두께의 1% 미만이 제거된다. 더욱 더 바람직하게는, 게이트 스택의 측벽 상에서 SiN 층의 측정가능한 두께 감소가 발생하지 않는다.In an ideal case, the ion bombardment process removes only the modified surfaces of the SiN layer and the polymer layer on the etch front, i.e., the SiN layer and the SiN layer on the top of the pillar and the bottom of the trench, leaving the SiN layer on the sidewalls unchanged. leave it as is In practice, the thickness of the SiN layer on the sidewall may vary slightly due to structures having small deviations and/or curved bottoms. The disclosed cyclic etching method avoids removing at least a majority of the SiN layer on the sidewalls of the gate stack. Preferably, less than 10% of the thickness of the SiN layer on the sidewalls of the gate stack, especially the SiN layer proximal to the bottom of the structure, is removed. More preferably, less than 5% of the thickness of the SiN layer on the sidewalls of the gate stack is removed. Even more suitably, less than 1% of the thickness of the SiN layer on the sidewalls of the gate stack is removed. Even more preferably, no measurable thickness reduction of the SiN layer occurs on the sidewalls of the gate stack.

통상적인 SiN 스페이서 에칭 공정과 비교하여, 본원에 개시된 HFC 가스를 사용하는 개시된 순환 ALE 공정은 화학적 완전성을 유지하는 한편, 기저 재료 상에 상당한 표면 조도 또는 화학 오염물(예를 들어, F 잔여물)을 유발하지 않으면서, 하기 실시예로부터, 스페이서의 하부 엣지에서 SiN 푸팅을 70% 초과 만큼 감소시킬 수 있다. 더욱 구체적으로, C2H5F를 사용하는 순환 ALE 공정에 의해, 트렌치의 하부 및 측벽 상에 플루오라이드 잔여물이 전혀 생성되지 않았다. 본원에서, 플루오라이드 잔여물이 전혀 없음은 트렌치의 하부 및 측벽 상에 대략 0.05% 미만의 플루오라이드 잔여물, 바람직하게는 0.03% 미만이 남아 있음을 의미한다. 개시된 HFC 가스를 사용하는 개시된 순환 ALE 공정은 또한 SiN 스페이서의 평활한 표면을 생성한다.Compared to conventional SiN spacer etch processes, the disclosed cyclic ALE process using HFC gases disclosed herein maintains chemical integrity while reducing significant surface roughness or chemical contaminants (e.g., F residues) on the underlying material. From the examples below, SiN footing at the lower edge of the spacer can be reduced by more than 70% without causing harm. More specifically, the cyclic ALE process using C 2 H 5 F produced no fluoride residues on the bottom and sidewalls of the trench. As used herein, no fluoride residue means that approximately less than 0.05% fluoride residue remains on the bottom and sidewalls of the trench, preferably less than 0.03%. The disclosed cyclic ALE process using the disclosed HFC gas also produces a smooth surface of the SiN spacer.

실시예Example

본 발명의 구현예를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all-inclusive or limit the scope of the invention described herein.

하기 실시예는 각각의 단계에 대해 다양한 조건을 갖는 CCP 플라즈마 챔버로 수행하였다. 플라즈마 전력, 압력, 가스 유량, 반응 시간 등은 매우 잘 제어되었다. 압력 범위는 300 mtorr 내지 1 Torr였다. 온도 범위는 25C° 내지 50C°였다. CH3F 또는 C2H5F 또는 C3H7F에 대한 가스 유량은 1 sccm 내지 10 sccm으로 다양하였다. 희가스에 대한 유량은 10 sccm 내지 200 sccm으로 다양하였다. 사용된 희가스는 Ar이었다. RF 플라즈마 전력은 50 W 내지 100 W 범위에 이르렀다. 플라즈마 공정 시간 또는 반응 시간은 1초 내지 30초로 다양하였다. N2 퍼지 시간은 10초 내지 60초로 다양하였다. CH3F 또는 C2H5F 또는 C3H7F의 원하는 순도는 N2, COx, CxHyFz, SOx, H2O 등과 같은 주요 불순물을 제거함으로써 >99.9%였다.The following examples were performed in a CCP plasma chamber with various conditions for each step. Plasma power, pressure, gas flow rate, reaction time, etc. were very well controlled. The pressure range was 300 mtorr to 1 Torr. The temperature range was 25C° to 50C°. The gas flow rate for CH 3 F or C 2 H 5 F or C 3 H 7 F varied from 1 sccm to 10 sccm. The flow rate for noble gas varied from 10 sccm to 200 sccm. The noble gas used was Ar. RF plasma power ranged from 50 W to 100 W. The plasma process time or reaction time varied from 1 to 30 seconds. N 2 purge time varied from 10 to 60 seconds. The desired purity of CH 3 F or C 2 H 5 F or C 3 H 7 F was >99.9% by removing major impurities such as N 2 , CO x , C x H y F z , SO x , H 2 O, etc.

하기 실시예에서 사용되는 샘플은 도 1a에 나타낸 바와 같은 패턴화된 스페이서 웨이퍼였고, 여기서 기판은 Si 기판이었다.The sample used in the examples below was a patterned spacer wafer as shown in Figure 1A , where the substrate was a Si substrate.

엘리소미터는 J.A.Woollam Co. M-2000이었다. 패턴화된 구조물을 이미지화하기 위한 SEM(주사 전자 현미경)은 JOEL JSM-7500 SEM이었다. 표면을 특징화하기 위한 XPS는 Kratos XPS - Supra Model이었다. 표면을 검사하기 위한 AFM(원자력 현미경)은 Park NX10 AFM이었다. 명시야(BF) TEM 모드 및 고 해상(HR) TEM 모드에서 200 kV에서 작동되는 FEI Tecnai Osiris FEG/TEM을 사용하여 패턴화된 구조물을 이미지화하기 위한 TEM(투과 전자 현미경)을 수행하였다. Bruker Quantax EDS 시스템 상에서 EDS(전자 확산 스펙트럼)를 획득하였다.The ellisometer is manufactured by J.A.Woollam Co. It was M-2000. The scanning electron microscope (SEM) for imaging the patterned structures was a JOEL JSM-7500 SEM. The XPS for characterizing the surface was Kratos XPS - Supra Model. The atomic force microscope (AFM) for examining the surface was Park NX10 AFM. Transmission electron microscopy (TEM) to image the patterned structures was performed using a FEI Tecnai Osiris FEG/TEM operating at 200 kV in bright field (BF) TEM mode and high resolution (HR) TEM mode. Electron diffusion spectra (EDS) were acquired on a Bruker Quantax EDS system.

실시예 1 CHExample 1 CH 33 F 순환 ALE 공정F cyclic ALE process

최적화된 ALE 조건 하에 CH3F 순환 ALE 공정을 수행하였다. 도 2를 참조하면, 에칭 가스는 CH3F였다. CH3F에 의한 침착 단계(단계 1)를 RF 전력 75 W, 압력 300 mTorr, Ar 가스 유량 100 sccm, CH3F 유량 5 sccm으로 수행하였고, 침착 단계에 대한 반응 시간은 4초였다. 제거 단계(단계 2)를 RF 전력 50 W, 압력 500 mTorr, Ar 가스 유량 100 sccm, CH3F 없음 및 반응 시간 30초로 수행하였다. 단계 1과 단계 2 사이 및 그 반대에서 펌프/N2 퍼지/펌프 공정에 대한 시간은 90 초였다. 도 3은 CH3F에 대한 ALE 사이클 대비 에칭된 두께를 나타낸다. ALE 사이클이 증가함에 따라, SiN 에칭된 두께는 점점 깊어지고, p-Si, SiO 및 SiON에 대한 SiN의 선택성은 점점 높아지며, SiCN에 대한 SiN의 선택성은 변하지 않고 남아 있을 수 있다. 다양한 ALE 사이클에 따른 CH3F를 사용하는 사이클당 SiN의 에칭된 두께가 표 1에 나열되어 있다.A CH 3 F cyclic ALE process was performed under optimized ALE conditions. Referring to Figure 2 , the etching gas was CH 3 F. The deposition step by CH 3 F (step 1) was performed with RF power of 75 W, pressure of 300 mTorr, Ar gas flow rate of 100 sccm, CH 3 F flow rate of 5 sccm, and the reaction time for the deposition step was 4 seconds. The removal step (step 2) was performed with RF power of 50 W, pressure of 500 mTorr, Ar gas flow rate of 100 sccm, no CH 3 F and reaction time of 30 seconds. The time for the Pump/N 2 purge/pump process between Stage 1 and Stage 2 and vice versa was 90 seconds. Figure 3 shows etched thickness versus ALE cycle for CH 3 F. As the ALE cycle increases, the SiN etched thickness becomes increasingly deeper, the selectivity of SiN toward p-Si, SiO, and SiON becomes increasingly higher, and the selectivity of SiN toward SiCN may remain unchanged. The etched thickness of SiN per cycle using CH 3 F for various ALE cycles is listed in Table 1 .

[표 1] [Table 1]

실시예 2 CExample 2 C 22 HH 55 F 순환 ALE 공정F cyclic ALE process

최적화된 ALE 조건 하에 C2H5F 순환 ALE 공정을 수행하였다. 도 2를 참고하면, 에칭 가스는 C2H5F였다. C2H5F에 의한 침착 단계(단계 1)를 RF 전력 75 W, 압력 300 mTorr, Ar 가스 유량 100 sccm, C2H5F 유량 5 sccm으로 수행하였고, 침착 단계에 대한 반응 시간은 4초였다. 제거 단계(단계 2)를 RF 전력 50 W, 압력 500 mTorr, Ar 가스 유량 100 sccm, C2H5F 없음 및 반응 시간 35초로 수행하였다. 단계 1과 단계 2 사이 및 그 반대에서 펌프/N2 퍼지/펌프 공정에 대한 시간은 90초였다. 도 4는 C2H5F에 대한 ALE 사이클 대비 에칭된 두께를 나타낸다. ALE 사이클이 증가함에 따라, SiN 에칭된 두께는 선형으로 증가하고, p-Si, SiO, SiON 및 SiCN에 대해 어떠한 에칭도 일어나지 않는다. C2H5F 순환 ALE 공정의 결과는 p-Si, SiO, SiON 및 SiCN에 대한 SiN의 선택성이 매우 높음, 거의 무한 선택성임을 보여준다.A C 2 H 5 F cyclic ALE process was performed under optimized ALE conditions. Referring to Figure 2 , the etching gas was C 2 H 5 F. The deposition step by C 2 H 5 F (step 1) was performed with RF power of 75 W, pressure of 300 mTorr, Ar gas flow rate of 100 sccm, C 2 H 5 F flow rate of 5 sccm, and the reaction time for the deposition step was 4 seconds. It was. The ablation step (step 2) was performed with RF power of 50 W, pressure of 500 mTorr, Ar gas flow rate of 100 sccm, no C 2 H 5 F and reaction time of 35 seconds. The time for the Pump/N 2 purge/pump process between Stage 1 and Stage 2 and vice versa was 90 seconds. Figure 4 shows etched thickness versus ALE cycle for C 2 H 5 F. As the ALE cycle increases, the SiN etched thickness increases linearly and no etching occurs for p-Si, SiO, SiON and SiCN. The results of the C 2 H 5 F cyclic ALE process show that the selectivity of SiN for p-Si, SiO, SiON and SiCN is very high, almost infinite selectivity.

CH3F를 사용하는 순환 ALE 공정과 비교하여, C2H5F 가스는 p-Si, SiO, SiON 및 SiCN에 대한 SiN의 에칭 선택성이 더욱 높고, 에칭 속도가 더욱 낮음을 보여주며, 따라서 사이클당 적은 양의 에칭을 얻었다. 다양한 ALE 사이클에 따른 C2H5F를 사용하는 사이클당 SiN의 에칭된 두께가 표 1에 나열되어 있다.Compared to the cyclic ALE process using CH 3 F, C 2 H 5 F gas shows higher etch selectivity of SiN over p-Si, SiO, SiON and SiCN and lower etch rate, thus A small amount of etching was obtained. The etched thickness of SiN per cycle using C 2 H 5 F for various ALE cycles is listed in Table 1 .

실시예 3 CExample 3 C 33 HH 77 F 순환 ALE 공정F cyclic ALE process

최적화된 ALE 조건 하에 C3H7F 순환 ALE 공정을 수행하였다. 도 2를 참고하면, 에칭 가스는 C3H7F였다. C3H7F에 의한 침착 단계(단계 1)를 RF 전력 75 W, 압력 300 mTorr, Ar 가스 유량 100 sccm, C3H7F 유량 5 sccm으로 수행하였고, 침착 단계에 대한 반응 시간은 4초였다. 제거 단계(단계 2)를 RF 전력 50 W, 압력 500 mTorr, Ar 가스 유량 100 sccm, C3H7F 없음 및 반응 시간 40초로 수행하였다. 단계 1과 단계 2 사이 및 그 반대에서 펌프/N2 퍼지/펌프 공정에 대한 시간은 150초였다. 도 5는 C3H7F에 대한 ALE 사이클 대비 에칭된 두께를 나타낸다. 에칭된 두께 양은 ALE 사이클 수에 따라, 2.0 내지 2.4 nm/사이클의 에칭 속도로 선형으로 증가하였다. 최적화된 조건 하에 다른 재료에 대한 SiN의 무한 에칭 선택성을 또한 얻었다. 다양한 ALE 사이클에 따른 C3H7F를 사용하는 사이클당 SiN의 에칭된 두께가 표 1에 나열되어 있다.A C 3 H 7 F cyclic ALE process was performed under optimized ALE conditions. Referring to Figure 2 , the etching gas was C 3 H 7 F. The deposition step by C 3 H 7 F (step 1) was performed with RF power of 75 W, pressure of 300 mTorr, Ar gas flow rate of 100 sccm, C 3 H 7 F flow rate of 5 sccm, and the reaction time for the deposition step was 4 seconds. It was. The removal step (step 2) was performed with RF power of 50 W, pressure of 500 mTorr, Ar gas flow rate of 100 sccm, no C 3 H 7 F and reaction time of 40 seconds. The time for the Pump/N 2 purge/pump process between Stage 1 and Stage 2 and vice versa was 150 seconds. Figure 5 shows etched thickness versus ALE cycle for C 3 H 7 F. The amount of etched thickness increased linearly with the number of ALE cycles, with an etch rate of 2.0 to 2.4 nm/cycle. Infinite etch selectivity of SiN over other materials was also obtained under optimized conditions. The etched thickness of SiN per cycle using C 3 H 7 F for various ALE cycles is listed in Table 1 .

실시예 4 CHExample 4 CH 33 F 및 CF and C 22 HH 55 F를 사용하는 SiN 스페이서 패턴화된 웨이퍼 순환 ALE의 SEMSEM of SiN spacer patterned wafer cyclic ALE using F

도 1a를 참고하면, 에칭 전 SiN 스페이서 패턴화된 웨이퍼의 치수는 다음과 같다: "a"는 34 nm이고; "b"는 34 nm이고; "c"는 34 nm이다. 기판(102)은 Si 기판이다. 에칭 후 관련된 주요 인자는 Si 기판에 대한 손상, 측벽 침착, 스페이서와 기판 사이의 모서리에서의 푸팅, SiN 층 및 기판 또는 에칭 프런트 상의 플루오라이드 잔여물, SiN 층 및 기판 또는 에칭 프런트의 표면 조도 등이다. 표 2는 50% 에칭, 100% 에칭, 100% 과다 에칭 및 200% 과다 에칭과 같은 다양한 순환 ALE 모드에 따라 CH3F 및 C2H5F를 사용하는 SiN 스페이서의 순환 ALE 후 에칭 프런트의 두께를 나열한다. C2H5F를 사용하는 ALE 100% 에칭 및 ALE 100% 과다 에칭이 최적화된 결과를 제공하였음에 주목하고, 이는 스페이서의 하부에 형성된 푸팅이 거의 없음을 입증한다.Referring to Figure 1A , the dimensions of the SiN spacer patterned wafer before etching are as follows: "a" is 34 nm; “b” is 34 nm; “c” is 34 nm. The substrate 102 is a Si substrate. The main factors involved after etching are damage to the Si substrate, sidewall deposition, footing at the edge between the spacer and the substrate, fluoride residue on the SiN layer and substrate or etch front, surface roughness of the SiN layer and substrate or etch front, etc. . Table 2 shows the thickness of the etch front after cyclic ALE of SiN spacer using CH 3 F and C 2 H 5 F according to different cyclic ALE modes: 50% etch, 100% etch, 100% over-etch and 200% over-etch. List. Note that ALE 100% etch and ALE 100% overetch using C 2 H 5 F gave optimized results, demonstrating that very little footing formed on the underside of the spacer.

[표 2][Table 2]

실시예 5 CExample 5C 22 HH 55 F를 사용하는 SiN 스페이서 페턴화된 웨이퍼 순환 ALE의 TEMTEM of SiN spacer patterned wafer cycling ALE using F

실시예 4에서 입증된 C2H5F를 사용하는 ALE 100% 에칭 및 100% 과다 에칭을 TEM으로 추가로 시험하였다.The ALE 100% etch and 100% overetch using C 2 H 5 F demonstrated in Example 4 were further tested by TEM.

도 1a를 참고하면, 에칭 전 SiN 스페이서 패턴화된 웨이퍼의 치수는 다음과 같다: "a"는 34 nm이고; "b"는 34 nm이고; "c"는 34 nm이다. 기판(102)은 Si 기판이다. FEI Strata 400 Dual Beam FIB/SEM 상에 동일계 집속 이온 빔(focused ion beam)(FIB) 리프트 아웃 기법을 사용하여 TEM-준비 샘플을 제조하였다. 샘플을 밀링 전에 보호 탄소 및 e-Pt/I-Pt로 캡핑하였다. TEM 라멜라 두께는 약 100 nm였다. 명시야(BF) TEM 모드 및 고 해상(HR) TEM 모드에서 200 kV에서 작동되는 FEI Tecnai Osiris FEG/TEM을 사용하여 샘플을 이미지화하였다. C2H5F를 사용하는 순환 ALE의 TEM 결과가 표 3에 나열되어 있다.Referring to Figure 1A , the dimensions of the SiN spacer patterned wafer before etching are as follows: "a" is 34 nm; “b” is 34 nm; “c” is 34 nm. The substrate 102 is a Si substrate. TEM-ready samples were prepared using an in-situ focused ion beam (FIB) lift-out technique on a FEI Strata 400 Dual Beam FIB/SEM. Samples were capped with protective carbon and e-Pt/I-Pt before milling. TEM lamella thickness was approximately 100 nm. Samples were imaged using a FEI Tecnai Osiris FEG/TEM operating at 200 kV in bright field (BF) TEM mode and high resolution (HR) TEM mode. TEM results of cyclic ALE using C 2 H 5 F are listed in Table 3.

ALE -100% 에칭에 의해, 과다 에칭이 전혀 발생하지 않았고, 필러의 상부 상에서 SiN이 완전히 에칭되지 않았고, 측벽 상의 SiN 층의 좌측(L) 및 우측(R) 두께("a2", 기판에 밀접한 게이트 스택의 전체 높이의 약 1/3)는 각각 32.6 및 32.3 nm이고, 좌측 및 우측 푸팅("d")은 6.6 nm 및 8.2 nm였다. 측벽 상의 SiN 층의 두께("a2")는 약 5% 감소하였다. 반면에, ALE-100% 과다 에칭에 의해, 필러의 상부 상에서 SiN이 완전히 에칭되었고, 측벽 상의 SiN 층의 좌측 및 우측 두께("a2")는 각각 30.4 및 31.1 nm이고, 좌측 및 우측 푸팅은 6.0 nm 및 3.9 nm였다. 측벽 상의 SiN 층의 두께("a2")는 약 9.5% 감소하였다. 따라서, 게이트 스택의 측벽 상에서 SiN 층의 두께의 10% 미만이 제거된다. 측벽 상에서 SiN 층의 두께(a2)의 감소는 구조물 또는 게이트 스택에 인접한 SiN 층의 내면을 만곡시키는 기판에 인접한 구조물 또는 게이트 스택의 만곡부 때문일 수 있다. 측벽 상에서 SiN 층의 두께(a2)의 감소는 또한 작은 편차 때문일 수 있다.With the ALE -100% etching, no over-etching occurred, the SiN on the top of the pillar was not completely etched, and the left (L) and right (R) thicknesses of the SiN layer on the sidewall ("a 2 ", The total height of the close gate stack (about one-third) was 32.6 and 32.3 nm, respectively, and the left and right footings (“d”) were 6.6 nm and 8.2 nm. The thickness of the SiN layer on the sidewall (“a 2 “) was reduced by about 5%. On the other hand, with ALE-100% overetching, the SiN on the top of the pillar was completely etched, the left and right thicknesses (“a 2 ”) of the SiN layer on the sidewall were 30.4 and 31.1 nm, respectively, and the left and right footings were were 6.0 nm and 3.9 nm. The thickness of the SiN layer on the sidewall (“a 2 “) decreased by about 9.5%. Accordingly, less than 10% of the thickness of the SiN layer on the sidewalls of the gate stack is removed. The decrease in the thickness (a 2 ) of the SiN layer on the sidewall may be due to a curvature of the structure or gate stack adjacent to the substrate that curves the inner surface of the SiN layer adjacent to the structure or gate stack. The decrease in the thickness (a 2 ) of the SiN layer on the sidewall can also be due to small deviations.

Si 함몰부는 에칭된 Si 기판의 두께의 양을 지칭한다. Si 함몰부는 SiN 측벽의 하부 엣지로부터 좌측 및 우측 방향을 향해 10 nm 떨어진 곳에서 측정되었다. ALE-100% 에칭에 의해, 과다 에칭이 전혀 발생하지 않았고, 좌측 및 우측 Si 함몰부는 각각 1.446 nm 및 1.285 nm였다. 반면에, ALE-100% 과다 에칭에 의해, 좌측 및 우측 Si 함몰부는 각각 4.096 nm 및 4.194 nm였다.Si depression refers to the amount of thickness of the Si substrate that has been etched. The Si depression was measured 10 nm away from the lower edge of the SiN sidewall toward the left and right. With ALE-100% etching, no over-etching occurred and the left and right Si depressions were 1.446 nm and 1.285 nm, respectively. On the other hand, with ALE-100% overetching, the left and right Si depressions were 4.096 nm and 4.194 nm, respectively.

C2H5F를 사용하는 100% 에칭 및 100% 과다 에칭을 적용한 ALE 후 SiN 스페이서의 표면 조도는 필러의 상부(T)의 표면 조도 및 트렌치의 하부(B)의 표면 조도를 포함한다. 표 3은 또한 표면 조도 결과를 포함한다. ALE 100% 에칭에 의해, SiN 층의 2 내지 3의 원자 층 수준(a.l.)이 여전히 필러의 상부 상에 남아 있고(양의 값), 이는 필러의 상부 상에서 SiN 층이 완전히 제거되지 않았음을 의미한다. 이러한 경우, SiN 층과 필러의 상부 사이의 계면은 평활하고 평탄하며, 이는 에칭이 없는 표면 조도와 동일하다. ALE-100% 에칭에 의해 에칭된 트렌치의 하부는 또한 트렌치의 하부 상에 SiN 층의 2 내지 3의 원자 층 수준이 남았음을 보여준다. ALE-100% 과다 에칭에 의해, 필러의 상부 및 트렌치의 하부는 모두 2 내지 3의 원자 층 수준으로 에칭되었다(음의 값).The surface roughness of the SiN spacer after ALE applying 100% etching and 100% overetching using C 2 H 5 F includes the surface roughness of the top of the filler (T) and the surface roughness of the bottom of the trench (B). Table 3 also includes surface roughness results. With ALE 100% etching, 2 to 3 atomic layer levels (al) of the SiN layer still remain on top of the filler (positive value), meaning that the SiN layer on top of the filler has not been completely removed. do. In this case, the interface between the SiN layer and the top of the filler is smooth and planar, which is equivalent to the surface roughness without etching. The bottom of the trench etched by the ALE-100% etch also shows that 2 to 3 atomic layers of SiN layer remain on the bottom of the trench. With ALE-100% overetching, both the top of the pillar and the bottom of the trench were etched to a level of 2 to 3 atomic layers (negative values).

[표 3][Table 3]

실시예 6 CExample 6 C 22 HH 55 F를 사용하는 SiN 스페이서 패턴화된 웨이퍼 순환 ALE의 EDSEDS of SiN spacer patterned wafer cyclic ALE using F

도 6a는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 ALE 후 SiN 스페이서의 EDS 도표화를 나타낸다(측벽의 수평 스캔). 100% 에칭에 의해, 과다 에칭이 전혀 발생하지 않았고, 측벽 상에 F 잔여물이 없다. 100% 과다 에칭에 의해, 어느 하나의 측벽 상에서도 F 잔여물이 없다. Figure 6a shows the EDS plot of a SiN spacer after ALE applying 100% etched sidewalls and 100% overetched sidewalls using C 2 H 5 F, respectively (horizontal scan of the sidewalls). With 100% etching, no over-etching occurred and there was no F residue on the sidewalls. With 100% overetching, there is no F residue on either sidewall.

도 6b는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 순환 ALE 후 SiN 스페이서의 원자를 사용한 EDS 라인 스캔을 나타낸다(스페이서의 하부의 수직 스캔). 100% 에칭에 의해, 측벽 상에 F 잔여물이 없다. 100% 과다 에칭에 의해, 어느 하나의 측벽 상에서도 F 잔여물이 없다. Figure 6b shows an EDS line scan using atoms of a SiN spacer after cyclic ALE applying 100% etched sidewalls and 100% overetched sidewalls using C 2 H 5 F, respectively (vertical scan of the bottom of the spacer). With 100% etching, there is no F residue on the sidewall. With 100% overetching, there is no F residue on either sidewall.

실시예 7 CExample 7 C 22 HH 55 F를 사용하는 순환 ALE 대 연속 에칭Cyclic ALE vs. Continuous Etch using F

표 4는 연속 에칭 및 순환 ALE의 비교이다. 결과는 연속 에칭 공정에 의해, Si 함몰부가 2.9 nm였고; 중합체 층은 측벽 상에 형성되었고; 푸팅이 좌측 모서리에서 16.2 nm이고 우측 모서리에서 15.3 nm이었음을 보여준다. 반면에, 순환 ALE 공정에 의해, 결과는 Si 함몰부가 4.1 내지 4.2 nm였고; 최소화된 중합체 층이 측벽 상에 형성되었고; 푸팅이 좌측에서 6.0 nm였고, 3.9 nm가 우측에 형성되었음을 보여준다. 연속 에칭과 비교하여, 순환 ALE 공정은 푸팅을 대략 75% 감소시킨다. 따라서, SiN 스페이서를 에칭하기 위해 C2H5F를 사용하는 연속 에칭 공정에 의한 것과 비교할 때, 순환 ALE 공정에 의해서, Si 함몰부 및 표면 조도는 모두 개선되고 있고, 푸팅이 거의 형성되지 않는다. 본원에서, 거의 없는 푸팅은 "d" ≤ 대략 6 nm에 의해 정의될 수 있다. Table 4 is a comparison of continuous etch and cyclic ALE. The result was that by the continuous etching process, the Si depression was 2.9 nm; A polymer layer was formed on the sidewall; It shows that the footing was 16.2 nm at the left edge and 15.3 nm at the right edge. On the other hand, by the cyclic ALE process, the result was a Si depression of 4.1 to 4.2 nm; A minimal polymer layer was formed on the sidewall; It shows that footing was 6.0 nm on the left and 3.9 nm was formed on the right. Compared to continuous etching, the cyclic ALE process reduces footing by approximately 75%. Therefore, compared to that with a continuous etching process using C 2 H 5 F to etch the SiN spacer, with the cyclic ALE process, both Si depressions and surface roughness are improved, and little footing is formed. Herein, little footing can be defined by “d” ≤ approximately 6 nm.

[표 4][Table 4]

도 7은 C2H5F를 사용하는 SiN 스페이서의 연속 에칭을 나타낸다: EDS 도표화(좌측 도면) 및 EDS 라인 스캔(우측 도면). 명백하게, 연속 에칭에 의해, F 잔여물이 측벽(약 22 내지 36 nm) 및 트렌치의 하부(약 36 내지 58 nm) 상에 존재하였다. 반면에, F 잔여물은 도 6a도 6b에서는 나타나지 않았다. Figure 7 shows sequential etching of a SiN spacer using C 2 H 5 F: EDS plotting (left diagram) and EDS line scan (right diagram). Apparently, with subsequent etching, F residues were present on the sidewalls (about 22-36 nm) and the bottom of the trench (about 36-58 nm). On the other hand, the F residue did not appear in Figures 6a and 6b .

표 5는 각각 순환 ALE 및 연속 에칭 후 트렌치의 하부 및 측벽 상에 남아 있는 플루오라이드 잔여물의 측정된 백분율을 나열한다. 순환 ALE 공정 모드는 트렌치의 하부 및 측벽 상에 플루오라이드를 거의 남기지 않았지만, 연속 에칭 방법은 트렌치의 하부 및 측벽 상에 플루오라이드 잔여물을 생성시켰다. Table 5 lists the measured percentage of fluoride residue remaining on the bottom and sidewalls of the trench after cyclic ALE and continuous etching, respectively. The cyclic ALE process mode left little fluoride on the bottom and sidewalls of the trench, while the continuous etch method created fluoride residue on the bottom and sidewalls of the trench.

따라서, C2H5F를 사용하는 순환 ALE 공정 모드는 플루오라이드 잔여물을 전혀 생성시키지 않고, 에칭 프런트 및 측벽의 표면 상에서 에칭액 잔여물을 감소시켰다. C2H5F를 사용하는 순환 ALE 공정 모드는 최소화된 SiN 푸팅을 생성하고, SiN 스페이서의 상부에 대한 손상이 거의 없다.Accordingly, the cyclic ALE process mode using C 2 H 5 F produced no fluoride residues and reduced etchant residues on the surfaces of the etch front and sidewalls. The cyclic ALE process mode using C 2 H 5 F produces minimal SiN footing and little damage to the top of the SiN spacer.

[표 5] [Table 5]

실시예 8 순환 ALE SiN 평면 웨이퍼에 대해 CExample 8 C for Cyclic ALE SiN Planar Wafers 22 HH 55 F를 사용하는 표면 조도Surface roughness using F

표면 조도 - C2H5F를 사용하는 순환 ALE 전 및 후에 AFM에 의해 평면 웨이퍼 상의 SiN의 얇은 필름의 RMS를 측정하였다. C2H5F를 사용하는 순환 ALE 전, RMS(실효값) = 2.9 nm이다. C2H5F를 사용하는 순환 ALE 후, RMS = 1.1 nm이다. 따라서, C2H5F를 사용하는 순환 ALE 후 더 작은 RMS가 획득되었고, 이는 C2H5F를 사용하는 ALE의 개선된 표면 평활화 효과를 보여준다.Surface roughness—RMS of thin films of SiN on planar wafers was measured by AFM before and after cyclic ALE using C 2 H 5 F. Before cyclic ALE using C 2 H 5 F, RMS (rms) = 2.9 nm. After cyclic ALE using C 2 H 5 F, RMS = 1.1 nm. Therefore, a smaller RMS was obtained after cyclic ALE using C 2 H 5 F, showing the improved surface smoothing effect of ALE using C 2 H 5 F.

요약하면, C2H5F, C3H7F와 같은 개시된 HFC를 사용하는 SiN 스페이서의 개시된 순환 ALE는 SiN 푸팅을 최소화할 수 있고(예를 들어, 연속 에칭과 비교하여 푸팅을 대략 75% 감소시킴), 필러의 상부, 트렌치의 하부 및 측벽 상에 F 잔여물을 전혀 생성하지 않고, 화학 오염이 전혀 없고, 순환 ALE 공정 후 표면 조도 열화가 전혀 없다. C2H5F, C3H7F와 같은 개시된 HFC를 사용하는 SiN 스페이서의 개시된 순환 ALE는 고 선택성으로 반도체 응용에서 Si-함유 기판 상에 형성된 SiN 스페이서를 에칭하기 위한 에칭 프로파일 제어를 개선시킨다.In summary, the disclosed cyclic ALE of SiN spacers using disclosed HFCs such as C 2 H 5 F, C 3 H 7 F can minimize SiN footing (e.g., reduce footing by approximately 75% compared to continuous etching). reduction), no F residues are generated on the top of the filler, bottom and sidewalls of the trench, no chemical contamination, and no surface roughness degradation after the cyclic ALE process. Disclosed cyclic ALE of SiN spacers using disclosed HFCs such as C 2 H 5 F, C 3 H 7 F improves etch profile control for etching SiN spacers formed on Si-containing substrates in semiconductor applications with high selectivity .

본 발명의 본질을 설명하기 위해 본원에서 설명되고 예시된 세부 사항, 재료, 단계, 및 부품 배열의 다수의 추가적인 변경이 첨부된 청구범위에 나타나 있는 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 구현예에 한정되는 것은 아니다.Numerous additional modifications of the details, materials, steps, and arrangements of parts described and illustrated herein for the purpose of explaining the essence of the invention may be made by those skilled in the art without departing from the spirit and scope of the invention as set forth in the appended claims. You will understand that it can be done. Accordingly, the present invention is not limited to the specific embodiments of the above-described embodiments and/or the accompanying drawings.

본 발명의 구현예가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이, 당업자에 의해 이들의 수정이 이루어질 수 있다. 본원에 기재된 구현예는 단지 예시적이며 비제한적이다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 이는 본 발명의 범위 내에 있다. 따라서, 보호 범위는 본원에 기재된 구현예에 한정되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제에 대한 모든 균등물을 포함한다.Although embodiments of the invention have been shown and described, modifications may be made by those skilled in the art without departing from the spirit or teachings of the invention. The embodiments described herein are illustrative only and not limiting. Many variations and modifications of the compositions and methods are possible and are within the scope of the present invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is limited only by the following claims, which scope includes all equivalents to the subject matter of the claims.

Claims (15)

순환 에칭 방법으로서,
i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 상기 SiN 층의 표면을 개질하는 상기 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, 상기 HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, 상기 HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계;
ii) 상기 SiN 층 상에 침착된 상기 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 상기 비활성 가스의 상기 플라즈마가 상기 SiN 층 상에 침착된 상기 중합체 층 및 에칭 프런트 상의 상기 SiN 층의 상기 개질된 표면을 제거하는 단계; 및
iii) 상기 에칭 프런트 상에 피복된 상기 SiN 층이 제거될 때까지 단계 i) 및 ii)를 반복하여, 이에 의해 상기 구조물의 측벽 상에 피복된 상기 SiN 층을 가진 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하고,
단계 i) 에서의 상기 HFC의 상기 플라즈마, 단계 ii) 에서의 상기 비활성 가스의 상기 플라즈마, 또는 그 둘 모두가 펄스 플라즈마인, 순환 에칭 방법.
As a cyclic etching method,
i) exposing the SiN layer covering the structure on the substrate in a reaction chamber to a plasma of hydrofluorocarbons (HFC) to form a polymer layer deposited on the SiN layer that modifies the surface of the SiN layer, wherein the HFC has the formula CxHyFz, where x is 2 to 5 and y > z, and the HFC is a saturated or unsaturated, linear or cyclic HFC;
ii) exposing the polymer layer deposited on the SiN layer to a plasma of an inert gas, such that the modified surface of the SiN layer on the polymer layer deposited on the SiN layer and the etch front removing; and
iii) Repeat steps i) and ii) until the SiN layer deposited on the etch front is removed, thereby forming a vertically upright SiN spacer with the SiN layer deposited on the sidewalls of the structure. Including the steps of:
The method of claim 1 , wherein the plasma of HFC in step i), the plasma of inert gas in step ii), or both are pulsed plasmas.
제1항에 있어서,
단계 i) 후에,
상기 반응 챔버를 진공으로 펌핑하는 단계;
상기 반응 챔버를 N2로 퍼지하는 단계;
상기 반응 챔버를 진공으로 펌핑하는 단계; 및
상기 비활성 가스를 상기 반응 챔버로 도입시켜 상기 비활성 가스의 상기 펄스 플라즈마를 생성하는 단계; 및
단계 ii) 후에,
상기 반응 챔버를 진공으로 펌핑하는 단계;
상기 반응 챔버를 N2로 퍼지하는 단계;
상기 반응 챔버를 진공으로 펌핑하는 단계; 및
상기 HFC를 상기 반응 챔버로 도입시켜 상기 HFC의 상기 펄스 플라즈마를 생성하는 단계를 추가로 포함하는, 순환 에칭 방법.
According to paragraph 1,
After step i),
pumping the reaction chamber to a vacuum;
purging the reaction chamber with N 2 ;
pumping the reaction chamber to a vacuum; and
introducing the inert gas into the reaction chamber to generate the pulsed plasma of the inert gas; and
After step ii),
pumping the reaction chamber to a vacuum;
purging the reaction chamber with N 2 ;
pumping the reaction chamber to a vacuum; and
Introducing the HFC into the reaction chamber to generate the pulsed plasma of the HFC.
제1항에 있어서,
단일 또는 다수의 RF 소스들은 각각, 단계 i) 에서 상기 HFC의 상기 펄스 플라즈마를 생성하도록 인가되고, 단계 ii) 에서 상기 비활성 가스의 상기 펄스 플라즈마를 생성하도록 인가되는, 순환 에칭 방법.
According to paragraph 1,
A method of cyclic etching, wherein single or multiple RF sources are applied to generate the pulsed plasma of the HFC in step i) and to generate the pulsed plasma of the inert gas in step ii), respectively.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 하이드로플루오로카본(HFC)은 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 또는 이들의 조합으로부터 선택된 산소-함유 가스와 함께 혼합되는, 순환 에칭 방법.
According to any one of claims 1 to 3,
The hydrofluorocarbon (HFC) is mixed with an oxygen-containing gas selected from O 2 , O 3 , CO, CO 2 , NO, NO 2 , N 2 O, SO 2 , COS, H 2 O, or combinations thereof. , cyclic etching method.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 비활성 가스는 N2, Ar, Kr 또는 Xe 인, 순환 에칭 방법.
According to any one of claims 1 to 3,
The cyclic etching method wherein the inert gas is N 2 , Ar, Kr or Xe.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 HFC는 C2H5F 또는 C3H7F인, 순환 에칭 방법.
According to any one of claims 1 to 3,
The cyclic etching method wherein the HFC is C 2 H 5 F or C 3 H 7 F.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 HFC는 상기 구조물 상에서 상기 SiN 층을 선택적으로 에칭하는, 순환 에칭 방법.
According to any one of claims 1 to 3,
wherein the HFC selectively etches the SiN layer on the structure.
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 수직으로 직립한 SiN 스페이서와 상기 기판 사이의 각각의 모서리에 푸팅이 형성되지 않는, 순환 에칭 방법.
According to any one of claims 1 to 3,
A cyclic etching method, wherein no footing is formed at each corner between the vertically upright SiN spacer and the substrate.
수직으로 직립한 SiN 스페이서를 형성하기 위한 순환 에칭 방법으로서,
i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC) 및 산소-함유 가스의 혼합물의 플라즈마에 노출시켜 상기 SiN 층의 표면을 개질하는 상기 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, 상기 HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, 상기 HFC는 포화 또는 불포화, 선형 또는 환형 HFC이고, 상기 산소-함유 가스는 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 또는 이들의 조합으로부터 선택된 단계;
ii) 상기 SiN 층 상에 침착된 상기 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 상기 비활성 가스의 상기 플라즈마가 상기 SiN 층 상에 침착된 상기 중합체 층 및 에칭 프런트 상의 상기 SiN 층의 상기 개질된 표면을 제거하는 단계; 및
iii) 상기 에칭 프런트 상에 피복된 상기 SiN 층이 제거될 때까지 단계 i) 및 ii)를 반복하여, 이에 의해 상기 구조물의 측벽 상에 피복된 상기 SiN 층을 가진 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하고,
단계 i) 에서의 상기 혼합물의 상기 플라즈마, 단계 ii) 에서의 상기 비활성 가스의 상기 플라즈마, 또는 그 둘 모두가 펄스 플라즈마인, 순환 에칭 방법.
A cyclic etching method for forming vertically upright SiN spacers, comprising:
i) polymer deposited on the SiN layer covering the structure on the substrate by exposing the SiN layer to a plasma of a mixture of hydrofluorocarbons (HFC) and oxygen-containing gases in a reaction chamber to modify the surface of the SiN layer forming a layer, wherein the HFC has the formula CxHyFz, where A step selected from O 2 , O 3 , CO, CO 2 , NO, NO 2 , N 2 O, SO 2 , COS, H 2 O or combinations thereof;
ii) exposing the polymer layer deposited on the SiN layer to a plasma of an inert gas, such that the modified surface of the SiN layer on the polymer layer deposited on the SiN layer and the etch front removing; and
iii) Repeat steps i) and ii) until the SiN layer deposited on the etch front is removed, thereby forming a vertically upright SiN spacer with the SiN layer deposited on the sidewalls of the structure. Including the steps of:
A cyclic etching method, wherein the plasma of the mixture in step i), the plasma of the inert gas in step ii), or both are pulsed plasmas.
제9항에 있어서,
단일 또는 다수의 RF 소스들은 각각, 단계 i) 에서 상기 혼합물의 상기 펄스 플라즈마를 생성하도록 인가되고, 단계 ii) 에서 상기 비활성 가스의 상기 펄스 플라즈마를 생성하도록 인가되는, 순환 에칭 방법.
According to clause 9,
A method of cyclic etching, wherein single or multiple RF sources are applied to generate the pulsed plasma of the mixture in step i) and to generate the pulsed plasma of the inert gas in step ii), respectively.
제9항 또는 제10항에 있어서,
상기 HFC는 C2H5F 또는 C3H7F이고, 상기 구조물 상에서 상기 SiN 층을 선택적으로 에칭하는, 순환 에칭 방법.
According to claim 9 or 10,
wherein the HFC is C 2 H 5 F or C 3 H 7 F and selectively etches the SiN layer on the structure.
제9항 또는 제10항에 있어서,
상기 수직으로 직립한 SiN 스페이서와 상기 기판 사이의 각각의 모서리에 푸팅이 형성되지 않는, 순환 에칭 방법.
According to claim 9 or 10,
A cyclic etching method, wherein no footing is formed at each corner between the vertically upright SiN spacer and the substrate.
수직으로 직립한 SiN 게이트 스페이서를 형성하기 위한 순환 에칭 방법으로서,
i) 반응 챔버에서 기판 상에 게이트 스택을 피복하는 SiN 층을 C2H5F 또는 C3H7F을 포함하는 군으로부터 선택된 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 상기 SiN 층의 표면을 개질하는 상기 SiN 층 상에 침착된 중합체 층을 형성하는 단계;
ii) 상기 SiN 층 상에 침착된 상기 중합체 층을 Ar 플라즈마에 노출시켜, 상기 Ar 플라즈마가 상기 SiN 층 상에 침착된 상기 중합체 층 및 에칭 프런트 상의 상기 SiN 층의 상기 개질된 표면을 제거하는 단계; 및
iii) 상기 에칭 프런트 상에 피복된 상기 SiN 층이 제거될 때까지 단계 i) 및 ii)를 반복하여, 이에 의해 상기 게이트 스택의 측벽 상에 피복된 상기 SiN 층을 가진 수직으로 직립한 SiN 게이트 스페이서를 형성하는 단계를 포함하고,
단계 i) 에서의 상기 HFC의 상기 플라즈마, 단계 ii) 에서의 상기 Ar 플라즈마, 또는 그 둘 모두가 펄스 플라즈마인, 순환 에칭 방법.
A cyclic etching method for forming vertically upright SiN gate spacers, comprising:
i) exposing the SiN layer covering the gate stack on the substrate in a reaction chamber to a plasma of a hydrofluorocarbon (HFC) selected from the group comprising C 2 H 5 F or C 3 H 7 F to form a surface of the SiN layer forming a polymer layer deposited on the SiN layer that modifies;
ii) exposing the polymer layer deposited on the SiN layer to an Ar plasma, wherein the Ar plasma removes the polymer layer deposited on the SiN layer and the modified surface of the SiN layer on the etch front; and
iii) Repeat steps i) and ii) until the SiN layer deposited on the etch front is removed, thereby forming a vertically upright SiN gate spacer with the SiN layer deposited on the sidewalls of the gate stack. Including the step of forming,
Wherein the plasma of HFC in step i), the Ar plasma in step ii), or both are pulsed plasmas.
제13항에 있어서,
단일 또는 다수의 RF 소스들은 각각, 단계 i) 에서 상기 HFC의 상기 펄스 플라즈마를 생성하도록 인가되고, 단계 ii) 에서 상기 Ar 펄스 플라즈마를 생성하도록 인가되는, 순환 에칭 방법.
According to clause 13,
A method of cyclic etching, wherein single or multiple RF sources are applied to generate the pulsed plasma of the HFC in step i) and to generate the Ar pulsed plasma in step ii), respectively.
제13항 또는 제14항에 있어서,
상기 수직으로 직립한 SiN 게이트 스페이서와 상기 기판 사이의 각각의 모서리에 푸팅이 형성되지 않는, 순환 에칭 방법.
According to claim 13 or 14,
A cyclic etching method, wherein no footing is formed at each corner between the vertically upright SiN gate spacer and the substrate.
KR1020247016023A 2019-02-01 2020-01-31 Method to improve profile control during selectively etching of silicon nitride spacers KR20240074901A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/265,782 2019-02-01

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020237030653A Division KR20230135156A (en) 2019-02-01 2020-01-31 Method to improve profile control during selectively etching of silicon nitride spacers

Publications (1)

Publication Number Publication Date
KR20240074901A true KR20240074901A (en) 2024-05-28

Family

ID=

Similar Documents

Publication Publication Date Title
JP7470834B2 (en) Iodine-containing compounds for etching semiconductor structures
KR102580483B1 (en) Method for improving profile control during selective etching of silicon nitride spacers
JP6527214B2 (en) Method of depositing etch resistant polymer layer and method of manufacturing patterned etch structure
KR102459749B1 (en) Selective Etching of SiN to SIO2 Using Non-Plasma Dry Process for 3D NAND Device Applications
CN115394641A (en) Nitrogen-containing compounds for etching semiconductor structures
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
JP2024500969A (en) Highly conductive passivation layer and method of forming it during high aspect ratio plasma etching
KR20240074901A (en) Method to improve profile control during selectively etching of silicon nitride spacers
KR20240074902A (en) Method to improve profile control during selectively etching of silicon nitride spacers
TWI838915B (en) Etching methods using silicon-containing hydrofluorocarbons
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
US20240162042A1 (en) Etching methods with alternating non-plasma and plasma etching processes
KR20240074888A (en) Etching Method Using Silicon-Containing Hydrofluorocarbon
WO2023069410A1 (en) Etching methods using silicon-containing hydrofluorocarbons