KR20240059632A - 매칭 퓨필 결정 - Google Patents

매칭 퓨필 결정 Download PDF

Info

Publication number
KR20240059632A
KR20240059632A KR1020247013300A KR20247013300A KR20240059632A KR 20240059632 A KR20240059632 A KR 20240059632A KR 1020247013300 A KR1020247013300 A KR 1020247013300A KR 20247013300 A KR20247013300 A KR 20247013300A KR 20240059632 A KR20240059632 A KR 20240059632A
Authority
KR
South Korea
Prior art keywords
pupil
performance
mirrors
radiation
substrate
Prior art date
Application number
KR1020247013300A
Other languages
English (en)
Inventor
안톤 버나드 반 오스텐
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240059632A publication Critical patent/KR20240059632A/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0933Systems for active beam shaping by rapid movement of an element
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

장치 성능 변화를 감소시키기 위한 방법이 본 명세서에서 설명된다. 본 방법은 (i) 기준 장치 (예를 들어, 기준 스캐너)의 기준 성능 (예를 들어, CD), (ⅱ) 기준 성능을 재현하도록 선택된 (예를 들어, 스캐너와 일치될) 장치의 복수의 퓨필 패싯 미러의 복수의 자유도로부터 선택된 초기 선행 자유도(initial leading degrees of freedom) 세트, 및 (ⅲ) 초기 선행 자유도 세트를 기반으로 장치의 성능을 나타내는 패터닝 공정의 하나 이상의 매개변수 (예를 들어, CD, 오버레이, 초점 등)와 관련된 노광 데이터를 획득하는 것; 및 매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 초기 선행 자유도 세트 및 노광 데이터를 기반으로 장치의 매칭 퓨필을 결정하는 것을 포함한다.

Description

매칭 퓨필 결정{MATCHING PUPIL DETERMINATION}
관련 출원에 대한 상호 참조
본 출원은 2018년 8월 24일에 출원된 EP 출원 18190862.5의 우선권을 주장하며, 이는 본 명세서에서 그 전체 내용이 인용 참조된다.
본 발명은 디바이스 제조 공정의 성능을 개선하는 기술에 관한 것이다. 본 기술은 리소그래피 장치 또는 계측 장치와 관련하여 사용될 수 있다.
리소그래피 장치는 원하는 패턴을 기판의 타겟 부분 상으로 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 상황에서는, 대안적으로 마스크 또는 레티클로서 지칭되는 패터닝 디바이스가 사용되어 IC의 개별 층에 대응하는 패턴을 생성할 수 있으며, 이 패턴은 방사선 감응 물질(레지스트)의 층을 갖는 기판 (예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 하나의 또는 수 개의 다이의 일부를 포함하는) 타겟 부분 상으로 이미지화될 수 있다. 일반적으로, 단일 기판은 연속적으로 전사되는 복수의 인접한 타겟 부분들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는 전체 패턴을 타겟 부분 상으로 한 번에 노광시킴으로써 각 타겟 부분이 조사되는 소위 스테퍼 및 패턴을 빔을 통해 주어진 방향 ("스캐닝" 방향)으로 스캐닝함과 동시에 기판을 이 방향과 평행한 또는 반(anti-)평행하게 스캔함으로써 각 타겟 부분이 조사되는 소위 스캐너를 포함한다.
회로 패턴을 패터닝 디바이스에서 기판으로 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 그리고 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광 후 베이크(PEB), 현상, 하드 베이크 및 전사된 패턴의 측정/검사와 같은 다른 절차를 거칠 수 있다. 이 다수의 절차는 디바이스, 예를 들어 IC의 개별 층을 만들기 위한 기초로 사용된다. 기판은 그후 에칭, 이온 주입 (도핑), 금속화, 산화, 화학-기계적 연마 등과 같은 다양한 공정을 거칠 수 있으며, 이 모두는 디바이스의 개별 층을 마무리하기 위한 것이다. 디바이스에 여러 층이 요구되는 경우, 그러면 전체 절차 또는 그의 변형이 각 층에 대해 반복된다. 결국, 디바이스는 기판 상의 각 타겟 부분에 존재할 것이다. 이 디바이스들은 그후 다이싱(dicing) 또는 쏘잉(sawing)과 같은 기술에 의하여 서로 분리되며, 여기서 개별 디바이스들은 핀(pin) 등에 연결되어 있는 캐리어 상에 장착될 수 있다.
따라서, 반도체 디바이스와 같은 제조 디바이스는 전형적으로 다수의 제조 공정을 사용하여 기판 (예를 들어, 반도체 웨이퍼)을 처리하는 것을 포함하여 디바이스의 다양한 피처 및 다수의 층을 형성한다. 이러한 층 및 피처는 전형적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마 및 이온 주입을 이용하여 제조되고 처리된다. 다수의 디바이스가 기판 상의 복수의 다이 상에서 제조될 수 있으며, 이후 개별 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 리소그래피 장치에서 패터닝 디바이스를 사용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패터닝 단계를 포함하여 패터닝 디바이스 상의 패턴을 기판으로 전사하며, 그리고 전형적으로 그러나 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용한 패턴의 에칭 등과 같은 하나 이상의 관련된 패턴 처리 단계를 포함한다.
실시예에 따르면, 장치 성능 변화를 감소시키기 위한 방법이 제공된다. 본 방법은 (i) 기준 장치의 기준 성능, (ⅱ) 기준 성능을 재현하도록 선택된 장치의 복수의 퓨필 패싯 미러의 복수의 자유도로부터 선택된 초기 선행 자유도(initial leading degrees of freedom) 세트, 및 (ⅲ) 초기 선행 자유도 세트를 기반으로 장치의 성능을 나타내는 패터닝 공정의 하나 이상의 매개변수와 관련된 노광 데이터를 획득하는 것; 및 매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 초기 선행 자유도 세트 및 노광 데이터를 기반으로, 컴퓨터 시스템에 의하여 장치의 매칭 퓨필을 결정하는 것을 포함한다.
실시예에서, 매칭 퓨필은 초기 선행 자유도(initial leading degrees of freedom) 세트에 대응하는 복수의 퓨필 패싯 미러의 서브세트 및/또는 전체 세트이다.
실시예에서, 매칭 퓨필의 결정은 반복적 공정이며, 반복은 기준 성능과 기준 성능 간의 차이를 기반으로 복수의 퓨필 패싯 미러의 선행 자유도 세트의 하나 이상의 자유도를 변경하는 것; 변경된 퓨필 패싯 미러에 대응하는 노광 데이터로부터 현재 성능을 획득하는 것; 및 현재 성능과 기준 성능 사이의 현재의 차이를 결정하는 것을 포함한다.
실시예에서, 하나 이상의 자유도를 변화시키는 것은 선행 자유도 세트에 대응하는 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함한다.
실시예에서, 초기 선행 자유도 세트는 장치의 복수의 퓨필 패싯 미러의 자유도들의 각 자유도의 민감도를 기반으로 선택되어 기준 성능을 재현한다.
실시예에서, 초기 선행 자유도 세트는 기준 성능과 일치하는 장치의 복수의 퓨필 패싯 미러의 복수의 자유도의 서브세트 및/또는 전체 세트이다.
실시예에서, 노광 데이터는 초기 선행 자유도 세트의 선형 조합에 대해 획득된다.
실시예에서, 기준 성능은 장치와 다른 기준 장치의 성능이다.
실시예에서, 기준 성능은 패터닝 공정의 특정 시간에서 결정된 장치의 성능이다.
실시예에서, 장치의 성능 및 기준 장치의 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 패터닝 공정의 매개변수와 관련이 있다.
실시예에서, 매칭 퓨필은 장치의 성능과 기준 성능 간의 차이를 최소화한다.
실시예에서, 본 방법은 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조정하는 것을 더 포함한다.
실시예에서, 패터닝 공정의 장치는 리소그래피 장치이다.
더욱이, 실시예에 따르면, 장치 성능 변화를 감소시키기 위한 방법이 제공된다. 본 방법은 (i) 기준 장치의 기준 성능 및 (ⅱ) 기판 레벨에서의 장치의 복수의 퓨필 패싯 미러의 미러에 대한 퓨필 측정을 획득하는 것; 퓨필 측정을 기반으로 한 이미징 데이터 및 이미징 데이터를 기반으로 한 성능을 컴퓨터 시스템에 의하여 결정하는 것; 및 매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 컴퓨터 시스템에 의하여 장치의 매칭 퓨필을 결정하는 것을 포함한다.
실시예에서, 매칭 퓨필의 결정은 반복적인 공정이며, 반복은 복수의 퓨필 패싯 미러의 하나 이상의 자유도를 변화시키는 것; 변화된 퓨필 패싯 미러를 기반으로 현재 에어리얼 이미지와 현재 성능을 모델링/시뮬레이션을 통하여 연산하는 것; 및 현재 성능과 기준 성능 사이의 현재의 차이를 결정하는 것을 포함한다.
실시예에서, 하나 이상의 자유도를 변화시키는 것은 복수의 퓨필 패싯 미러의 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함한다.
실시예에서, 퓨필 측정은 복수의 퓨필 패싯 미러의 하나 이상의 미러의 세기 및/또는 배향을 포함한다.
실시예에서, 기준 성능은 기준 장치를 위한 기준 이미징 데이터로부터 측정되며, 기준 장치는 장치와 다르다.
실시예에서, 기준 성능은 패터닝 공정의 특정 시간에서 결정된, 장치를 위한 기준 이미징 데이터로부터 측정된다.
실시예에서, 기준 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성된다.
실시예에서, 에어리얼 이미지는 기판 레벨에서의 원시야 위치에 대하여 모델링 및/또는 시뮬레이션에 의해 생성된다.
실시예에서, 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성되며, 에어리얼 이미지는 복수의 퓨필 패싯 미러의 각 미러의 세기 측정을 기반으로 연산된다.
실시예에서, 성능 및 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 패터닝 공정의 매개변수와 관련이 있다.
실시예에서, 매칭 퓨필은 장치의 성능과 기준 성능 간의 차이를 최소화한다.
실시예에서, 본 방법은 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조정하는 것을 더 포함한다.
실시예에서, 패터닝 공정의 장치는 리소그래피 장치이다.
본 명세서에는 컴퓨터에 의하여 실행될 때 본 명세서 내의 방법을 구현하는 기록된 명령어를 갖는 컴퓨터 판독 가능한 매체를 포함하는 컴퓨터 프로그램 제품이 개시되어 있다.
예시적인 구현의 앞에서 말한 일반적인 설명 및 다음의 그의 상세한 설명은 본 발명의 교시의 단지 예시적인 측면이며, 제한적인 것은 아니다.
도 1은 실시예에 따른 리소그래피 장치를 개략적으로 도시하고 있다.
도 2는 리소그래피 셀 또는 클러스터의 실시예를 개략적으로 도시하고 있다.
도 3은 예시적인 검사 장치 및 계측 기술을 개략적으로 도시하고 있다.
도 4는 예시적인 검사 장치를 개략적으로 도시하고 있다.
도 5는 검사 장치의 조명 스폿과 계측 타겟 사이의 관계를 도시하고 있다.
도 6은 측정 데이터를 기반으로 다수의 관심 대상 변수를 도출하는 공정을 개략적으로 도시하고 있다.
도 7은 처리 변수의 예시적인 카테고리를 보여주고 있다.
도 8은 실시예에 따른 방법에 대한 흐름을 개략적으로 보여주고 있다.
도 9는 실시예에 따른 방법에 대한 흐름을 개략적으로 도시하고 있다.
도 10은 실시예에 따라 매칭 퓨필을 결정하기 위한 흐름도이다.
도 11은 실시예에 따른 매칭 퓨필을 결정하는 또 다른 방법에 대한 흐름도이다.
도 12a는 실시예에 따른 예시적인 기준 퓨필을 도시하고 있다.
도 12b는 실시예에 따른 예시적인 매칭 퓨필을 도시하고 있다.
도 13은 예시적인 컴퓨터 시스템의 블록도이다.
실시예를 상세히 설명하기 전에, 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)의 실시예를 개략적으로 도시하고 있다. 본 장치는:
- 방사선 빔(B) (예를 들어, UV 방사선, EUV 또는 DUV 방사선)을 조절하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되며, 소정 매개변수에 따라 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 지지 구조체 (예를 들어, 마스크 테이블)(MT);
- 기판 (예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되며, 소정 매개변수에 따라 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 테이블 (예를 들어, 웨이퍼 테이블)(WT) (예를 들어, WTa, WTb 또는 둘 모두); 및
- 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하며, 흔히 필드(field)로 지칭되는) 타겟 부분(C) 상으로 투영하도록 구성되며 기준 프레임(RF) 상에 지지되는 투영 시스템 (예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함하고 있다.
여기에 도시된 바와 같이, 본 장치는 (예를 들어, 투과형 마스크를 이용하는) 투과 유형이다. 대안적으로, 본 장치는 (예를 들어, 위에서 언급된 바와 같은 유형의 프로그래밍 가능한 미러 어레이를 이용하거나 반사 마스크를 이용하는) 반사 유형일 수 있다.
일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 소스와 리소그래피 장치는, 예를 들어 소스가 엑시머 레이저일 때 별개의 개체들일 수 있다. 이러한 경우에, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 미러 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템(BD)의 도움으로 방사선 소스(SO)로부터 일루미네이터(IL)로 나아간다. 다른 경우에서, 소스는, 예를 들어 소스가 수은 램프일 때, 장치의 일체로 된 부분일 수 있다. 소스(SO)와 일루미네이터(IL)는, 필요하다면 빔 전달 시스템(BD)과 함께, 방사선 시스템으로 지칭될 수 있다.
일루미네이터(IL)는 빔의 세기 분포를 변경할 수 있다. 일루미네이터는 세기 분포가 일루미네이터(IL)의 퓨필 평면에서 환형 영역 내에서 0이 아니도록 방사선 빔의 반경 방향 크기를 제한하기 위해 배치될 수 있다. 부가적으로 또는 대안적으로, 일루미네이터(IL)는 세기 분포가 퓨필 평면에서의 복수의 균등하게 이격된 섹터(sectors)에서 0이 아니도록 퓨필 평면에서 빔의 분포를 제한하기 위해 작동 가능할 수 있다. 일루미네이터(IL)의 퓨필 평면에서의 방사선 빔의 세기 분포는 조명 모드로 지칭될 수 있다.
따라서, 일루미네이터(IL)는 빔의 (각도/공간) 세기 분포를 조정하도록 구성된 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외부 및/또는 내부 반경 방향 크기 (통상적으로, 각각 σ-외부 및 σ-내부로 지칭됨)가 조정될 수 있다. 일루미네이터(IL)는 빔의 각도 분포를 변화시키도록 작동 가능할 수 있다. 예를 들어, 일루미네이터는 세기 분포가 0이 아닌 퓨필 평면 내의 섹터의 개수 및 각도 범위를 변경하도록 작동 가능할 수 있다. 일루미네이터의 퓨필 평면에서의 빔의 세기 분포를 조정함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 일루미네이터(IL)의 퓨필 평면 내의 세기 분포의 반경 방향 및 각도 크기를 제한함으로써, 세기 분포는, 예를 들어 이중극자(dipole), 사중극자(quadrupole) 또는 육중극자(hexapole) 분포와 같은 다극(multi-pole) 분포를 가질 수 있다. 예를 들어, 조명 모드를 제공하는 광학계를 일루미네이터(IL)로 삽입함으로써, 또는 공간 광 변조기를 사용함으로써 원하는 조명 모드가 얻어질 수 있다.
일루미네이터(IL)는 빔의 편광을 변경하도록 동작 가능할 수 있으며, 조정기(AM)를 사용하여 편광을 조정하도록 동작 가능할 수 있다. 일루미네이터(IL)의 퓨필 평면에 걸친 방사선 빔의 편광 상태는 편광 모드로 지칭될 수 있다. 상이한 편광 모드의 사용은 기판(W) 상에 형성된 이미지에서 더 큰 콘트라스트(contrast)가 달성되는 것을 허용할 수 있다. 방사선 빔은 편광되지 않을 수 있다. 대안적으로, 일루미네이터는 방사선 빔을 선형적으로 편광시키도록 배치될 수 있다. 방사선 빔의 편광 방향은 일루미네이터(IL)의 퓨필 평면에 걸쳐 변할 수 있다. 방사선의 편광 방향은 일루미네이터(IL)의 퓨필 평면에서의 상이한 영역에서 상이할 수 있다. 방사선의 편광 상태는 조명 모드에 따라 선택될 수 있다. 다극 조명 모드에 대하여, 방사선 빔의 각 극(pole)의 편광은 일반적으로 일루미네이터(IL)의 퓨필 평면에서 그 극의 위치 벡터에 수직일 수 있다. 예를 들어, 이중극자 조명 모드에 대하여, 방사선은 이중극자의 2개의 대향 섹터를 양분하는 라인에 실질적으로 직교하는 방향으로 선형적으로 편광될 수 있다. 방사선 빔은 2개의 상이한 직교 방향 중 하나의 방향으로 편광될 수 있으며, 이들은 X-편광 및 Y-편광 상태로 지칭될 수 있다. 사중극자 조명 모드에 대하여, 각 극의 섹터에서의 방사선은 그 섹터를 양분하는 라인에 실질적으로 수직인 방향으로 선형적으로 편광될 수 있다. 이 편광 모드는 XY 편광으로서 지칭될 수 있다. 유사하게, 육중극자 조명 모드에 대하여, 각 극의 섹터에서의 방사선은 그 섹터를 양분하는 라인에 실질적으로 수직인 방향으로 선형적으로 편광될 수 있다. 이 편광 모드는 TE 편광으로 지칭될 수 있다.
또한, 일루미네이터(IL)는 일반적으로 적분기(IN)와 콘덴서(CO)와 같은 다양한 다른 구성 요소를 포함하고 있다. 조명 시스템은 방사선을 지향, 성형 또는 제어하기 위한, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 유형의 광학 구성 요소, 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다.
따라서, 일루미네이터는 그의 횡단면에서 원하는 균일성 및 세기 분포를 갖는 조정된 방사선의 빔(B)을 제공한다.
지지 구조체(MT)는 패터닝 디바이스의 배향, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기 또는 다른 클램핑 기술을 사용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정될 수 있거나 이동 가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는 패터닝 디바이스가, 예를 들어 투영 시스템에 대해 원하는 위치에 있는 것을 보장할 수 있다. 본 명세서에서의 용어 "레티클" 또는 "마스크"의 임의의 사용은 보다 일반적인 용어 "패터닝 디바이스"와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 용어 "패터닝 디바이스"는 기판의 타겟 부분에 패턴을 부여하기 위해 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 넓게 해석되어야 한다. 실시예에서, 패터닝 디바이스는 기판의 타겟 부분에 패턴을 생성하기 위하여 방사선 빔의 횡단면에 패턴을 부여하는데 사용될 수 있는 임의의 디바이스이다. 예를 들어, 패턴이 위상-시프팅 피처(phase-shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 방사선 빔에 부여된 패턴은 기판의 타겟 부분 내의 원하는 패턴에 정확하게 대응하지 않을 수 있다는 점이 주목되어야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같은, 타겟 부분에서 생성되는 디바이스의 특정 기능 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그래밍 가능한 미러 어레이, 및 프로그래밍 가능한 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary), 교번 위상-시프트 그리고 감쇠 위상-시프트와 같은 마스크 유형, 및 다양한 하이브리드(hybrid) 마스크 유형을 포함하고 있다. 프로그램 가능한 미러 어레이의 예는 소형 미러들의 매트릭스 배열체를 이용하며, 소형 미러들의 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 미러는 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 용어 "투영 시스템"은, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자에 대하여 적절하게, 굴절, 반사, 반사 굴절(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 그들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 용어 "투영 렌즈"의 임의의 사용은 더 일반적인 용어 "투영 시스템"과 동의어로 간주될 수 있다.
투영 시스템(PS)은 불균일할 수 있는 광학 전달 기능을 가지며, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 방사선에 대하여, 이러한 효과는 2개의 스칼라 맵(scalar maps)에 의해 상당히 잘 설명될 수 있으며, 이 스칼라 맵은 투영 시스템(PS)을 빠져나가는 방사선의 투과(아포디제이션(apodization)) 및 상대 위상(수차)을 그의 퓨필 평면에서의 위치의 함수로서 설명한다. 투과 맵 및 상대 위상 맵으로 지칭될 수 있는 이 스칼라 맵은 기저 함수의 완전한 세트의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 제르니케(Zernike) 다항식이며, 이는 단위 원에 규정된 직교 다항식 세트를 형성한다. 각 스칼라 맵의 결정은 이러한 전개식(expansion)에서 계수를 결정하는 것을 포함할 수 있다. 제르니케 다항식들이 단위 원 상에서 직교하기 때문에 제르니케 계수는 측정된 스칼라 맵의 내적(inner product)을 각 제르니케 다항식으로 결과적으로 계산함으로써 그리고 이를 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로, 각 투영 시스템(PS)은 각 필드 포인트에 대해 (즉, 그의 이미지 평면에서의 각 공간 위치에 대해) 상이한 제르니케 전개식을 가질 것이다. 퓨필 평면에서의 투영 시스템(PS)의 상대 위상은, 예를 들어 투영 시스템(PS)의 대상물 평면(object plane) (즉, 패터닝 디바이스(MA)의 평면)에서의 포인트형 소스(point-like source)로부터의 방사선을 투영 시스템(PS)을 통해 방사선을 투영함으로써 그리고 파면 (즉, 동일한 위상을 갖는 포인트들의 장소(locus))을 측정하기 위하여 전단 간섭계(shearing interferometer)를 이용함으로써 결정될 수 있다. 전단 간섭계는 공통 경로 간섭계(common path interferometer)이며, 따라서 유리하게는 파면을 측정하기 위해 이차 기준 빔이 요구되지 않는다. 전단 간섭계는 투영 시스템 (즉, 기판 테이블(WT))의 이미지 평면 내의 회절 격자, 예를 들어 2개의 2차원 그리드 및 투영 시스템(PS)의 퓨필 평면에 공액(conjugate)인 평면 내의 간섭 패턴을 검출하도록 배치된 검출기를 포함할 수 있다. 간섭 패턴은 전단 방향으로의 퓨필 평면의 좌표에 대한 방사선의 위상의 도함수(derivative)와 관련이 있다. 검출기는, 예를 들어 전하 결합 소자(CCD)와 같은 감지 요소의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 프린지(fringe)를 생성하지 않을 수 있으며, 따라서 파면의 결정의 정확성은, 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술을 사용하여 향상될 수 있다. 스테핑은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향으로 수행될 수 있다. 스테핑 범위는 하나의 격자 주기일 수 있으며, 적어도 3개의 (균일하게 분포된) 위상 스텝(phase steps)이 사용될 수 있다. 따라서, 예를 들어, 3개의 스캐닝 측정이 y-방향으로 수행될 수 있으며, 각 스캐닝 측정은 x-방향으로 상이한 위치에 대해 수행될 수 있다. 회절 격자의 이 스테핑은 위상 변화를 세기 변화로 효과적으로 변환시켜 위상 정보가 결정되는 되는 것을 허용한다. 격자는 회절 격자에 수직인 방향(z 방향)으로 스테핑되어 검출기를 교정할 수 있다.
회절 격자는 2개의 수직 방향으로 순차적으로 스캐닝될 수 있으며, 이 방향들은 투영 시스템(PS)의 좌표계의 축(x 및 y)들과 일치할 수 있거나 이 축들에 대해 45도와 같은 각도로 있을 수 있다. 스캐닝은 정수 개의 격자 주기, 예를 들어 하나의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향에서의 위상 변화를 평균하여, 다른 방향으로의 위상 변화가 재구성되는 것을 허용한다. 이는 파면(wavefront)이 양 방향의 함수로서 결정되는 것을 허용한다.
퓨필 평면에서의 투영 시스템(PS)의 투과(아포디제이션(apodization))는 예를 들어 투영 시스템(PS)의 대상물 평면 (예를 들어, 패터닝 디바이스(MA)의 평면)의 포인트형 소스로부터의 방사선을 투영 시스템(PS)을 통하여 투영함으로써 그리고 검출기를 이용하여 투영 시스템(PS)의 퓨필 평면과 공액인 평면에서 방사선의 세기를 측정함으로써 결정될 수 있다. 수차를 결정하기 위해 파면을 측정하는데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학 요소(예를 들어, 렌즈)를 포함할 수 있으며, 수차 (필드 전체의 퓨필 평면에 걸친 위상 변화)를 보정하기 위하여 광학 요소들 중 하나 이상을 조정하도록 구성된 조정 메커니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메커니즘은 하나 이상의 상이한 방식으로 투영 시스템(PS) 내의 하나 이상의 광학 요소 (예를 들어, 렌즈)를 조작하도록 작동 가능할 수 있다. 투영 시스템은 좌표 시스템을 가질 수 있으며, 여기서 그의 광학 축은 z 방향으로 연장된다. 조정 메커니즘은 하기의, 하나 이상의 광학 요소를 변위시키는 것; 하나 이상의 광학 요소를 기울이는 것; 및/또는 하나 이상의 광학 요소를 변형시키는 것의 임의의 조합을 행하도록 작동 가능할 수 있다. 광학 요소의 변위는 임의의 방향 (x, y, z 또는 이들의 조합)으로 이루어질 수 있다. 광학 요소의 기울어짐은 전형적으로 x 및/또는 y 방향으로 축을 중심으로 회전시킴으로써 광학 축에 수직인 평면을 벗어나지만, z 축을 중심으로 하는 회전은 비-회전 대칭 비구면 광학 요소에 대해 사용될 수 있다. 광학 요소의 변형은 저주파수 형상 (예를 들어, 비점 수차(astigmatic)) 및/또는 고주파수 형상 (예를 들어, 자유 형태 비구면(free form aspheres))을 포함할 수 있다. 광학 요소의 변형은, 예를 들어 광학 요소의 하나 이상의 측부에 힘을 가하기 위해 하나 이상의 액추에이터를 이용함으로써 및/또는 광학 요소의 하나 이상의 선택된 영역을 가열하기 위해 하나 이상의 가열 요소를 이용함으로써 수행될 수 있다. 일반적으로, 아포디제이션 (퓨필 평면에 걸친 투과 변화)을 보정하기 위해 투영 시스템(PS)을 조정하는 것이 가능하지 않을 수 있다. 리소그래피 장치(LA)를 위한 패터닝 디바이스 (예를 들어, 마스크) (MA)를 디자인할 때 투영 시스템(PS)의 투과 맵이 사용될 수 있다. 컴퓨테이션 리소그래피 기술을 사용하여, 패터닝 디바이스(MA)는 아포디제이션을 적어도 부분적으로 보정하도록 디자인될 수 있다.
리소그래피 장치는 2개 (이중 스테이지) 또는 그 이상의 테이블 (예를 들어, 2개 이상의 기판 테이블(WTa, WTb), 2개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa), 및 예를 들어 측정 및/또는 세정 등을 용이하게 하는데 전용인 기판이 없는 투영 시스템 아래의 테이블(WTb))을 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계에서, 부가적인 테이블들이 동시에 사용될 수 있거나, 하나 이상의 다른 테이블이 노광을 위하여 사용되고 있는 동안 하나 이상의 다른 테이블에서는 준비 단계가 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 이용한 정렬 측정 및/또는 레벨 센서(LS)를 이용한 레벨 (높이, 기울어짐 등) 측정이 이루어질 수 있다.
리소그래피 장치는 또한 투영 시스템과 기판 사이의 공간을 채우기 위하여, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 덮일 수 있는 유형일 수 있다. 침지 액체는 또한 리소그래피 장치 내의 다른 공간, 예를 들어 패터닝 디바이스와 투영 시스템 사이에 적용될 수 있다. 투영 시스템의 개구수(numerical aperture)를 증가시키기 위한 침지 기술은 본 기술 분야에 잘 알려져 있다. 본 명세서에서 사용된 바와 같이 용어 "침지"는 기판과 같은 구조체가 액체 내에 담겨야 한다는 것을 의미하는 것이 아니라, 그보다는 단지 노광 중에 액체가 투영 시스템과 기판 사이에 위치된다는 것을 의미한다.
따라서, 리소그래피 장치의 작동 시, 방사선 빔은 조명 시스템(IL)에 의해 조정되고 제공된다. 방사선 빔(B)은 지지 구조체 (예를 들어, 마스크 테이블)(MT) 상에서 유지되는 패터닝 디바이스 (예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 방사선 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너(PW) 및 위치 센서(IF) (예를 들어, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 정전용량 센서, 등)의 도움으로, 기판 테이블(WT)은 예를 들어 상이한 타겟 부분(C)들을 방사선 빔(B)의 경로 내에 위치시키기 위하여 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔 중에 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-스트로크 모듈(long-stroke module: 개략적인 위치 결정) 및 단-스트로크 모듈(short-stroke module: 세밀한 위치 결정)의 도움으로 실현될 수 있으며, 이 모듈들은 제1 포지셔너(PM)의 일부를 형성한다. 마찬가지로, 기판 테이블(WT)의 이동은 장-스트로크 모듈 및 단-스트로크 모듈을 이용하여 실현될 수 있으며, 이 모듈들은 제2 포지셔너(PW)의 일부를 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-스트로크 액추에이터에만 연결될 수 있거나, 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크들은 전용 타겟 부분을 점유하지만, 이들은 타겟 부분들 사이의 공간에 위치될 수 있다 (이들은 스크라이브 레인 정렬 마크로 알려져 있다). 유사하게, 하나 이상의 다이가 패터닝 디바이스(MA) 상에 제공되는 상황에서는, 패터닝 디바이스 정렬 마크는 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT)와 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다 (즉, 단일 정적 노광). 기판 테이블(WT)은 그후 상이한 타겟 부분(C)이 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟 부분(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT)와 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 동시에 스캐닝된다 (즉, 단일 동적 노광). 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 (축소) 확대 및 이미지 반전 특징에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟 부분의 (비스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟 부분의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램 가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 기판 테이블(WT)은 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스 방사선 소스(pulsed radiation source)가 이용되며, 프로그램 가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후 또는 스캔 동안의 연속적인 방사선 펄스들 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 위에서 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이와 같은 프로그램 가능한 패터닝 디바이스를 이용하는 마스크리스(maskless) 리소그래피에 용이하게 적용될 수 있다.
위에서 설명된 사용 모드들의 조합 및/또는 변화, 또는 완전히 다른 사용 모드 또한 이용될 수 있다.
본 문헌에서는 IC의 제조에서의 리소그래피 장치의 사용에 대해 특정 참조가 이루어질 수 있지만, 본 명세서에 설명된 리소그래피 장치는 통합 광학 시스템, 자기 도메인 메모리를 위한 안내 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 다른 적용을 가질 수 있다는 점이 이해되어야 한다. 본 기술 분야의 지식을 가진 자는 이러한 대안적인 적용과 관련하여 본 명세서 내에서의 용어 "웨이퍼" 또는 "다이"의 임의의 사용이 각각 더 일반적인 용어 "기판" 또는 "타겟 부분"과 동의어인 것으로 간주될 수 있다는 것을 인식할 것이다. 본 명세서에서 지칭되는 기판은 노광 전 또는 후에, 예를 들어 트랙 (전형적으로 기판에 레지스트의 층을 도포하고 노광된 레지스트를 현상하는 툴) 또는 계측 또는 검사 툴에서 처리될 수 있다. 적용 가능한 경우, 본 명세서 내의 개시는 이러한 그리고 다른 기판 처리 툴에 적용될 수 있다. 또한, 기판은 예를 들어 다층 IC를 생성하기 위하여 한 번 이상 처리될 수 있으며, 따라서 본 명세서에서 사용되는 용어 기판은 또한 이미 다수의 처리된 층을 포함하는 기판을 지칭할 수 있다.
본 명세서에서 사용되는 용어 "방사선" 및 "빔"은 (예를 들어, 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외선(UV) 방사선 및 (예를 들어, 5 내지 20㎚ 범위의 파장을 갖는) 극자외선(EUV) 방사선뿐만 아니라 이온 빔 또는 전자빔과 같은 입자 빔을 포함하는 모든 유형의 전자기 방사선을 포함한다.
패터닝 디바이스 상의 또는 패터닝 디바이스에 의해 제공되는 다양한 패턴은 상이한 공정 윈도우, 즉 패턴이 사양 내에서 생성될 처리 변수의 공간을 가질 수 있다. 잠재적인 체계적 결함과 관련된 패턴 사양의 예는 넥킹(necking), 라인 풀 백(line pull back), 라인 시닝(line thinning), CD, 에지 배치, 중첩(overlapping), 레지스트 최상부 손실, 레지스트 언더컷 및/또는 브리징(bridging)에 대한 점검을 포함한다. 패터닝 디바이스 또는 그 영역 상의 모든 패턴의 공정 윈도우는 각 개별 패턴의 공정 윈도우를 병합 (예를 들어, 겹침)함으로써 획득될 수 있다. 모든 패턴의 공정 윈도우의 경계는 개별 패턴들 중 일부의 공정 윈도우의 경계를 포함하고 있다. 즉, 이 개별 패턴들은 모든 패턴의 공정 윈도우를 제한한다. 이 패턴은 본 명세서에서 상호 교환적으로 사용되는 "핫 스폿(hot spot)" 또는 "공정 윈도우 제한 패턴(PWLP)"으로 지칭될 수 있다. 패터닝 공정의 일부를 제어할 때, 핫 스폿에 집속하는 것이 가능하고 경제적이다. 핫 스폿에 결함이 없으면, 모든 패턴이 결함이 없을 가능성이 가장 크다.
도 2에서 보여지는 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있으며, 이는 또한 기판(W) 상에 노광 전 공정 및 노광 후 공정을 수행하기 위한 장치를 포함하고 있다. 통상적으로, 이들은 하나 이상의 레지스트 층을 증착시키기 위한 하나 이상의 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 냉각 플레이트(CH) 및/또는 하나 이상의 베이크 플레이트(BK)를 포함하고 있다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판을 집어 올리고, 기판을 상이한 공정 장치들 사이에서 이동시키며, 기판을 리소그래피 장치의 로딩 베이(loading bay)(LB)로 전달한다. 흔히 집합적으로 트랙으로 지칭되는 이 장치들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 또한 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있다. 따라서, 처리량과 처리 효율성을 최대화하기 위해 상이한 장치들이 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하게 그리고 일관되게 노광되도록 하기 위하여 및/또는 적어도 하나의 패턴 전사 단계 (예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 공정 (예를 들어, 디바이스 제조 공정)의 일부를 모니터링하기 위하여, 기판 또는 다른 대상물을 검사하여 정렬, (예를 들어, 위에 있는 층들 내의 구조체들 사이에 또는 예를 들어 이중 패터닝 공정에 의해 층에 개별적으로 제공된 동일한 층 내의 구조체 사이에 있을 수 있는) 오버레이, 라인 두께, 임계 치수(CD), 초점 오프셋(focus offset), 물질 특성 등과 같은 하나 이상의 특성을 측정하거나 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 설비는 또한 전형적으로 리소셀 또는 리소셀 내의 다른 대상물들에서 처리된 기판(W)들의 일부 또는 전부를 측정하는 계측 시스템(MET)을 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일부일 수 있으며, 예를 들어 (정렬 센서(AS)와 같은) 리소그래피 장치(LA)의 일부일 수 있다.
하나 이상의 측정된 매개변수는 예를 들어 패터닝된 기판 내에 또는 상에 형성된 연속적인 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 상에 형성된 피처들의 임계 치수(CD) (예를 들어, 임계 선 폭), 광학 리소그래피 단계의 초점 또는 초점 오차, 광학 리소그래피 단계의 선량(dose) 또는 선량 오차, 광학 리소그래피 단계의 광학 수차 등을 포함할 수 있다. 이 측정은 제품 기판 자체의 타겟 및/또는 기판 상에 제공된 전용 계측 타겟에 대해 수행될 수 있다. 측정은 레지스트의 현상 후에 그러나 에칭 전에 수행될 수 있거나, 에칭 후에 수행될 수 있다.
주사 전자 현미경, 이미지 기반 측정 툴 및/또는 다양한 특수 툴의 사용을 포함하는, 패터닝 공정에서 형성된 구조체의 측정을 행하기 위한 다양한 기술이 존재한다. 위에서 논의된 바와 같이, 빠르고 비침습적(non-invasive)인 형태의 특수 계측 툴은 방사선 빔이 기판의 표면 상의 타겟 상으로 지향되고 산란된 (회절된/반사된) 빔의 특성이 측정되는 툴이다. 기판에 의해 산란된 방사선의 하나 이상의 특성을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이는 회절 기반 계측으로 지칭될 수 있다. 이 회절 기반 계측의 하나의 그러한 적용은 타겟 내의 피처 비대칭성의 측정이다. 이는, 예를 들어 오버레이의 척도로서 사용될 수 있지만, 다른 적용 또한 알려져 있다. 예를 들어, 회절 스펙트럼의 대향하는 부분들을 비교함으로써 (예를 들어, 주기적 격자의 회절 스펙트럼에서의 -1차와 +1차를 비교함으로써) 비대칭이 측정될 수 있다. 이는 위에서 설명된 바와 같이 그리고, 예를 들어 미국 특허 출원 공개 US2006-066855에서 설명된 바와 같이 행해질 수 있으며, 이 선행 기술은 본 명세서에서 그 전체 내용이 인용 참조된다. 회절 기반 계측의 또 다른 적용은 타겟 내의 피처 폭(CD)의 측정이다. 이러한 기술은 이하에서 설명되는 장치 및 방법을 사용할 수 있다.
따라서, 디바이스 제조 공정 (예를 들어, 패터닝 공정 또는 리소그래피 공정)에서, 기판 또는 다른 대상물은 공정 동안 또는 공정 후에 다양한 유형의 측정을 받을 수 있다. 측정은 특정 기판에 결함이 있는지를 결정할 수 있거나, 공정 및 공정에서 사용되는 장치에 대한 조정을 확립할 수 있거나 (예를 들어, 기판 상의 2개의 층을 정렬하거나 패터닝 디바이스를 기판에 대해 정렬함), 공정 및 장치들의 성능을 측정할 수 있거나, 다른 목적들을 위한 것일 수 있다. 측정의 예는 광학 이미징 (예를 들어, 광학 현미경), 비-이미징 광학 측정 (예를 들어, ASML YieldStar 계측 툴, ASML SMASH 계측 시스템과 같은 회절 기반 측정), 기계적 측정 (예를 들어, 스타일러스, 원자힘 현미경(AFM)을 사용하는 프로파일링) 및/또는 비-광학 이미징 (예를 들어, 주사 전자 현미경(SEM))을 포함한다. 본 명세서에서 그 전체 내용이 인용 참조되는 미국 특허 제6,961,116호에서 설명된 바와 같은 SMASH(SMart Alignment Sensor Hybrid) 시스템은 정렬 마커의 2개의 중첩되고 상대적으로 회전된 이미지를 생성하고, 이미지의 퓨리에 변환이 간섭하게 되는 퓨필 평면에서의 세기를 검출하며, 그리고 간섭된 차수에서의 세기 변화로서 나타나는 2개의 이미지의 회절 차수들 사이의 위상차로부터 위치 정보를 추출하는 자기-기준 간섭계(self-referencing interferometer)를 이용한다.
계측 결과는 감독 제어 시스템(SCS)에 직접적으로 또는 간접적으로 제공될 수 있다. 오차가 검출되는 경우, (특히, 검사가 배치(batch)의 하나 이상의 다른 기판이 여전히 노광될 만큼 충분히 즉시 그리고 빨리 수행될 수 있는 경우) 후속 기판의 노광에 대해 및/또는 노광된 기판의 후속 노광에 대해 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 수율을 개선하기 위해 박리되고 재작업되거나, 폐기될 수 있고, 따라서 결함이 있는 것으로 알려진 기판에 대해 추가 처리를 수행하는 것을 회피할 수 있다. 기판의 일부 타겟 부분들에만 결함이 있는 경우, 양호한 타겟 부분들에 대해서만 추가적인 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 하나 이상의 특성, 그리고 특히 상이한 기판들의 하나 이상의 특성이 어떻게 달라지는지 또는 동일 기판의 상이한 층들이 층마다 어떻게 달라지는지를 결정하기 위해 계측 장치가 사용된다. 위에서 언급된 바와 같이, 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC) 내로 통합될 수 있으며, 또는 독립형 디바이스일 수 있다.
계측을 가능하게 하기 위해, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 실시예에서, 타겟은 특별히 디자인되고, 주기적인 구조체를 포함할 수 있다. 실시예에서, 타겟은 디바이스 패턴의 일부, 예를 들어 디바이스 패턴의 주기적 구조체이다. 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체 (예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 콘택트(BLC) 등의 구조체)이다.
실시예에서, 기판 상의 타겟은 하나 이상의 1-D 주기적 구조체 (예를 들어, 격자)를 포함할 수 있으며, 이들은 현상 후에 주기적 구조적 피처들이 고형 레지스트 라인으로 형성되도록 인쇄된다. 실시예에서, 타겟은 하나 이상의 2-D 주기적 구조체 (예를 들어, 격자)를 포함할 수 있으며, 이들은 현상 후에 하나 이상의 주기적 구조체가 레지스트 내의 고형 레지스트 필라(pillar) 또는 비아(via)로 형성되도록 인쇄된다. 바(bar), 필라 또는 비아는 대안적으로 기판 내로 (예를 들어, 기판 상의 하나 이상의 층 내로) 에칭될 수 있다.
실시예에서, 패터닝 공정의 관심 대상 매개변수들 중 하나는 오버레이이다. 오버레이는 (정반사에 대응하는) 0차 회절이 차단되고 더 높은 차수만이 처리되는 암시야 스캐터로메트리(scatterometry)를 사용하여 측정될 수 있다. 암시야 계측의 예는 PCT 특허 출원 공개 WO2009/078708 및 WO2009/106279에서 찾아질 수 있으며, 이들은 본 명세서에서 그 전체 내용이 인용 참조된다. 기술의 추가 개발안은 미국 특허 출원 공보 US2011-0027704, US2011-0043791 및 US2012-0242970에 설명되어 있으며, 이들은 본 명세서에서 그 전체 내용이 인용 참조된다. 회절 차수의 암시야 검출을 이용하는 회절 기반 오버레이는 더 작은 타겟 상에서의 대한 오버레이 측정을 가능하게 한다. 이 타겟은 조명 스폿보다 작을 수 있으며 기판 상의 디바이스 제품 구조체로 둘러싸일 수 있다. 실시예에서, 다수의 타겟은 하나의 방사선 캡처에서 측정될 수 있다.
도 3은 예시적인 검사 장치 (예를 들어, 스캐터로미터)를 보여주고 있다. 이 장치는 방사선을 기판(W) 상으로 투영하는 광대역 (백색광) 방사선 투영기(2)를 포함하고 있다. 전향된 방사선은 스캐터로미터 검출기(4)로 나아가며, 검출기는 예를 들어 하부 좌측의 그래프에서 보여지는 바와 같이 정반사된 방사선의 스펙트럼(10) (즉, 파장의 함수로서 세기)을 측정한다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일은 프로세서(PU)에 의하여, 예를 들어 엄밀한 결합 파동 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀에 의하여 또는 도 3의 하단 우측에서 보여지는 바와 같은 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의하여 재구성될 수 있다. 일반적으로 재구성을 위하여, 일반적인 형태의 구조체가 알려져 있으며 구조체가 만들어진 공정의 정보로부터 일부 매개변수가 가정되어 구조체의 몇 개의 변수만을 측정된 데이터로부터 결정되게 한다. 이러한 검사 장치는 수직-입사 검사 장치 또는 경사-입사 검사 장치로서 구성될 수 있다.
사용될 수 있는 또 다른 검사 장치가 도 4에 보여지고 있다. 이 디바이스에서, 방사선 소스(2)에 의해 방출되는 방사선은 렌즈 시스템(12)을 사용하여 시준되며, 간섭 필터(13)와 편광자(17)를 통해 투과되고, 부분 반사 표면(16)에 의해 반사되며, 높은 개구수 (NA), 바람직하게는 적어도 0.9 또는 적어도 0.95의 개구수를 갖는 대물렌즈(15)를 통해 기판(W) 상의 스폿(S)에 집속된다. (물과 같은 상대적으로 높은 굴절률 유체를 이용하는) 침지 검사 장치는 1 이상의 개구수를 가질 수도 있다.
리소그래피 장치(LA)에서와 같이, 하나 이상의 기판 테이블이 측정 작동 동안 기판(W)을 유지하기 위해 제공될 수 있다. 기판 테이블은 도 1의 기판 테이블(WT)과 형태가 유사하거나 동일할 수 있다. 검사 장치가 리소그래피 장치와 통합된 예에서, 이들은 동일한 기판 테이블일 수도 있다. 측정 광학 시스템과 관련하여 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 개략적이고 세밀한 포지셔너가 제공될 수 있다. 예를 들어, 관심 대상 타겟의 위치를 획득하고 이를 대물렌즈(15) 아래의 위치로 가져가기 위해 다양한 센서 및 액추에이터가 제공된다. 전형적으로, 기판(W)에 걸쳐 상이한 위치들에 있는 타겟들에 대해 많은 측정이 이루질 것이다. 기판 지지체는 X 및 Y 방향으로 이동되어 상이한 타겟을 얻을 수 있으며, Z 방향으로 이동되어 광학 시스템의 초점에 대한 타겟의 원하는 위치를 획득할 수 있다. 예를 들어, 실제적으로, 광학 시스템이 (전형적으로, X 및 Y 방향으로, 그러나 아마도 또한, Z 방향으로) 실질적으로 정지된 상태로 유지할 수 있고 오직 기판이 이동할 때, 대물렌즈가 기판에 대한 상이한 위치들로 가져가고 있는 것처럼 작동을 생각하고 설명하는 것이 편리하다. 기판과 광학 시스템의 상대적 위치가 정확하다면, 원칙적으로 그들 중 어느 것이 실제적으로 이동하고 있는지, 둘 모두가 이동하고 있는지 또는 광학 시스템의 일부의 조합이 이동 (예를 들어, Z 및/또는 경사 방향으로)하면서 광학 시스템의 나머지는 정지되어 있고 기판은 (예를 들어, X 및 Y 방향으로, 그러나 또한 선택적으로 Z 및/또는 경사 방향으로) 이동하고 있는지는 중요하지 않다.
기판(W)에 의해 전향된 방사선은 그후 스펙트럼을 검출하기 위하여 부분 반사 표면(16)을 통해 검출기(18)로 통과한다. 검출기(18)는 후방 투영된 초점 평면(11)에서 (즉, 렌즈 시스템(15)의 초점 길이에서) 위치될 수 있거나, 평면(11)은 검출기(18) 상에 보조 광학계(보이지 않음)로 재이미징될 수 있다. 검출기는 기판 타겟(30)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기일 수 있다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서의 어레이일 수 있으며, 예를 들어 프레임 당 40 밀리 초의 적분 시간(integration time)을 이용할 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 사용될 수 있다. 이를 행하기 위해, 방사선 빔이 부분 반사 표면(16)에 입사될 때, 방사선 빔의 일부는 기준 미러(14)를 향하는 기준 빔으로서 부분적 반사 표면(16)을 통하여 투과된다. 기준 빔은 그후 동일한 검출기(18)의 상이한 부분 또는 대안적으로 상이한 검출기 (보이지 않음) 상으로 투영된다.
하나 이상의 간섭 필터(13)는, 예를 들어 405 내지 790㎚ 또는, 200 내지 300㎚와 같은 훨씬 더 낮은 범위 내의 관심 대상 파장을 선택하기 위해 이용 가능하다. 간섭 필터는 다른 필터 세트를 포함하는 것보다는 조정 가능할 수 있다. 격자가 간섭 필터 대신에 사용될 수 있다. 구경 조리개(aperture stop) 또는 공간 광 변조기 (보이지 않음)가 조명 경로에 제공되어 타겟에 대한 방사선의 입사각 범위를 제어할 수 있다.
검출기(18)는 단일 파장 (또는 좁은 파장 범위)에서의 전향된 방사선의 세기, 다중 파장에서 별도로 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡방향 자기-및 횡방향 전기-편광된 방사선의 세기 및/또는 횡방향 자기-및 횡방향 전기-편광된 방사선 사이의 위상차를 별도로 측정할 수 있다.
기판(W) 상의 타겟(30)은 l-D 격자일 수 있으며, 이는 현상 후에 바(bar)가 고형 레지스트 라인으로 형성되도록 인쇄된다. 타겟(30)은 2-D 격자일 수 있으며, 이는 현상 후에 격자가 고형 레지스트 필라 또는 레지스트 내의 비아로 형성되도록 인쇄된다. 바, 필라 또는 비아는 기판 내로 또는 기판 상에서 (예를 들어, 기판 상의 하나 이상의 층으로) 에칭될 수 있다. (예를 들어, 바, 필라 또는 비아의) 패턴은 패터닝 공정의 처리 변화 (예를 들어, 리소그래피 투영 장치 (특히, 투영 시스템(PS)의 광학 수차, 초점 변화, 선량 변화 등)에 민감하며, 인쇄된 격자의 변화로 나타날 것이다. 따라서, 인쇄된 격자의 측정 데이터는 격자를 재구성하기 위해 사용된다. 라인 폭 및/또는 형상과 같은, 1-D 격자의 하나 이상의 매개변수, 또는 필라 또는 비아 폭 또는 길이 또는 형상과 같은, 2-D 격자의 하나 이상의 매개변수는 인쇄 단계 및/또는 다른 검사 공정의 정보로부터, 프로세서(PU)에 의해 수행된 재구성 공정으로 입력될 수 있다.
재구성에 의한 매개변수의 측정에 더하여, 각도 분해 스캐터로메트리는 제품 및/또는 레지스트 패턴의 피처의 비대칭성의 측정에 유용하다. 비대칭성 측정의 특정 적용은 오버레이 측정을 위한 것이며, 여기서 타겟(30)은 또 다른 세트에 중첩된 한 세트의 주기적 피처를 포함한다. 도 3 또는 도 4의 기구를 이용하는 비대칭성 측정의 개념은, 예를 들어 본 명세서에서 그 전체 내용이 인용 참조되는 미국 특허 출원 공개 US2006-066855에 설명되어있다. 간단히 말해서, 타겟의 회절 스펙트럼에서의 회절 차수의 위치는 타겟의 주기성에 의해서만 결정되지만, 회절 스펙트럼의 비대칭성은 타겟을 구성하는 개별 피처들에서의 비대칭성을 나타낸다. 도 4의 기구에서, 검출기(18)가 이미지 센서일 수 있는 경우, 회절 차수에서의 이러한 비대칭성은 검출기(18)에 의해 기록된 퓨필 이미지 내에서 비대칭성으로 직접적으로 나타난다. 이 비대칭성은 유닛(PU) 내에서의 디지털 이미지 처리에 의하여 측정될 수 있으며 알려진 오버레이 값에 대해 교정될 수 있다.
도 5는 전형적인 타겟(30)의 평면도 및 도 4의 장치 내의 조명 스폿(S)의 크기를 도시하고 있다. 주위의 구조체로부터 간섭이 없는 회절 스펙트럼을 얻기 위하여, 실시예에서 타겟(30)은 조명 스폿(S)의 폭 (예를 들어, 직경)보다 큰 주기적 구조체 (예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭 및 길이보다 작을 수 있다. 즉, 타겟은 조명에 의해 "언더필(underfilled)"'되고 회절 신호는 본질적으로 타겟 자체 외부의 제품 피처 등으로부터의 임의의 신호가 없다. 조명 배열체(2, 12, 13, 17)는 대물렌즈(15)의 후초점 평면에 걸쳐 균일한 세기의 조명을 제공하도록 구성될 수 있다. 대안적으로, 예를 들어, 조명 경로에 개구를 포함시킴으로써, 조명은 축상 또는 또는 축외 방향으로 제한될 수 있다.
도 6은 계측을 사용하여 획득된 측정 데이터를 기반으로 타겟 패턴(30')의 하나 이상의 관심 대상 변수의 값의 결정의 예시적인 공정을 개략적으로 도시하고 있다. 검출기(18)에 의해 검출된 방사선은 타겟(30')에 대한 측정된 방사선 분포(108)를 제공하고 있다.
주어진 타겟(30')에 대해, 방사선 분포(208)는 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver; 210)를 사용하여 매개변수화된 모델(206)로부터 계산/시뮬레이션될 수 있다. 매개변수화된 모델(206)은 타겟을 구성하고 이와 연관된 다양한 물질의 예시적인 층을 보여주고 있다. 매개변수화된 모델(206)은 고려 중인 타겟 부분의 피처 및 층에 대한 하나 이상의 변수를 포함할 수 있으며, 이들은 변동될 수 있고 유도될 수 있다. 도 6에서 보여지는 바와 같이, 하나 이상의 변수는 하나 이상의 층의 두께(t), 하나 이상의 피처의 폭(W) (예를 들어, CD), 하나 이상의 피처의 높이(h), 및/또는 하나 이상의 피처의 측벽 각도(α)를 포함할 수 있다. 보여지지는 않지만, 변수들 중 하나 이상은 층들 중의 하나 이상의 굴절률 (예를 들어, 실수 또는 복소수 굴절률, 굴절률 텐서(tensor) 등), 하나 이상의 층의 소광 계수(extinction coefficient), 하나 이상의 층의 흡수, 현상 동안의 레지스트 손실, 하나 이상의 피처의 푸팅(footing), 및/또는 하나 이상의 피처의 라인 에지 거칠기(line edge roughness)를 더 포함할 수 있지만, 이에 제한되지는 않는다. 변수의 초기값은 측정되고 있는 타겟에 대해 예상되는 값일 수 있다. 측정된 방사선 분포(108)는 그후 212에서 계산된 방사선 분포(208)와 비교되어 2개의 분포 사이의 차이를 결정한다. 차이가 있다면, 매개변수화된 모델(206)의 변수 중 하나 이상의 값은 변동될 수 있으며, 측정된 방사선 분포(108)와 연산된 방사선 분포(208) 사이에 충분한 매칭이 있을 때까지, 새로운 연산된 방사선 분포(208)는 측정된 방사선 분포(108)에 대하여 계산되고 비교될 수 있다. 그 시점에서, 매개변수화된 모델(206)의 변수의 값은 실제 타겟(30')의 기하학적 구조의 양호한 또는 최상의 일치를 제공한다. 실시예에서, 측정된 방사선 분포(108)와 계산된 방사선 분포(208) 사이의 차이가 허용 임계 값 내에 있을 때 충분한 일치가 있다.
패터닝 공정의 변수는 "처리 변수"로 불린다. 패터닝 공정은 리소그래피 장치에서 패턴의 실제 전사에 대한 업스트림(upstream) 및 다운스트림(downstream) 공정을 포함할 수 있다. 도 7은 처리 변수(370)의 예시적인 카테고리를 보여주고 있다. 제1 카테고리는 리소그래피 공정에서 사용되는 리소그래피 장치 또는 임의의 다른 장치의 변수(310)일 수 있다. 이 카테고리의 예는 리소그래피 장치의 조명, 투영 시스템, 기판 스테이지 등의 변수를 포함하고 있다. 제2 카테고리는 패터닝 공정에서 수행되는 하나 이상의 절차의 변수(320)일 수 있다. 이 카테고리의 예는 초점 제어 또는 초점 측정, 선량 제어 또는 선량 측정, 대역폭, 노광 기간, 현상 온도, 현상에 사용되는 화학 성분 등을 포함한다. 제3 카테고리는 디자인 레이아웃의 변수(330) 및 패터닝 디바이스에서의 또는 이를 사용하는 그의 구현일 수 있다. 이 카테고리의 예는 어시스트 피처의 형상 및/또는 위치, 분해능 향상 기술(RET)에 의해 적용된 조정, 마스크 피처의 CD 등을 포함할 수 있다. 제4 카테고리는 기판의 변수(340)일 수 있다. 예는 레지스트 층 아래의 구조체의 특성, 레지스트 층의 화학적 조성 및/또는 물리적 치수 등을 포함한다. 제5 카테고리는 패터닝 공정의 하나 이상의 변수의 시간적 변화의 특성(350)일 수 있다. 이 카테고리의 예는 고주파 스테이지 이동 (예를 들어, 주파수, 진폭 등), 고주파 레이저 대역폭 변화 (예를 들어, 주파수, 진폭 등) 및/또는 고주파 레이저 파장 변화의 특성을 포함하고 있다. 이 고주파 변화 또는 이동은 기본 변수 (예를 들어, 스테이지 위치, 레이저 세기)를 조정하기 위해 메커니즘의 응답 시간을 초과하는 것이다. 제6 카테고리는 스핀 코팅, 노광 후 베이크(PEB), 현상, 에칭, 증착, 도핑 및/또는 패키징과 같은, 리소그래피 장치에서의 패턴 전사의 업스트림 또는 다운스트림 공정의 특성(360)일 수 있다.
인식될 바와 같이, 이들 변수 전부는 아니더라도 많은 변수가 패터닝 공정의 매개변수 그리고 흔히 관심 대상 매개변수에 영향을 미칠 것이다. 패터닝 공정의 매개변수의 비제한적인 예에는 임계 치수(CD), 임계 치수 균일성(CDU), 초점, 오버레이, 에지 위치 또는 배치, 측벽 각도, 패턴 시프트 등을 포함할 수 있다. 흔히 이 매개변수는 공칭 값 (예를 들어, 디자인 값, 평균값 등)으로부터의 오차를 나타낸다. 매개변수 값은 개별 패턴의 특성 값 또는 패턴 그룹의 특성에 대한 통계 (예를 들어, 평균, 변동량(variance) 등)일 수 있다.
처리 변수의 일부 또는 전부의 값, 또는 이와 관련된 매개변수는 적절한 방법에 의해 결정될 수 있다. 예를 들어, 값은 다양한 계측 툴 (예를 들어, 기판 계측 툴)로 획득된 데이터로부터 결정될 수 있다. 값은 패터닝 공정에서의 장치의 다양한 센서 또는 시스템 (예를 들어, 리소그래피 장치의, 레벨링 센서 또는 정렬 센서와 같은 센서, 리소그래피 장치의 제어 시스템 (예를 들어, 기판 또는 패터닝 디바이스 테이블 제어 시스템), 트랙 툴 내의 센서 등)으로부터 획득될 수 있다. 값은 패터닝 공정의 운영자로부터 제공될 수 있다.
패터닝 공정의 일부를 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도가 도 8에 도시되어 있다. 인식될 바와 같이, 모델은 상이한 패터닝 공정을 나타낼 수 있으며 아래에 설명된 모든 모델을 포함할 필요는 없다. 소스 모델(1200)은 패터닝 디바이스의 조명의 (방사선 세기 분포, 대역폭 및/또는 위상 분포를 포함하는) 광학적 특성을 나타낸다. 소스 모델(1200)은, 개구수 설정, 조명 시그마(σ) 설정뿐만 아니라 임의의 특정 조명 형상 (예를 들어, 환형, 사중극자, 이중극자 등과 같은 축외 방사선 형상)을 포함하는, 그러나 에에 제한되지 않는, 조명의 광학 특성을 나타낼 수 있다. 여기서 σ (또는 시그마)는 일루미네이터의 외부 반경 방향 범위이다.
투영 광학계 모델(1210)은 투영 광학계의 (투영 광학계에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화를 포함하는) 광학적 특성을 나타낸다. 투영 광학계 모델(1210)은 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하는, 투영 광학계의 광학적 특성을 나타낼 수 있다.
패터닝 디바이스/디자인 모델 모듈(1220)은 디자인 피처가 패터닝 디바이스의 패턴에 어떻게 배치되는지를 캡처하며, 예를 들어 본 명세서에서 그 전체 내용이 인용 참조되는 미국 특허 제7,587,704호에 설명된 바와 같이 패터닝 디바이스의 세부적인 물리적 특성의 표현을 포함할 수 있다. 실시예에서, 패터닝 디바이스/디자인 레이아웃 모델 모듈(1220)은 디자인 레이아웃 (예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 디자인 레이아웃)의 (주어진 디자인 레이아웃에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변경을 포함하는) 광학적 특성을 나타내며, 이는 패터닝 장치 상에 또는 그에 의해 형성된 피처의 배열체의 표현이다. 리소그래피 투영 장치에 사용되는 패터닝 디바이스는 변경될 수 있기 때문에, 적어도 조명 및 투영 광학계를 포함하는 나머지 리소그래피 투영 장치의 광학적 특성으로부터 패터닝 디바이스의 광학적 특성을 분리하는 것이 바람직하다. 시뮬레이션의 목적은 흔히, 예를 들어 에지 배치 및 CD를 정확하게 예측하는 것이며, 이들은 그후 디바이스 디자인과 비교될 수 있다. 디바이스 디자인은 일반적으로 사전 OPC 패터닝 디바이스 레이아웃으로 규정되며 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 형식으로 제공될 것이다.
에어리얼 이미지(1230)는 소스 모델(1200), 투영 광학계 모델(1210) 및 패터닝 디바이스/디자인 레이아웃 모델(1220)로부터 시뮬레이션될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 리소그래피 투영 장치의 광학 특성 (예를 들어, 조명, 패터닝 디바이스 및 투영 광학계의 특성)은 에어리얼 이미지에 영향을 준다.
기판 상의 레지스트 층은 에어리얼 이미지에 의하여 노광되며, 에어리얼 이미지는 그 내부의 잠재적인 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층 내에서의 레지스트의 용해도(solubility)의 공간 분포로서 규정될 수 있다. 레지스트 이미지(1250)는 레지스트 모델(1240)을 사용하여 에어리얼 이미지(1230)로부터 시뮬레이션될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 사용될 수 있으며, 그 예는 본 명세서에서 그 전체 내용이 인용 참조되는 미국 특허 출원 공개 번호 US2009-0157360에서 찾을 수 있다. 예를 들어 기판 상에 형성된 레지스트 피처의 윤곽(contour)을 예측하기 위하여, 레지스트 모델은 전형적으로 레지스트 노광, 노광 후 베이크(PEB) 및 현상 중에 발생하는 화학 공정의 영향을 설명하며 따라서 이는 전형적으로 레지스트의 이러한 특성 (예를 들어, 노광, 노광 후 베이크 및 현상 동안 발생하는 화학 공정의 영향)에만 관련된다. 실시예에서, 레지스트 층의 광학적 특성, 예를 들어 굴절률, 필름 두께, 전파 및 편광 효과는-투영 광학계 모델(1210)의 일부로서 캡처될 수 있다.
따라서, 일반적으로, 광학 모델과 레지스트 모델 사이의 연결은 레지스트 층 내에서의 시뮬레이션된 에어리얼 이미지 세기이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면(interface)에서의 굴절 및 레지스트 필름 스택 내의 다중 반사로부터 발생한다. 방사선 세기 분포 (에어리얼 이미지 세기)는 입사 에너지의 흡수에 의해 잠재적 "레지스트 이미지"로 바뀌며, 이는 확산 공정 및 다양한 로딩 효과에 의해 더욱 변경된다. 풀-칩 애플리케이션(full-chip applications)을 위하여 충분히 빠른 효율적인 시뮬레이션 방법은 2-차원 에어리얼 (및 레지스트) 이미지에 의해 레지스트 스택의 실제적인 3-차원 세기 분포를 근사화한다.
실시예에서, 레지스트 이미지는 패턴 전사 후 공정 모델 모듈(1260)에 대한 입력으로 사용될 수 있다. 패턴 전사 후 공정 모델(1260)은 하나 이상의 레지스트 현상 후 공정 (예를 들어, 에칭, 현상 등)의 성능을 규정한다.
패터닝 공정의 시뮬레이션은, 예를 들어 레지스트 및/또는 에칭된 이미지 내에서의 윤곽, CD, 에지 배치 (예를 들어, 에지 배치 오차) 등을 예측할 수 있다. 따라서, 시뮬레이션의 목적은, 예를 들어 인쇄된 패턴의 에지 배치 및/또는 에어리얼 이미지 세기 기울기 및/또는 CD 등을 정확하게 예측하는 것이다. 이 값은 의도된 디자인과 비교되어, 예를 들어 패터닝 공정을 보정할 수 있고 결함이 발생할 것으로 예상되는 위치를 확인할 수 있다. 의도된 디자인은 일반적으로 GDSII 또는 OASIS 또는 기타 파일 형식과 같은 표준화된 디지털 파일 형식으로 제공될 수 있는 전-(pre-)OPC 디자인 레이아웃으로서 한정된다.
따라서, 모델 공식화는 전체 공정의, 전부는 아니더라도 대부분의 물리적 및 화학적 성질을 설명하며 모델 매개변수의 각각은 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서 모델 공식화는 전체 제조 공정을 시뮬레이션하기 위해 모델이 어떻게 잘 사용될 수 있는지에 대한 상한(upper bound)을 설정한다.
계측 공정을 모델링 및/또는 시뮬레이션하기 위한 예시적인 흐름도가 도 9에 도시되어 있다. 인식될 바와 같이, 다음 모델은 상이한 계측 공정을 나타낼 수 있으며 아래에 설명된 모든 모델을 포함할 필요가 없을 수 있다 (예를 들어, 일부는 조합될 수 있다). 소스 모델(1300)은 계측 타겟의 조명의 (방사선 세기 분포, 방사선 파장, 편광 등을 포함하는) 광학적 특성을 나타낸다. 소스 모델(1300)은, 파장, 편광, 조명 시그마(σ) 설정 (여기서 σ (또는 시그마)는 일루미네이터 내의 조명의 외부 반경 방향 범위이다), 임의의 특정 조명 형상 (예를 들어, 환형, 사중극자, 이중극자 등과 같은 축외 방사선 형상)을 포함하는, 그러나 에에 제한되지 않는, 조명의 광학 특성을 나타낼 수 있다.
계측 광학계 모델(1310)은 계측 광학계의 (계측 광학계에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화를 포함하는) 광학적 특성을 나타낸다. 계측 광학계(1310)는 계측 광학계에 의한 계측 타겟의 조명의 광학적 특성 및 계측 타겟으로부터 계측 장치 검출기를 향한 전향된 방사선의 전달의 광학적 특성을 나타낼 수 있다. 계측 광학 모델은 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하는, 타겟의 조명 및 계측 타겟으로부터 계측 장치 검출기를 향한 전향된 방사선의 전달과 관련된 다양한 특성을 나타낼 수 있다.
계측 타겟 모델(1320)은 계측 타겟에 의하여 전향되는 조명의 (계측 타겟에 의해 야기되는 조명 방사선 세기 분포 및/또는 위상 분포에 대한 변화를 포함하는) 광학적 특성을 나타낼 수 있다. 따라서, 계측 타겟 모델(1320)은 계측 타겟에 의한 조명 방사선의 전향된 방사선으로의 변환을 모델링할 수 있다. 따라서, 계측 타겟 모델은 계측 타겟으로부터의 전향된 방사선의 결과적인 조명 분포를 시뮬레이션할 수 있다. 계측 타겟 모델은 하나 이상의 굴절률, 계측의 하나 이상의 물리적 크기, 계측 타겟의 물리적 레이아웃 등을 포함하는, 타겟의 조명 및 계측으로부터의 전향 방사선의 생성과 관련된 다양한 특성을 나타낼 수 있다. 사용되는 계측 타겟이 변화될 수 있기 때문에, 적어도 조명 및 투영 광학계 그리고 검출기를 포함하는 나머지 계측 장치의 광학 특성으로부터 계측 타겟의 광학적 특성을 분리하는 것이 바람직하다. 시뮬레이션의 목적은 흔히, 예를 들어 세기, 위상 등을 정확하게 예측하는 것이며, 이들은 그후 오버레이, CD, 초점 등과 같은 패터닝 공정의 관심 대상 매개변수를 유도하기 위해 사용될 수 있다.
퓨필 또는 에어리얼 이미지(1330)는 소스 모델(1300), 계측 광학계 모델(1310) 및 계측 타겟 모델(1320)로부터 시뮬레이션될 수 있다. 퓨필 또는 에어리얼 이미지는 검출기 수준에서의 방사선 세기 분포이다. 계측 광학계 및 계측 타겟의 광학적 특성 (예를 들어, 조명, 계측 타겟 및 계측 광학계의 특성)은 퓨필 또는 에어리얼 이미지에 영향을 준다.
계측 장치의 검출기는 퓨필 또는 에어리얼 이미지에 노출되며 퓨필 또는 에어리얼 이미지의 하나 이상의 광학 특성 (예를 들어, 세기, 위상 등)을 검출한다. 검출 모델 모듈(1320)은 계측 광학계로부터의 방사선이 계측 장치의 검출기에 의하여 어떻게 검출되는지를 나타낸다. 검출 모델은 검출기가 퓨필 또는 에어리얼 이미지를 어떻게 검출하는지를 설명할 수 있으며 또한 신호 대 노이즈, 검출기 상의 입사 방사선에 대한 민감도 등을 포함할 수 있다. 따라서, 일반적으로, 계측 광학계 모델과 검출기 모델 간의 연결은 시뮬레이션된 퓨필 또는 에어리얼 이미지이며, 이는 광학계에 의한 계측 타겟의 조명, 타겟에 의한 방사선의 전향 및 전향된 방사선의 검출기로의 전달로부터 발생한다. 방사선 분포 (퓨필 또는 에어리얼 이미지)는 검출기 상의 입사 에너지의 흡수에 의하여 검출 신호로 바뀐다.
계측 공정의 시뮬레이션은, 예를 들어 검출기에서의 공간 세기 신호, 공간 위상 신호 등, 또는 오버레이, CD 등과 같은, 검출 시스템으로부터의 다른 계산된 값, 검출기에 의한 검출을 기반으로 한 퓨필 또는 에어리얼 이미지의 값을 예측할 수 있다. 따라서 시뮬레이션의 목적은 예를 들어 계측 타겟에 대응하는, 검출기 신호 또는 오버레이, CD와 같은 유도된 값을 정확하게 예측하는 것이다. 이 값은 의도된 디자인 값과 비교되어, 예를 들어 예를 들어 패터닝 공정을 보정할 수 있고 결함이 발생할 것으로 예상되는 위치를 확인할 수 있다.
따라서, 모델 공식화는 전체 계측 공정의, 전부는 아니더라도 대부분의 알려진 물리적 및 화학적 성질을 설명하며 모델 매개변수의 각각은 바람직하게는 계측 공정에서의 별개의 물리적 및/또는 화학적 효과에 대응한다.
앞서 언급된 바와 같이, 패터닝 공정은 유사한 기판을 생성하기 위해 동시에 작동할 수 있는 하나 이상의 장치를 포함할 수 있다. 일정 기간 동안, 하나 이상의 장치의 성능이 변화되어 상이한 장치 또는 동일한 장치에 의해 생성된 기판들 간의 (예를 들어, 동일한 피처의 CD 측면에서) 불일치로 이어질 수 있다. 장치의 성능은 패터닝 공정의 매개변수 측면에서 특징지어질 수 있다. 실시예에서, 장치의 성능은 하나의 장치 대 다른 장치 간의 퓨필 차이 또는 일정 기간/처리 단계 동안 하나의 장치의 퓨필 차이로 인해 달라질 수 있다.
장치의 퓨필은 도 1의 일루미네이터(IL), 보다 특히 앞서 논의된 바와 같은 빔 전달 시스템(BDS)의 일부일 수 있다. 퓨필은 이중극자, 사중극자 등과 같은 상이한 조명 패턴을 생성하도록 하나 이상의 미러의 세기 및/또는 배향을 변화시키기 위해 (예를 들어, 조정기 메커니즘(AM)에 의해) 조정될 수 있는 복수의 퓨필 패싯 미러로 구성될 수 있다. 일정 기간 동안, 퓨필이 열화(degrade)됨에 따라 동일한 패턴은 동일한 장치에 대해 상이한 성능을 제공할 수 있다. 또한, 한 장치 상의 퓨필은, 예를 들어 장치 자체의 제조 공정 또는 퓨필의 물질의 재료의 변화로 인하여 다른 장치 상의 퓨필과 동일하지 않을 수 있다.
일관된 출력을 유지하기 위해 퓨필 매칭이 요구될 수 있다 (예를 들어, 상이한 기판들 사이에 최소 변화를 갖는 원하는 패턴을 갖는 기판은 일정 기간 동안 또는 다수의 패터닝 단계 동안 상이한 장치 또는 동일한 장치로부터 획득될 수 있다). 전술한 본 발명은 퓨필 매칭(pupil matching)을 획득하기 위한 방법을 설명한다. 퓨필 매칭은 기준 성능과 유사한 장치의 성능 (예를 들어, 피처의 CD)을 생성하는 장치의 퓨필 구성 (예를 들어, 특정 세기 및/또는 배향을 갖는 미러의 리스트(list))을 지칭한다. 실시예에서, 퓨필 매칭은 2개의 장치 또는 패터닝 공정의 상이한 시점에서의 동일한 장치 사이에서 수행될 수 있다.
도 10은 본 발명의 실시예에 따른 퓨필 매칭 방법의 흐름도이다. 퓨필 매칭은 퓨필 패싯 미러의 초기 선행 자유도(initial leading degrees of freedom) 세트를 결정하는 것 및 장치가 기준 성능과 매우 유사한 성능을 생성하도록 초기 선행 DoF를 수정/조정하는 것을 기반으로 할 수 있다.
공정 P10에서, 기준 장치에 대하여 기준 성능(1602)이 획득될 수 있다. 기준 장치는 패터닝 공정의 복수의 장치 중 하나일 수 있으며, 나머지 장치의 성능과 비교될 수 있고 및/또는 기준 장치는 패터닝 공정의 상이한 시점들에서 (예를 들어, 처음에, 중간에 또는 끝에서) 성능이 자신과 비교될 수 있는 동일 장치일 수 있다.
실시예에서, 기준 성능은 이상적인 및/또는 디자인 의도와 매우 유사한 (예를 들어, 원하는 값의 0 내지 5% 이내) 성능일 수 있다. 성능은 패터닝 공정의 하나 이상의 매개변수 (예를 들어, CD, 오버레이 등)와 연관된 값 또는 값 세트의 면에서 표현될 수 있다. 예를 들어, 기준 성능은 피처의 원하는 CD 값 (예를 들어, 콘택트 홀에 대해 10㎚의 CD, 또는 바 또는 필라에 대해 25㎚의 CD 등), 하나 이상의 피처와 연관된 CD 값의 범위, 또는 전형적으로 장치의 성능을 규정하기 위하여 사용되는 기타 디자인 사양일 수 있다. 실시예에서, 기준 성능은 제1 장치 (예를 들어, 패터닝 공정의 제1 스캐너)에 대해 결정될 수 있으며, 이러한 기준 성능은 제2 장치 (예를 들어, 패터닝 공정의 제2 스캐너)에 대한 기준 역할을 할 수 있다. 이러한 기준 성능은 이상적인 값에 가까울 수도 있고 아닐 수도 있지만, 단순히 제2 장치의 성능과 일치시킬 수 있는 기준 역할을 할 수 있다. 또 다른 실시예에서, 기준 성능은 특정 시점, 예를 들어 패터닝 공정의 처음에서 제2 장치로부터 결정될 수 있다; 이러한 기준 성능은 다른 시점에서 (예를 들어, 패터닝 공정의 중간에서, 500, 1,000, 5,000, 10,000개 등의 웨이퍼가 생산되는 시점에서) 동일한 장치에 대한 기준 역할을 할 수 있다. 또한, 제2 장치로부터 획득된 기준 성능은 이상적인 것에 가까울 수도 있고 그렇지 않을 수도 있지만, 단순히 상이한 시점에서 제2 장치의 성능과 일치시킬 수 있는 기준 역할을 할 수 있다.
실시예에서, 기준 성능은 제1 장치 (또는, 예를 들어 패터닝 공정의 시작 시에 제2 장치 등)에 의해 생성된 기판으로부터 (예를 들어, 계측 툴을 사용하여) 측정될 수 있다. 실시예에서, 기준 데이터는 툴의 모집단(populations) 또는 그의 예측을 기반으로 하는 이상적인 조건 또는 현실적인 조건을 가정하여 모델링 및/또는 시뮬레이션될 수 있다.
(예를 들어, 제1 장치에 대한 특정 퓨필 패싯 미러에 대응하는) 기준 성능이 획득되면, 공정 P20에서, (예를 들어, 제1 장치에 대한) 기준 성능과 함께 매칭 성능을 생성하는 장치 (예를 들어, 제2 장치)에 대한 퓨필 데이터(1620) (또한 제1 자유도 세트 또는 기준 퓨필 데이터로 지칭됨)가 획득될 수 있다. 퓨필은 몇 가지 자유도 (예를 들어, 300×300, 400×400, 500×500, 1,000×1,000, 2,000×2,000, 3,000×3,000 등)를 가지며, 각 자유도는 퓨필 패싯 미러의 미러의 특정 (또는 가능한) 상태와 대응한다. 퓨필 패싯 미러는 어레이로 배열된 미러의 세트이며, 여기에서 각 미러는 컨트롤러에 의해 제어되는 작동 디바이스에 연결될 수 있고, 컨트롤러는 미러의 세기 및/또는 배향을 제어하도록 구성될 수 있다.
실시예에서, 퓨필 데이터는 일반적으로 매칭 퓨필이 결정될 장치 (예를 들어, 제2 장치)의 퓨필 패싯 미러의 관심 대상 자유도 또는 미러의 리스트를 지칭한다. 예를 들어, 장치의 기준 퓨필 데이터는 기준 성능과의 매칭을 생성하는 퓨필 패싯 미러 내에서의 미러들의 리스트 및 그들의 구성일 수 있다. 퓨필 데이터는 퓨필 패싯 미러의 모든 미러 또는 그의 서브세트를 포함할 수 있다. 또한, 퓨필 데이터의 각 미러에 대해, 각 미러의 세기 및/또는 각 미러의 배향은 퓨필 데이터에 포함될 수 있다. 실시예에서, 퓨필 패싯 미러의 미러는 하나 이상의 이산 상태(discrete state) (예를 들어, "온(on)" 또는 "오프(off)")를 가지며, 따라서 퓨필 데이터는 특정 미러에 대응하는 이산 상태를 포함할 수 있다. 또한, 미러의 각 상태는 에어리얼 이미지에 대응할 수 있다. 퓨필 패싯 미러에 대응하는 개별 에어리얼 이미지를 기반으로, 예를 들어 개별 미러들의 에어리얼 이미지들의 합산 또는 중첩에 의하여 퓨필의 전체 에어리얼 이미지가 생성될 수 있다. 따라서, 퓨필 데이터는 퓨필 패싯 미러의 각 미러의 이산 상태(discrete state), 각 미러에 대응하는 에어리얼 이미지, 및/또는 개별 에어리얼 이미지로부터 구성된 전체 에어리얼 이미지를 포함할 수 있다. 실시예에서, 미러의 이산 상태 또는 미러의 세기 값은 기판의 피처 (예를 들어, 바의 에지, 콘택트 홀의 원주)의 양태와 연관될 수 있다. 실시예에서, 개별 미러들의 이산 상태 또는 세기의 상관관계는, 예를 들어 레지스트와 같은 공정 모델 및/또는 에칭 모델의 시뮬레이션을 통해 또는 인쇄된 기판의 측정을 기반으로 설정될 수 있다.
실시예에서, 각 미러에 의해 생성된 에어리얼 이미지는 또한 기판 상에 이미지화될 패턴/피처 및 광학적 매개변수 (NA, 수차, 배율(magnification), 아포다이제이션(apodisation), 초점 등)에 좌우된다. 따라서, 실시예에서, 미러 당 에어리얼 이미지는 또한 광학 매개변수의 데이터/값에 대응할 수 있거나 관련될 수 있다. 따라서, 퓨필 데이터는 또한 미러 당 이러한 에어리얼 이미지 데이터를 포함할 수 있다.
실시예에서, 퓨필 데이터는 메리트 함수(merit function)를 기반으로 관심 대상 영역으로 제한될 수 있다. 메리트 함수는 CD, 오버레이 또는 패터닝 공정의 기타 매개변수의 함수일 수 있다. 따라서, 특정 패턴 또는 피처의 양태 (예를 들어, 에지)에 대하여 성능을 비교하는 것에 관심이 있다면, 그러면 퓨필 데이터는 관심 대상 영역 (예를 들어, 에지)과 관련된 미러의 데이터로 제한 또는 한정될 수 있다. 이와 같이, 실시예에 따르면, 퓨필 데이터는 기판 상에 인쇄될 패턴의 디자인 레이아웃을 사용하거나 참조하지 않고 이미지 (예를 들어, 에어리얼 이미지)를 직접 생성할 수 있다.
미러의 리스트는, 결국 장치의 기준 성능을 초래할 원하는 조명 패턴을 규정할 수 있다. 그러나 패터닝 공정 동안, 장치 (예를 들어, 제2 장치)의 성능은 드리프트(drift)될 수 있고/벗어날 수 있으며/(예를 들어, EUV 리소그래피에서의 컬렉터 열화, 또는 사용으로 인한 퓨필 열화로 인하여) 다른 장치(들) (예를 들어, 제1 장치)와 일치하지 않을 수 있으며, 이와 같이 기준 성능과 일치하는 기준 퓨필 데이터의 이러한 하나 이상의 미러가 기준 성능을 유지하거나 이와 매우 비슷하도록 조정될 필요가 있을 수 있다.
또한, 공정 P30에서, 기준 퓨필 데이터의 자유도 (예를 들어, 미러의 수 및, 강도와 배향과 같은 관련 매개변수)는 기준 퓨필 데이터 내의 초기 선행 자유도(DoF) 세트를 기반으로 조정될 수 있다. 초기 선행 DoF(1630)는 장치의 성능에 대하여 비교적 높은 감도/영향을 갖는 기준 퓨필 데이터의 하나 이상의 미러를 지칭한다. 이러한 선행 DoF(1630)는 퓨필 데이터와 장치의 성능 사이에 규정된 관계의 모델링 및/또는 시뮬레이션에 의해 식별될 수 있다. 이러한 관계는 본질적으로 통계적일 수 있으며 및/또는 물리적 기반 모델링/시뮬레이션을 포함할 수 있다. 모델링/시뮬레이션을 기반으로, 장치의 성능에 대한 각 미러의 민감도가 결정될 수 있다. 예를 들어, 성능에 대한 각 DoF의 민감도를 결정하기 위해 특이 분해(singular decomposition) 기술이 사용될 수 있다. 또 다른 예에서, 다음 수학식이 사용되어 각 DoF의 민감도를 결정할 수 있다:
위의 수학식 (1)에서, (i) P는 성능 매개변수 (예를 들어, CD)를 지칭하고, (ii) an는 미러의 리스트 중 n번째 미러의 민감도를 지칭하며, (iii) DoFn은 자유도를 지칭한다. 본 발명은 DoF의 민감도를 결정하기 위해 상기 수학식 (1)에 제한되지 않으며, 분산 분해, 편도함수 효과, 산점도(scatter plot), 회귀 분석, 스크리닝 등과 같은, 민감도 분석과 관련된 다른 기술이 사용될 수 있다.
또한, 각 DoF의 민감도를 기반으로, 미러의 리스트 내의 다른 DoF에 비해 상대적으로 높은 민감도를 갖는 초기 선행 DoF가 선택될 수 있다. 실시예에서, 예를 들어 전체 자유도의 최대 10%까지, 최대 100개의 DoF 등의 사정 결정된 수의 DoF가 선행 DoF로서 선택될 수 있다. 실시예에서, 선행 DoF는 민감도 값 자체를 기반으로 수 있으며, 예를 들어, 사전 결정된 임계값 (예를 들어, 90% 이상) 이상의 민감도를 갖는 선행 DoF가 선택될 수 있다. 또 다른 실시예에서, 선행 DoF는 퓨필 패싯 미러 내에서의 미러의 위치를 기반으로 선택될 수 있다. 예를 들어, 퓨필 패싯 미러의 중심 주위의 미러들은 퓨필 패싯 미러의 주변에 있는 미러와 비교하여 더 큰 선호도 (예를 들어, 상대적으로 더 높은 가중치)를 가질 수 있다. 실시예에서, 예를 들어 400×400 DoF를 갖는 퓨필 패싯 미러 내에서, 선택된 선행 DoF는 10, 20, 30, 45개 등의 미러일 수 있다.
더욱이, 공정 P40에서, 하나 이상의 매개변수 (예를 들어, CD, 오버레이, 초점 등)의 노광 데이터(1640)는 하나 이상의 기판에 대해 획득될 수 있다. 기판은 장치의 성능에 대한 퓨필의 선행 DoF (예를 들어, 10)의 영향을 결정 또는 조사(probe)하기 위해 노광될 수 있다. 실시예에서, 하나 이상의 기판은 퓨필 데이터(1620)를 이용하여 및/또는 퓨필 데이터(1620)의 선행 DoF(1630)로 노광될 수 있다. 실시예에서, 조사는 선행 DoF(1630)들의 선형 조합을 선택하는 것, 하나 이상의 기판을 선행 DoF의 선형 조합으로 노광시키는 것, 및 하나 이상의 기판의 단일 노광에 대한 성능 (예를 들어, CD 값)을 측정하는 것을 포함할 수 있다. 예를 들어, 선행 DoF가 10개의 미러를 포함하는 경우, 그러면 선형 조합은 10개의 미러 모두 및/또는 10개의 미러 중 제1, 제3 및 제9 미러와 같은, 10개의 미러의 서브세트(subset) (또는 서브 조합)을 포함할 수 있으며, 이는 세트, 예를 들어 [1,3,9], [2,4,6], [5, 6, 7, 8], [1,2, 3, 4] 등의 면에서 표현될 수 있다. 선행 DoF의 각 선형 조합은 장치의 상이한 성능을 초래할 수 있다. 이러한 노광 데이터(1640)는 추가로 결정하기 위해 수집될 수 있으며, 공정 P50에서 매칭 퓨필이 결정될 수 있다. 매칭 퓨필은 장치 성능을 기준 성능과 매우 비슷하게 하는 선행 DoF에서 선택된 퓨필 패싯 미러의 미러 세트를 지칭한다.
공정 P50에서, 노광 데이터(1640)를 기반으로, 매칭 퓨필(1650) (예를 들어, 미러의 선형 조합들 중 하나)은 선행 DoF(11630)들의 선형 조합을 기반으로 획득된 성능과 기준 성능(1602) 간의 차이 (예를 들어, ACD)를 기반으로 하여 결정될 수 있다. 예를 들어, 매칭 퓨필(1650)은 차이를 감소시키고, 바람직하게는 최소화하는 미러의 리스트일 수 있다. 따라서, 매칭 퓨필을 제어함으로써, 장치 (예를 들어, 제2 장치)의 성능은 기준 장치 (예를 들어, 제1 장치)와 실질적으로 유사할 수 있다. 이에 의해, 패터닝 공정 전반에 걸친 일관된 성능이 위에서 논의된 바와 같은 방법을 사용하여 패터닝 공정의 하나 이상의 장치에 대한 매칭 퓨필을 기반으로 관찰 및/또는 달성될 수 있다.
실시예에서, 매칭 퓨필(1650)의 결정은 반복적인 공정을 포함할 수 있다. 각 반복은 퓨필 패싯 미러들의 선행 DoF(1630)들 중 하나 이상의 자유도를 변화시키는 것; 변화된 퓨필 패싯 미러에 대응하는 노광 데이터로부터 현재 성능을 획득하는 것; 및 현재 성능과 기준 성능 간의 현재의 차이를 결정하는 것을 포함한다. 하나 이상의 자유도를 변화시키는 것은 선행 자유도의 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함할 수 있다. 실시예에서, 하나 이상의 자유도는 선행 DoF(1630)들의 선형 조합일 수 있다. 실시예에서, 변화에 대한 하나 이상의 변경 자유도는 퓨필 패싯 미러 내의 미러들의 미러의 위치를 기반으로 선택될 수 있다. 예를 들어, 미러의 세기가 변경되어 이중극자, 사중극자 등의 조명 유형을 형성할 수 있다. 각 조명 유형에 대해, 상이한 성능이 관찰될 수 있다. 각 선형 조합을 위한 기준 성능에 대하여 성능의 차이는 저장되고 사용되어 최소 차이와 연관된 매칭 퓨필을 결정할 수 있다.
실시예에서, 최소 차이는 특정 피처 (예를 들어, 직경 10㎚의 콘택트 홀) 또는 다수의 피처 (예를 들어, 10㎚, 15㎚, 20㎚, 25㎚, 40㎚, 50㎚ 등의 크기의 콘택트 홀)와 관련된 성능 (예를 들어, CD)을 기반으로 할 수 있다. 실시예에서, 복수의 피처 (예를 들어, 10㎚, 15㎚, 20㎚, 25㎚, 40㎚, 50㎚ 등의 크기의 콘택트 홀)의 각 피처에 대한 성능 차이가 연산될 수 있다. 그러면, 예에서, 최소 차이는 각 피처에 대한 차이의 합의 최소값으로 규정될 수 있다. 예를 들어, 선행 DoF들의 선형 조합 각각에 대해, 복수의 특징에 대한 차이의 합이 연산될 수 있으며, 차이의 합 중 가장 작은 것을 갖는 선형 조합은 매칭 퓨필로 선택될 수 있다.
실시예에서, 차이를 계산하기 위한 다른 적절한 방법이 사용될 수 있다. 예를 들어, 통계적 평균 또는 분산이 사용되어 각 피처의 성능 차이를 연산할 수 있다. 이러한 경우, 최소 차이는 각 피처에 대한 성능의 최소 변화를 보여주는 선형 조합과 관련될 수 있다.
더욱이, 성능 매개변수는 패터닝 공정의 매개변수들, 예를 들어 CD와 오버레이의 조합일 수 있다. 예를 들어, 25㎚의 CD에 대해, 오버레이는 ±0.5㎚일 수 있다. 이러한 경우, 차이는 각 매개변수에 대해 연산될 수 있으며, 최소 차이는 하나의 매개변수 및/또는 매개변수들의 조합을 기반으로 결정될 수 있다. 예를 들어, 최소 차이를 결정하기 위해 CD의 차이, 오버레이의 차이, 또는 CD와 오버레이 모두의 차이가 고려될 수 있다.
본 발명은 성능 매개변수 CD에 제한되지 않지만, 장치의 성능을 결정하기 위해 패터닝 공정의 다른 적절한 매개변수 (예를 들어, 오버레이, 초점 등)가 선택될 수 있다는 점이 인식될 수 있다.
실시예에서, 퓨필 데이터 (또는 매칭 퓨필 데이터)는 소스 최적화(SO) 공정 또는 소스 마스크 최적화(SMO)에서 더 사용될 수 있다. 예를 들어, 매칭 퓨필은 매칭 퓨필을 기반으로 최적의 소스를 결정하는 소스 마스크 최적화 모델에 대한 입력일 수 있다. 실시예에서, SO 또는 SMO 동안에, 퓨필은 더 최적화될 수 있다. 실시예에서, 최적화된 퓨필은 공정 모델 시뮬레이션을 통하여, 광학 근접 보정을 결정하기 위해 더 사용될 수 있다.
실시예에서, 퓨필 매칭은 도 11의 방법에 따라 수행될 수 있다. 도 11의 방법에 따르면, 퓨필 매칭은, 예를 들어 원시야(far field)에서의 (예를 들어, 기판 레벨에서의) 에어리얼 이미징 데이터의 연산 및 비교를 기반으로 할 수 있다. 실시예에서, 퓨필 매칭은 퓨필의 외관을 기반으로 할 수 있으며, 예를 들어, 모든 퓨필 패싯 미러가 특정 세기를 갖도록 하게 하는 것이 바람직할 수 있다; 그러나 일정 기간 동안 열화로 인하여, 예를 들어 어떠한 미러는 낮은 또는 0의 세기를 가질 수 있다. 퓨필에서의 세기는, 예를 들어 LEUP와 같은 툴을 이용하여 측정될 수 있으며, 에어리얼 이미지는 세기 측정 데이터로부터 (예를 들어, 투영 광학계 모델, 에어리얼 이미징 모델 등을 사용하여) 모델링 및/또는 시뮬레이션에 의하여 재구성될 수 있다. 도 11의 방법은 퓨필에 인라인(inline) 교정 및/또는 보정을 제공하여 일정 기간 동안 장치의 성능을 유지하고 및/또는 감소시키며, 바람직하게는 장치의 성능의 열화를 최소화할 수 있다.
공정 P100에서, 이미징 데이터(1710)는 퓨필 패싯 미러의 모든 미러에 대해 획득 및/또는 생성될 수 있다. 실시예에서, 이미징 데이터(1710)는 패터닝 공정 동안 사용될 수 있는 마스크에 대응하는 (앞에서 논의된 바와 같은) 퓨필 패싯 미러의 하나 이상의 미러에 의해 생성된 원시야 에어리얼 이미지의 모음(collection)을 포함할 수 있다. 실시예에서, 이러한 이미징 데이터는, 예를 들어 연산 툴 (예를 들어, 타키온(Tachyon) 툴)을 이용하는 투영 광학계 모델/에어리얼 이미지 모델/패터닝 공정의 모델링 및/또는 시뮬레이션에 의해 획득될 수 있다. 실시예에서, 선택적으로, 정확한 이미징 데이터를 획득하기 위해 퓨필 렌더링이 (예를 들어, 교정된 케이스 예측자(Zeiss predictor)를 사용하여) 수행될 수 있다.
이미징 데이터(1710)에 기초하여, 공정 P200에서, 패터닝 공정의 매개변수 (예를 들어, CD, 오버레이)에 대응하는 기준 성능(1720)이 획득 및/또는 생성될 수 있다. 예를 들어, 퓨필 패싯 미러의 각각에 대응하는 이미지 데이터는 겹쳐져 (또는 조합/추가되어) 기준 이미지를 얻을 수 있다. 기준 이미지에서, 기준 성능(1720) (예를 들어, CD)이 측정 및/또는 획득될 수 있다.
실시예에서, 공정 P100 및 P200은, 예를 들어 장치의 스텝 업 스테이지(step up stage)에서 오프라인(off line)으로 수행될 수 있다.
생산 동안, 공정 P300에서, 퓨필 패싯 미러의 측정(measurements)(1730)은 웨이퍼 레벨에서의 퓨필 패싯 미러의 각각의 세기와 같은 퓨필 관련 매개변수를 측정하는 퓨필 측정 계측 툴 (예를 들어, LEUP)로부터 획득될 수 있다. 이러한 측정은 퓨필 패싯 미러의 하나 이상의 미러가, 예를 들어 기준 이미지 데이터를 획득하기 위해 사용되는 (예를 들어, 공정 P100에서 사용되는 것과 같이) 이상적인 세기/원하는 세기/개시 세기(starting intensity)와 상이한 세기를 가질 수 있다는 것을 나타낼 수 있다. 예를 들어, 하나 이상의 미러는 어두울 수 있거나 (즉, 대략 0의 세기를 가짐), 하나 이상의 미러는 낮은 세기 (예를 들어, 공정 P100에서 초기에 설정된 완전한 세기(full intensity)의 25% 미만) 또는 중간 세기 (예를 들어, 완전한 세기의 약 50%)를 가질 수 있는 등일 수 있다. 퓨필 패싯 미러의 이러한 세기 변화는 퓨필 패싯 미러의 열화(degradation)에 기인할 수 있다. 이 열화는, 예를 들어 EUV 리소그래피의 컬렉터 열화에 기인할 수 있거나 단순히 퓨필 미러의 반복적인 사용에 기인할 수 있다. 이런 이유로, 매개변수, 예를 들어 CD를 원하는/디자인 의도와 달라지게 하는 장치의 저하된 성능이 관찰될 수 있다.
측정 데이터(1730)를 기반으로, 공정 P400에서, 이미징 데이터(1740)는 공정 P100에서의 것과 유사한 모델링/또는 시뮬레이션에 의해 획득 및/또는 생성될 수 있다. 퓨필 패싯 미러의 각 미러의 측정에 따라, 미러 당 이미지 데이터는 예를 들어 퓨필 패싯 미러의 세기의 함수로서 달라질 수 있다. 예를 들어, 미러가 0의 세기를 갖고 있다면, 이미지가 생성될 수 없으며, 미러가 낮은 세기를 갖고 있다면, 이미지는 왜곡될 수 있거나 퓨필 패싯 미러에 대응하는 각 이미지의 이미지 데이터에서 다른 변형이 관찰될 수 있다. 이러한 이미징 데이터는 겹쳐질 때 원시야 에어리얼 이미지를 생성하며, 이는 기준 이미지와 일치하지 않을 수 있다. 이러한 이미징 데이터(1740)를 기반으로, 장치의 성능(1742) (예를 들어, CD)이 측정 및/또는 획득될 수 있다. 이러한 성능은 기준 성능(1720)과 상이할 수 있다.
또한, 공정 P500에서, 성능(1742)과 기준 성능(1720)의 차이가 계산 및/또는 획득될 수 있다. 차이를 기반으로, 공정 P600에서, 매칭 퓨필(1760)이 결정될 수 있다. 성능 및 기준 성능의 차이를 감소, 바람직하게는 최소화하기 위하여 매칭 퓨필(1760)의 결정은 미러의 리스트 중 하나 이상의 미러에 대한 조정/보정을 포함할 수 있다. 공정 P600은 시뮬레이션 공정 동안 여러 최적화 단계를 포함하는 (위에서 논의된 공정 P50과 유사한) 반복 공정일 수 있다.
각 반복에서, 공정 P600은 퓨필 패싯 미러의 하나 이상의 자유도를 변화시키는 것 및 변화된 퓨필 패싯 미러를 기반으로 현재 에어리얼 이미지와 현재 성능을 모델링/시뮬레이션을 통해 연산하는 것을 포함할 수 있다. 또한, 현재 성능과 기준 성능 간의 현재의 차이가 결정될 수 있다. 실시예에서, 각 반복에 대해, 변화는 낮은 세기 내지 0의 세기를 갖는 하나 이상의 미러들의 미러의 배향 (예를 들어, x/y 좌표, 기울어짐, 등)에 대한 조정/보정을 포함할 수 있으며, 현재의 CD는 변화에 대해 결정될 수 있다. 그러면, CD를 기반으로, 연산된 CD와 기준 CD의 차이가 획득될 수 있다. 또한, 이러한 반복으로부터, 최소의 CD 차이를 갖는 미러의 리스트가 위의 공정 P50에 대해 논의된 것과 유사한 방식으로 매칭 퓨필(1760)로서 선택될 수 있다. 실시예에서, 미러의 리스트의 최적화 또는 선택은 몬테칼로(Monte Carlo) 또는 분기 한정(Branch Bound) 알고리즘을 기반으로 할 수 있다.
더욱이, 위의 방법은 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조절하는 공정을 포함할 수 있다. 예를 들어, 임계 치수 또는 오버레이의 조정은 본 명세서에서 결정된 매칭 퓨필에 따라 장치의 하나 이상의 미러의 세기를 변경함으로써 수행될 수 있다.
도 12a 및 도 12b는 (예를 들어, 기준 장치의) 퓨필 패싯 미러(1800) 상의 예시적인 기준 퓨필(1802) 및 (예를 들어, 장치의) 퓨필 패싯 미러(1810) 매칭 퓨필(1812)을 각각 도시하고 있다. 도 12a에서, 기준 퓨필(1802)은 약 0의 세기를 갖는 (즉, 어두운) 미러(M24, M25, M26, M27, M34, M37, M44, M47, M54, M55, M56 및 M57)를 제외하고 높은 세기 (예를 들어, 약 100%)를 갖는 대부분의 미러를 갖는 미러 어레이를 포함할 수 있다. 이러한 기준 퓨필은 도 10 및 도 11과 관련하여 앞서 논의된 바와 같이 기준 성능을 생성할 수 있다.
그러나, 도 10 및/또는 도 11의 방법을 수행한 후, 기준 성능과의 매칭을 가능하게 하는 매칭 퓨필 (예를 들어, 매칭 퓨필(1812))이 생성될 수 있다. 매칭 퓨필은 기준 퓨필(1812)과 상이할 수도 있거나 (예를 들어, 이상적인 조건에서) 그렇지 않을 수도 있다. 매칭 퓨필(1812)은 기준 퓨필(1802)과 상이하게 보인다. 매칭 퓨필(1812)은 높은 세기 (예를 들어, 1)를 갖는 대부분의 미러를 포함할 수 있고, 미러(M22, M23, M37, M38, M47 및 M48)는 (예를 들어, 40% 내지 60% 범위 내 또는 일반적으로 높은 세기보다 낮으나 0보다 큰) 중간 세기를 갖고 있으며, 그리고 미러(M24, M25, M26, M27, M34, M44, M54, M55, M56 및 M57)는 약 0의 세기를 가질 수 있다 (즉, 어두울 수 있다). 예에서 보여지는 바와 같이, 매칭 퓨필(1812)의 생성은 기준 퓨필(1802)과 비교하여 일부 미러 (즉, M22, M23, M37, M38, M47, M48)의 세기 변화를 초래하였다.
실시예에서, 장치 성능 변화를 감소시키기 위한 방법이 제공된다. 본 방법은 (i) 기준 장치의 기준 성능, (ⅱ) 기준 성능을 재현하도록 선택된 장치의 복수의 퓨필 패싯 미러의 복수의 자유도로부터 선택된 초기 선행 자유도 세트, 및 (ⅲ) 초기 선행 자유도 세트를 기반으로 장치의 성능을 나타내는 패터닝 공정의 하나 이상의 매개변수와 관련된 노광 데이터를 획득하는 것; 및 매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 초기 선행 자유도 세트 및 노광 데이터를 기반으로, 컴퓨터 시스템에 의하여 장치의 매칭 퓨필을 결정하는 것을 포함한다.
실시예에서, 매칭 퓨필은 초기 선행 자유도 세트에 대응하는 복수의 퓨필 패싯 미러의 서브세트 및/또는 전체 세트이다.
실시예에서, 매칭 퓨필의 결정은 반복적 공정이며, 반복은 장치의 성능과 기준 성능 간의 차이를 기반으로 복수의 퓨필 패싯 미러의 선행 자유도 세트의 하나 이상의 자유도를 변경하는 것; 변경된 퓨필 패싯 미러에 대응하는 노광 데이터로부터 현재 성능을 획득하는 것; 및 현재 성능과 기준 성능 간의 현재의 차이를 결정하는 것을 포함한다.
실시예에서, 하나 이상의 자유도를 변화시키는 것은 선행 자유도 세트에 대응하는 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함한다.
실시예에서, 초기 선행 자유도 세트는 장치의 복수의 퓨필 패싯 미러의 자유도들의 각 자유도의 민감도를 기반으로 선택되어 기준 성능을 재현한다. 실시예에서, 초기 선행 자유도 세트는 기준 성능과 일치하는 장치의 복수의 퓨필 패싯 미러의 복수의 자유도의 서브세트 및/또는 전체 세트이다.
실시예에서, 노광 데이터는 초기 선행 자유도 세트의 선형 조합에 대해 획득된다.
실시예에서, 기준 성능은 장치와 다른 기준 장치의 성능이다. 실시예에서, 기준 성능은 패터닝 공정의 특정 시간에서 결정된 장치의 성능이다. 실시예에서, 장치의 성능 및 기준 장치의 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 패터닝 공정의 매개변수와 관련이 있다.
실시예에서, 매칭 퓨필은 장치의 성능과 기준 성능 간의 차이를 최소화한다.
실시예에서, 본 방법은 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조정하는 것을 더 포함한다.
실시예에서, 패터닝 공정의 장치는 리소그래피 장치이다.
더욱이, 실시예에 따르면, 장치 성능 변화를 감소시키기 위한 방법이 제공된다. 본 방법은 (i) 기준 장치의 기준 성능 및 (ⅱ) 기판 레벨에서의 장치의 복수의 퓨필 패싯 미러의 미러의 퓨필 측정을 획득하는 것; 퓨필 측정을 기반으로 한 이미징 데이터 및 이미징 데이터를 기반으로 한 성능을 컴퓨터 시스템에 의하여 결정하는 것; 및 매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 컴퓨터 시스템에 의하여 장치의 매칭 퓨필을 결정하는 것을 포함한다.
실시예에서, 매칭 퓨필의 결정은 반복적인 공정이며, 반복은 복수의 퓨필 패싯 미러의 하나 이상의 자유도를 변화시키는 것; 변화된 퓨필 패싯 미러를 기반으로 현재 에어리얼 이미지와 현재 성능을 모델링/시뮬레이션을 통하여 연산하는 것; 및 현재 성능과 기준 성능 간의 현재의 차이를 결정하는 것을 포함한다.
실시예에서, 하나 이상의 자유도를 변화시키는 것은 복수의 퓨필 패싯 미러의 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함한다.
실시예에서, 퓨필 측정은 복수의 퓨필 패싯 미러의 하나 이상의 미러의 세기 및/또는 배향을 포함한다.
실시예에서, 기준 성능은 기준 장치를 위한 기준 이미징 데이터로부터 측정되며, 기준 장치는 장치와 다르다. 실시예에서, 기준 성능은 패터닝 공정의 특정 시간에서 결정된, 장치를 위한 기준 이미징 데이터로부터 측정된다.
실시예에서, 기준 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성된다.
실시예에서, 에어리얼 이미지는 기판 레벨에서의 원시야 위치에 대하여 모델링 및/또는 시뮬레이션에 의해 생성된다.
실시예에서, 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성되며, 에어리얼 이미지는 복수의 퓨필 패싯 미러의 각 미러의 세기 측정을 기반으로 연산된다.
실시예에서, 성능 및 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 패터닝 공정의 매개변수와 관련이 있다.
실시예에서, 매칭 퓨필은 장치의 성능과 기준 성능 간의 차이를 최소화한다.
실시예에서, 본 방법은 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조정하는 것을 더 포함한다.
실시예에서, 패터닝 공정의 장치는 리소그래피 장치이다.
도 13은 본 명세서에 개시되는 방법 및 흐름을 구현하는 것을 도울 수 있는 컴퓨터 시스템(100)을 도시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 전달하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위하여 버스(102)에 연결되어 있는 프로세서(104) (또는 다수의 프로세서(104, 105))를 포함하고 있다. 컴퓨터 시스템(100)은 또한 프로세서(104)에 의해 실행될 명령어 및 정보를 저장하기 위하여 버스(102)에 연결되어 있는, 랜덤 액세스 메모리(RAM) 또는 기타 동적 저장 디바이스와 같은 메인 메모리(106)를 포함하고 있다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하기 위하여 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어를 저장하기 위하여 버스(102)에 연결된 판독 전용 메모리(ROM)(108) 또는 기타 정적 저장 디바이스를 더 포함하고 있다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 정보 및 명령어를 저장하기 위하여 제공되고 버스(102)에 연결되어 있다.
컴퓨터 시스템(100)은 컴퓨터 사용자에게 정보를 디스플레이하기 위하여, 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 버스(102)를 통해 연결될 수 있다. 영숫자 및 다른 키를 포함하는 입력 디바이스(114)가 정보 및 명령 선택을 프로세서(104)에 전달하기 위하여 버스(102)에 연결되어 있다. 또 다른 유형의 사용자 입력 디바이스는 방향 정보 및 명령 선택을 프로세서(104)에 전달하기 위한 그리고 디스플레이(112) 상에서의 커서 이동을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키와 같은 커서 제어부(116)이다. 이 입력 디바이스는 전형적으로 디바이스가 평면 내의 위치를 특정하는 것을 허용하는 2개의 축, 즉 제1 축 (예를 들어, x) 및 제2 축(예를 들어, y)에서의 2개의 자유도를 갖는다. 터치 패널(스크린) 디스플레이 또한 입력 디바이스로서 사용될 수 있다.
실시예에 따르면, 공정의 부분들은 메인 메모리(106)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령어는 저장 디바이스(110)와 같은 또 다른 컴퓨터 판독 가능 매체로부터 메인 메모리(106)로 판독될 수 있다. 메인 메모리(106)에 포함된 명령어의 시퀀스의 실행은 프로세서(104)가 명세서에 설명된 공정 단계를 수행하게 한다. 다중 처리 배열체 내의 하나 이상의 프로세서는 또한 메인 메모리(106)에 포함된 명령어의 시퀀스를 실행하기 위해 이용될 수 있다. 대안적인 실시예에서, 하드-와이어드(hard-wired) 회로가 소프트웨어 명령어 대신에 또는 그와 조합하여 사용될 수 있다. 따라서, 본 명세서 내의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용되는 바와 같은 용어 "컴퓨터 판독-가능한 매체"는 실행을 위하여 프로세서(104)에 명령어를 제공하는 것에 참여하는 임의의 매체를 지칭한다. 이러한 매체는, 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하는, 그러나 이에 제한되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어를 포함하는 동축 케이블, 구리 와이어 및 광섬유를 포함한다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것과 같은 음향파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독-가능한 매체의 일반적인 형태는, 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍의 패턴을 갖는 임의의 다른 물리적 매체, 램(RAM), 피롬(PROM) 및 이피롬(EPROM), 플래시-이피롬(FLASH-EPROM), 임의의 다른 메모리 칩 또는 카트리지, 이하 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독 가능한 매체가 실행을 위하여 하나 이상의 명령어의 하나 이상의 시퀀스를 프로세서(104)로 운반하는데 관련될 수 있다. 예를 들어, 명령어는 초기에 원격 컴퓨터의 자기 디스크에 저장(borne)될 수 있다. 원격 컴퓨터는 명령어를 그의 동적 메모리 내로 로딩하고, 모뎀을 사용하여 전화선을 통해 명령어를 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀은 전화선으로 데이터를 수신할 수 있으며 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(102)에 연결된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고 데이터를 버스(102)에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전달하며, 프로세서(104)는 메인 메모리로부터 명령어를 검색하고 실행한다. 메인 메모리(106)에 의해 수신된 명령어는 프로세서(104)에 의한 실행 전 또는 실행 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
컴퓨터 시스템(100)은 또한 바람직하게는 버스(102)에 연결되어 있는 통신 인터페이스(118)를 포함하고 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되어 있는 네트워크 링크(120)에 대한 양방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 유형의 전화선에 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 또 다른 예로서, 통신 인터페이스(118)는 호환 가능한 LAN에 대한 데이터 통신 연결을 제공하기 위하여 근거리 통신 네트워크(LAN) 카드일 수 있다. 무선 링크도 또한 구현될 수 있다. 임의의 이러한 구현에서, 통신 인터페이스(118)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 송신하고 수신한다.
네트워크 링크(120)는 전형적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124)로의 또는 인터넷 서비스 제공자(ISP)(126)에 의해 운영되는 데이터 장비로의 연결을 제공할 수 있다. ISP(126)는 결과적으로 현재 흔히 "인터넷"(128)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128)은 모두 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 사용한다. 컴퓨터 시스템(100)으로 그리고 컴퓨터 시스템(100)으로부터 디지털 데이터를 전달하는 다양한 네트워크를 통한 신호 및 네트워크 링크(120) 상의 그리고 통신 인터페이스(118)를 통한 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해, 프로그램 코드를 포함하는 메시지를 전송하고 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122), 및 통신 인터페이스(118)를 통하여 애플리케이션 프로그램에 대하여 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 애플리케이션은, 예를 들어 실시예의 조명 최적화를 제공한다. 수신된 코드는 수신됨에 따라 프로세서(104)에 의해 실행될 수 있으며 및/또는 추후 실행을 위하여 저장 디바이스(110) 또는 다른 비휘발성 저장부에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태의 애플리케이션 코드를 획득할 수 있다.
실시예는 다음 조항을 이용하여 더 설명될 수 있다:
1. 장치 성능 변화를 감소시키기 위한 방법은,
(i) 기준 장치의 기준 성능, (ⅱ) 기준 성능을 재현하도록 선택된 장치의 복수의 퓨필 패싯 미러의 복수의 자유도로부터 선택된 초기 선행 자유도 세트, 및 (ⅲ) 초기 선행 자유도 세트를 기반으로 장치의 성능을 나타내는 패터닝 공정의 하나 이상의 매개변수와 관련된 노광 데이터를 획득하는 것; 및
매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 초기 선행 자유도 세트 및 노광 데이터를 기반으로, 컴퓨터 시스템에 의하여 장치의 매칭 퓨필을 결정하는 것을 포함한다.
2. 조항 1에 따른 방법에서, 매칭 퓨필은 초기 선행 자유도 세트에 대응하는 복수의 퓨필 패싯 미러의 서브세트 및/또는 전체 세트이다.
3. 조항 1 및 2 중 어느 한 조항에 따른 방법에서, 매칭 퓨필의 결정은 반복적인 공정이며, 반복은
장치의 성능과 기준 성능 간의 차이를 기반으로, 복수의 퓨필 패싯 미러의 선행 자유도 세트의 하나 이상의 자유도를 변화시키는 것;
변화된 퓨필 패싯 미러에 대응하는 노광 데이터로부터 현재 성능을 획득하는 것; 및
현재 성능과 기준 성능 간의 현재 차이를 결정하는 것을 포함한다.
4. 조항 3에 따른 방법에서, 하나 이상의 자유도를 변화시키는 것은 선행 자유도 세트에 대응하는 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함한다.
5. 조항 1 내지 4 중 어느 한 조항에 따른 방법에서, 초기 선행 자유도 세트는 기준 성능을 재현하기 위해 장치의 복수의 퓨필 패싯 미러의 자유도들의 각 자유도의 민감도를 기반으로 선택된다.
6. 조항 1 내지 5 중 어느 한 조항에 따른 방법에서, 초기 선행 자유도 세트는 기준 성능을 재현하기 위해 장치의 복수의 퓨필 패싯 미러의 복수의 자유도의 서브세트 및/또는 전체 세트이다.
7. 조항 1 내지 6 중 어느 한 조항에 따른 방법에서, 노광 데이터는 초기 선행 자유도 세트의 선형 조합에 대하여 획득된다.
8. 조항 1 내지 7 중 어느 한 조항에 따른 방법에서, 기준 성능은 장치와 다른 기준 장치의 성능이다.
9. 조항 1 내지 7 중 어느 한 조항에 따른 방법에서, 기준 성능은 패터닝 공정의 특정 시간에서 결정된 장치의 성능이다.
10. 조항 1 내지 9 중 어느 한 조항에 따른 방법에서, 장치의 성능 및 기준 장치의 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 패터닝 공정의 매개변수와 관련이 있다.
11. 조항 1 내지 10 중 어느 한 조항에 따른 방법에서, 매칭 퓨필은 장치의 성능과 기준 성능 간의 차이를 최소화한다.
12. 조항 1에 따른 방법은 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조정하는 것을 더 포함한다.
13. 조항 12에 따른 방법에서, 패터닝 공정의 장치는 리소그래피 장치이다.
14. 장치 성능 변화를 감소시키기 위한 방법은:
(i) 기준 장치의 기준 성능 및 (ⅱ) 기판 레벨에서의 장치의 복수의 퓨필 패싯 미러의 미러의 퓨필 측정을 획득하는 것;
퓨필 측정을 기반으로 한 이미징 데이터 및 이미징 데이터를 기반으로 한 성능을 컴퓨터 시스템에 의하여 결정하는 것; 및
매칭 퓨필이 장치의 성능과 기준 성능 간의 차이를 감소시키도록 컴퓨터 시스템에 의하여 장치의 매칭 퓨필을 결정하는 것을 포함한다.
15. 조항 14에 따른 방법에서, 매칭 퓨필의 결정은 반복적인 공정이며, 반복은:
복수의 퓨필 패싯 미러의 하나 이상의 자유도를 변화시키는 것;
변화된 퓨필 패싯 미러를 기반으로 현재 에어리얼 이미지와 현재 성능을 모델링/시뮬레이션을 통하여 연산하는 것; 및
현재 성능과 기준 성능 간의 현재의 차이를 결정하는 것을 포함한다.
16. 조항 15에 따른 방법에서, 하나 이상의 자유도를 변화시키는 것은 복수의 퓨필 패싯 미러의 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함한다
17. 조항 14 내지 16 중 어느 한 조항에 따른 방법에서, 퓨필 측정은 복수의 퓨필 패싯 미러의 하나 이상의 미러의 세기 및/또는 배향을 포함한다.
18. 조항 14 내지 17 중 어느 한 조항에 따른 방법에서, 기준 성능은 기준 장치를 위한 기준 이미징 데이터로부터 측정되며, 기준 장치는 장치와 다르다.
19. 조항 14 내지 18 중 어느 한 조항에 따른 방법에서, 기준 성능은 패터닝 공정의 특정 시간에서 결정된, 장치를 위한 기준 이미징 데이터로부터 측정된다.
20. 조항 18 및 19 중 어느 한 조항에 따른 방법에서, 기준 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성된다.
21. 조항 20에 따른 방법에서, 에어리얼 이미지는 기판 레벨에서의 원시야 위치에 대하여 모델링 및/또는 시뮬레이션에 의해 생성된다.
22. 조항 14에 따른 방법에서, 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성되며, 에어리얼 이미지는 복수의 퓨필 패싯 미러의 각 미러의 세기 측정을 기반으로 연산된다.
23. 조항 14 내지 22 중 어느 한 조항에 따른 방법에서, 성능과 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 패터닝 공정의 매개변수와 관련이 있다.
24. 조항 14 내지 20 중 어느 한 조항에 따른 방법에서, 매칭 퓨필은 장치의 성능과 기준 성능 간의 차이를 최소화한다.
25. 조항 14에 따른 방법은, 패터닝 공정의 장치의 매칭 퓨필을 기반으로 장치의 성능을 조정하는 것을 더 포함한다.
26. 조항 25에 따른 방법에서, 패터닝 공정의 장치는 리소그래피 장치이다.
27. 컴퓨터 프로그램 제품은 컴퓨터에 의해 실행될 때 조항 1 내지 26의 방법을 구현하는 기록된 명령어를 갖는 컴퓨터 판독 가능한 매체를 포함하고 있다.
본 발명의 실시예는 하드웨어, 펌웨어, 소프트웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 본 발명의 실시예는 또한 기계-판독 가능한 매체에 저장된 명령어로서 구현될 수 있으며, 이 매체는 하나 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독 가능한 매체는 기계 (예를 들어, 연산 디바이스)에 의해 판독 가능한 형태로 정보를 저장하거나 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계-판독 가능한 매체는 판독 전용 메모리(ROM); 랜덤 억세스 메모리(RAM); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 다른 형태의 전파 신호(propagated signals) (예를 들어, 반송파, 적외선 신호, 디지털 신호 등) 및 다른 매체를 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴, 명령어는 특정 동작을 수행하는 것으로 본 명세서에서 설명될 수 있다. 그러나 이러한 설명은 단지 편의를 위한 것이라는 점 그리고 이러한 동작은 실제로 컴퓨팅 디바이스, 프로세서, 컨트롤러, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스로부터 기인한다는 점이 인식되어야 한다.
블록도에서, 도시된 구성 요소는 개별 기능 블록으로서 도시되어 있지만, 실시예는 본 명세서에서 설명된 기능이 도시된 바와 같이 구성되는 시스템에 제한되지 않는다. 구성 요소들의 각각에 의해 제공되는 기능은 현재 도시된 것과 다르게 구성되는 소프트웨어 또는 하드웨어 모듈에 의해 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 (예를 들어, 데이터 센터 내에서 또는 지리적으로) 혼합되거나, 결합되거나, 복제되거나, 분리되거나, 분산될 수 있으며, 또는 그렇지 않으면 다르게 구성될 수 있다. 본 명세서에서 설명된 기능은 유형의 비-일시적 기계 판독 가능한 매체 상에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제3자 콘텐츠 전달 네트워크는 네트워크를 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있으며, 이 경우 정보 (예를 들어, 콘텐츠)가 공급되거나 달리 제공되는 범위까지, 콘텐츠 전달 네트워크로부터 그 정보를 검색하라는 명령어를 전송함으로써 정보는 제공될 수 있다.
달리 특정적으로 명시되지 않는 한, 논의에서 명백한 바와 같이, 본 명세서 전반에 걸쳐 "처리", "연산(computing)", "계산", "결정" 등과 같은 용어를 사용한 논의는 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/연산 디바이스와 같은 특정 장치의 동작 또는 공정을 지칭한다는 점이 인식된다.
본 명세서를 읽는 자는 본 출원이 수 개의 발명을 설명한다는 것을 인식하여야 한다. 이러한 발명들을 여러 개의 분리된 특허 출원으로 분리하는 대신, 출원인들은 그들의 관련된 발명 주제가 출원 과정에서의 절약에 적합하기 때문에 이 발명 들을 단일의 문서로 그룹화하였다. 하지만, 이러한 발명들의 별개의 장점들 및 양태들은 합쳐지지 않아야 한다. 일부의 경우에서, 실시예가 본 명세서에서 언급된 결점들을 모두 해결하지만, 본 발명들은 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 서브세트만을 해결하거나 본 발명을 검토하는 당업자에게 명백할 다른 언급되지 않은 이점을 제공한다는 점이 이해되어야 한다. 비용의 제약으로 인해, 본 명세서에 개시된 일부 발명들은 현재 청구되지 않을 수 있으며, 계속 출원과 같이 추후 출원에서 또는 본 청구범위를 보정함으로써 청구될 수 있다. 유사하게, 공간 제약으로 인해, 본 문서의 초록(Abstract)이나 요약(Summary) 부분들은 이러한 모든 발명의 포괄적인 목록 또는 이러한 발명들의 모든 양태를 포함하는 것으로 간주되어서는 안된다.
설명 및 도면은 본 발명을 개시된 특정 형태로 제한하려는 것이 아니라, 반대로 본 발명이 첨부된 청구범위에 의해 규정되는 본 발명의 기술 사상 및 범위 내에 있는 모든 변형예, 균등물 및 대안예를 포함하기 위한 것이라는 점이 이해되어야 한다.
본 발명의 다양한 양태의 변형예 및 대안적인 실시예는 이 설명을 고려하여 당업자에게 명백할 것이다. 따라서, 이 설명 및 도면은 단지 예시적인 것으로서 해석되어야 하며, 본 발명을 수행하는 일반적인 방식을 당업자에게 교시하기 위한 것이다. 본 명세서에서 보여지고 설명된 본 발명의 형태는 실시예의 예로서 취해져야 하는 것으로 이해되어야 한다. 요소 및 물질이 본 명세서에서 도시되고 설명된 것을 대체할 수 있으며 부품들 및 공정들이 역전되거나, 순서가 바뀌거나, 생략될 수 있고, 특정 특징들은 독립적으로 이용될 수 있으며, 본 발명의 이 설명의 이점을 가진 후 당업자에게 명백할 바와 같이, 실시예들 또는 실시예들의 특징들이 조합될 수 있다. 다음의 청구범위에 설명된 바와 같은 본 발명의 사상 및 범위를 벗어나지 않고 본 명세서에 설명된 요소들의 변경이 이루어질 수 있다. 본 명세서에 사용된 표제(heading)는 구성 목적만을 위한 것이며, 설명의 범위를 제한하는데 사용되는 것으로 의미하지 않는다.
본 출원 전반에 걸쳐 사용된 바와 같이, 단어 "할 수 있다(may)"는 의무적인 의미 (즉, 해야 한다는 것을 의미함)보다는 허용의 의미(즉, 가능성을 가짐을 의미함)로 사용된다. 단어 "포함한다" 및 "포함하는" 등은 포함하지만 이에 제한되지 않는다는 것을 의미한다. 본 출원 전반에 걸쳐 사용된 바와 같이, 단수 형태 "한(a)", "하나(an)" 및 "그(the)")는 내용이 명시적으로 달리 지시하지 않는 한 복수의 대상을 포함한다. 따라서, 예를 들어 "하나"의 요소에 대한 언급은 "하나 또는 그 이상"과 같은 하나 이상의 요소에 대한 다른 용어 및 어구의 사용에도 불구하고 2 또는 그 이상의 요소의 조합을 포함한다. 용어 "또는"은 달리 명시되지 않는 한, 비배타적이며, 즉 "및" 그리고 "또는" 모두를 포괄한다. 조건부 관계를 설명하는 용어, 예를 들어, "X에 응답하여, Y", "X 때, Y", "X라면, Y", "X의 경우, Y" 등은 선행 조건이 필요 원인 조건이거나, 선행 조건이 충분 원인 조건이거나, 또는 선행 조건이 결과의 기여 원인 조건인 인과 관계들을 포괄하며, 예를 들어 "조건 Y를 얻을 때 상태 X가 발생한다"는 "X는 Y에서만 발생한다" 및 "X는 Y와 Z에서 발생한다"에 대해 일반적이다. 일부 결과가 지연될 수 있기 때문에 이러한 조건부 관계는 얻어지는 선행 조건을 바로 뒤따르는 결과로 제한되지 않으며, 조건부 진술에서 선행 조건은 그 결과에 연결되고, 예를 들어 선행 조건은 결과 발생의 가능성과 관련이 있다. 복수의 속성 또는 기능이 복수의 대상물 (예를 들어, 단계 A, 단계 B, 단계 C 및 단계 D를 수행하는 1 이상의 프로세서)에 매핑된다는 진술은, 달리 지시되지 않는 한, 이러한 모든 속성 또는 기능이 이러한 모든 대상물에 매핑된다는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트에 매핑된다는 것 둘 모두 (예를 들어, 모든 프로세서가 각각 단계 A 내지 D를 수행한다는 것, 및 프로세서 1이 단계 A를 수행하고 프로세서 2가 단계 B 및 단계 C의 일부를 수행하며 프로세서 3이 단계 C의 일부와 단계 D를 수행하는 경우의 양자 모두)를 포괄한다. 나아가, 달리 지시되지 않는 한, 하나의 값 또는 동작이 또 다른 조건 또는 값을 "기반으로 한다"는 진술은, 조건 또는 값이 유일한 인자인 경우(instance) 및 조건 또는 값이 복수의 인자들 중 하나의 인자인 경우 둘 모두를 포괄한다. 달리 지시되지 않는 한, 일부 집합(collection)의 "각" 경우가 일부 속성을 갖는다는 진술은, 더 큰 집합의 달리 동일하거나 유사한 일부 멤버들이 해당 속성을 갖지 않는 경우를 제외하는 것으로 읽혀서는 안되며, 즉 각각(each)이 반드시 각각 및 모든 것(each and every)을 의미하는 것은 아니다.
소정 미국 특허, 미국 특허 출원 또는 기타 자료 (예를 들어, 논문)가 인용 참조된 범위까지, 이러한 미국 특허, 미국 특허 출원 및 기타 자료의 텍스트는 이러한 자료와 본 명세서에 명시된 기재 내용 및 도면 간에 상충하지 않는 정도로만 인용 참조된다. 이러한 상충의 경우, 이러한 인용 참조된 미국 특허, 미국 특허 출원 및 기타 자료에서의 임의의 이러한 상충하는 내용은 본 명세서에서 구체적으로 인용 참조되지 않는다.
본 발명의 특정 실시예가 위에서 설명되었지만, 실시예가 설명된 것과 다르게 실시될 수 있다는 것이 인식될 것이다.

Claims (14)

  1. (i) 기준 성능 및 (ⅱ) 장치의 복수의 퓨필 패싯 미러 중 하나 이상의 미러로부터, 복수의 퓨필 패싯 미러 중 하나 이상의 미러에 특정된 퓨필 측정을 획득하는 것 - 상기 퓨필 측정은 패터닝 디바이스로부터 수신된 방사선을 투영하도록 구성된 투영 시스템으로부터 방출된 방사선의 측정이며, 상기 패터닝 디바이스는 조명 시스템으로부터의 방사선을 수신하고 패터닝된 방사선을 생성하도록 구성됨 - ;
    상기 퓨필 측정을 기반으로 한 이미징 데이터 및 상기 이미징 데이터를 기반으로 한 성능을 컴퓨터 시스템에 의하여 결정하는 것; 및
    퓨필이 상기 장치의 성능과 기준 성능 간의 차이를 감소시키도록 컴퓨터 시스템에 의하여 상기 장치의 퓨필을 결정하는 것
    을 포함하는 방법.
  2. 제1항에 있어서,
    상기 퓨필의 결정은 반복적인 공정이며, 상기 반복은:
    상기 복수의 퓨필 패싯 미러의 하나 이상의 자유도를 변화시키는 것;
    상기 복수의 퓨필 패싯 미러의 변화된 하나 이상의 자유도를 기반으로 하고 모델링 및/또는 시뮬레이션을 통하여 현재 성능을 연산하는 것; 및
    상기 현재 성능과 상기 기준 성능 사이의 현재의 차이를 결정하는 것
    을 포함하는 방법.
  3. 제2항에 있어서,
    상기 하나 이상의 자유도를 변화시키는 것은 상기 복수의 퓨필 패싯 미러 중 하나 이상의 미러의 배향 및/또는 세기의 변화를 포함하는 방법.
  4. 제1항에 있어서,
    상기 퓨필 측정은 상기 복수의 퓨필 패싯 미러 중 하나 이상의 미러의 세기 및/또는 배향을 포함하는 방법.
  5. 제1항에 있어서,
    상기 기준 성능은 기준 장치를 위한 기준 이미징 데이터로부터 획득되며, 상기 기준 장치는 상기 장치와는 상이한 방법.
  6. 제1항에 있어서,
    상기 기준 성능은 패터닝 공정의 특정 시간에서 결정된, 상기 장치를 위한 기준 이미징 데이터로부터 획득된 방법.
  7. 제1항에 있어서,
    상기 기준 성능은 상기 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지의 겹침으로부터 생성된 방법.
  8. 제7항에 있어서,
    상기 에어리얼 이미지는 기판 레벨에서의 원시야 위치에 대하여 모델링 및/또는 시뮬레이션에 의해 생성된 방법.
  9. 제1항에 있어서,
    상기 이미징 데이터는 복수의 퓨필 패싯 미러의 각 미러의 에어리얼 이미지들을 겹침으로써 생성되며, 각 에어리얼 이미지는 이러한 각 미러의 세기 측정을 기반으로 연산된 방법.
  10. 제1항에 있어서,
    상기 장치의 성능과 상기 기준 성능은 임계 치수 및/또는 오버레이를 포함하는 상기 패터닝 공정의 매개변수와 관련된 방법.
  11. 제1항에 있어서,
    상기 결정된 퓨필은 상기 장치의 성능과 상기 기준 성능 간의 차이를 최소화하는 방법.
  12. 제1항에 있어서,
    상기 결정된 퓨필을 기반으로 상기 장치의 성능을 조정하는 것을 더 포함하는 방법.
  13. 제12항에 있어서,
    상기 패터닝 공정의 장치는 리소그래피 장치인 방법.
  14. 컴퓨터 프로그램을 저장한 비-일시적 컴퓨터 판독 가능한 매체로서, 상기 컴퓨터 프로그램은 명령어를 포함하고, 상기 명령어는 컴퓨터에 의해 실행될 때 제1항 내지 제13항 중 어느 하나의 방법을 구현하는, 비-일시적 컴퓨터 판독 가능한 매체.
KR1020247013300A 2018-08-24 2019-08-12 매칭 퓨필 결정 KR20240059632A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP18190862.5A EP3614194A1 (en) 2018-08-24 2018-08-24 Matching pupil determination
EP18190862.5 2018-08-24
KR1020217005552A KR102665204B1 (ko) 2018-08-24 2019-08-12 매칭 퓨필 결정
PCT/EP2019/071614 WO2020038756A1 (en) 2018-08-24 2019-08-12 Matching pupil determination

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217005552A Division KR102665204B1 (ko) 2018-08-24 2019-08-12 매칭 퓨필 결정

Publications (1)

Publication Number Publication Date
KR20240059632A true KR20240059632A (ko) 2024-05-07

Family

ID=63405128

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247013300A KR20240059632A (ko) 2018-08-24 2019-08-12 매칭 퓨필 결정
KR1020217005552A KR102665204B1 (ko) 2018-08-24 2019-08-12 매칭 퓨필 결정

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217005552A KR102665204B1 (ko) 2018-08-24 2019-08-12 매칭 퓨필 결정

Country Status (5)

Country Link
US (2) US11460782B2 (ko)
EP (1) EP3614194A1 (ko)
KR (2) KR20240059632A (ko)
CN (2) CN117950277A (ko)
WO (1) WO2020038756A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3614194A1 (en) * 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
KR20230167934A (ko) 2022-06-03 2023-12-12 삼성전자주식회사 극자외선 노광 장치 및 그것의 동작 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010006467A (ko) * 1997-04-18 2001-01-26 오노 시게오 노광 장치, 해당 장치를 이용한 노광 방법 및 회로 장치 제조 방법
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7230676B1 (en) * 2006-03-13 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4512627B2 (ja) * 2007-10-03 2010-07-28 キヤノン株式会社 測定装置、露光装置及びデバイス製造方法
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
TW200929333A (en) * 2007-12-17 2009-07-01 Nikon Corp Illumination optical system, exposure apparatus, and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
EP2238513B1 (en) * 2007-12-21 2011-11-02 Carl Zeiss SMT GmbH Illumination method
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
EP2392970A3 (en) * 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2008285A (en) * 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
DE102011079777A1 (de) * 2011-07-26 2013-01-31 Carl Zeiss Smt Gmbh Mikrolithographisches Belichtungsverfahren
US9581811B2 (en) * 2012-05-02 2017-02-28 Nikon Corporation Method for evaluating and improving pupil luminance distribution, illumination optical system and adjustment method thereof, exposure apparatus, exposure method, and device manufacturing method
DE102012208521A1 (de) * 2012-05-22 2013-06-27 Carl Zeiss Smt Gmbh Beleuchtungssystem für eine Projektionsbelichtungsanlage für die Projektionslithographie
DE102012220596A1 (de) * 2012-11-13 2014-05-15 Carl Zeiss Smt Gmbh Verfahren zum Zuordnen einer Pupillenfacette eines Pupillenfacettenspiegels einer Beleuchtungsoptik einer Projektionsbelichtungsanlage zu einer Feldfacette eines Feldfacettenspiegels der Beleuchtungsoptik
JP6294680B2 (ja) * 2014-01-24 2018-03-14 キヤノン株式会社 インプリント装置、および物品の製造方法
KR102030100B1 (ko) * 2015-03-05 2019-10-08 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
DE102015209175A1 (de) * 2015-05-20 2016-11-24 Carl Zeiss Smt Gmbh Pupillenfacettenspiegel
DE102015221983A1 (de) * 2015-11-09 2017-05-11 Carl Zeiss Smt Gmbh Abbildende Optik zur Abbildung eines Objektfeldes in ein Bildfeld sowie Projektionsbelichtungsanlage mit einer derartigen abbildenden Optik
EP3614194A1 (en) * 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination

Also Published As

Publication number Publication date
KR102665204B1 (ko) 2024-05-13
CN112602007A (zh) 2021-04-02
KR20210037696A (ko) 2021-04-06
US11460782B2 (en) 2022-10-04
CN117950277A (zh) 2024-04-30
US20220404718A1 (en) 2022-12-22
CN112602007B (zh) 2024-02-23
US20210302844A1 (en) 2021-09-30
WO2020038756A1 (en) 2020-02-27
EP3614194A1 (en) 2020-02-26

Similar Documents

Publication Publication Date Title
TWI700547B (zh) 用於計算度量衡之方法及電腦程式產品
TWI721298B (zh) 度量衡方法及相關之電腦程式產品
TWI710863B (zh) 基於計算度量衡之校正和控制
CN111316168B (zh) 量测设备、测量结构的方法、器件制造方法
KR102363699B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
CN111279268B (zh) 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
TWI765277B (zh) 用於在半導體製造程序中應用沉積模型之方法
WO2020020759A1 (en) Method for determining an etch profile of a layer of a wafer for a simulation system
TWI708116B (zh) 引導式圖案化裝置檢測
JP2020533622A (ja) パターニングプロセスパラメータを決定するための方法及びメトロロジ装置
US20220404718A1 (en) Matching pupil determination
US20230221652A1 (en) Process window based on failure rate
US20190214318A1 (en) Method and apparatus to monitor a process apparatus
TWI643028B (zh) 二維或三維形狀之階層式表示
TW202418004A (zh) 基於失效率之製程窗

Legal Events

Date Code Title Description
A107 Divisional application of patent