KR20240050302A - 결함 모델들을 사용한 결함 위험의 추정 및 프로세스 레시피들의 최적화 - Google Patents

결함 모델들을 사용한 결함 위험의 추정 및 프로세스 레시피들의 최적화 Download PDF

Info

Publication number
KR20240050302A
KR20240050302A KR1020237039241A KR20237039241A KR20240050302A KR 20240050302 A KR20240050302 A KR 20240050302A KR 1020237039241 A KR1020237039241 A KR 1020237039241A KR 20237039241 A KR20237039241 A KR 20237039241A KR 20240050302 A KR20240050302 A KR 20240050302A
Authority
KR
South Korea
Prior art keywords
data
recipe
defect
machine learning
settings
Prior art date
Application number
KR1020237039241A
Other languages
English (en)
Inventor
더멋 피. 캔트웰
창공 왕
나스린 초프라
문규 오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240050302A publication Critical patent/KR20240050302A/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32015Optimize, process management, optimize production line
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32018Adapt process as function of results of quality measuring until maximum quality
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32096Batch, recipe configuration for flexible batch control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32097Recipe programming for flexible batch
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32324Quality data determines optimum machine sequence selection, queuing rules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/02Reliability analysis or reliability optimisation; Failure analysis, e.g. worst case scenario performance, failure mode and effects analysis [FMEA]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Medical Informatics (AREA)
  • Geometry (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • General Factory Administration (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

시스템은 메모리 및 동작들을 수행하도록 메모리에 동작 가능하게 커플링된 프로세싱 디바이스를 포함하며, 이 동작들은, 적어도 하나의 유형의 결함 유형에 대한 결함 영향을 식별하기 위해 훈련된 기계 학습 모델에 대한 입력으로서, 전자 디바이스 제조와 관련된 프로세스와 연관된 데이터를 수신하는 동작을 포함한다. 프로세스와 연관된 데이터는, 컴포넌트를 프로세싱하기 위한 레시피 설정들의 입력 세트, 컴포넌트를 프로세싱함으로써 달성될 원하는 특성들의 세트, 또는 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트 중 적어도 하나를 포함한다. 동작들은 프로세스와 연관된 데이터를 훈련된 기계 학습 모델에 적용함으로써 출력을 획득하는 동작을 더 포함한다. 출력은 적어도 하나의 결함 유형에 대한 결함 영향을 나타낸다.

Description

결함 모델들을 사용한 결함 위험의 추정 및 프로세스 레시피들의 최적화
[0001] 본 개시내용의 실시예들은 일반적으로 제조 시스템들에 관한 것이며, 특히 결함 모델들을 사용하여 결함 위험을 추정하고 프로세스 레시피들을 최적화하는 것에 관한 것이다.
[0002] 반도체 웨이퍼 프로세싱의 복잡성은 디바이스 크기가 축소됨에 따라 증가되어왔다. 전형적인 프로세스는 다수의 상이한 단계들을 가지며, 플라즈마 에칭과 같은 일부 진보된 프로세스들의 경우는 20개 또는 훨씬 더 많은 단계들을 가질 수 있다. 각각의 단계는 성능을 최적화하는 것과 연관된 다양한 노브(knob)들을 갖는다. 따라서 주어진 프로세스를 튜닝하고 최적화하는 데 사용 가능한 공간은 이론적으로 극도로 크다.
[0003] 프로세스 엔지니어들은 자신의 경험과 전문 지식을 이용하여 예비 기본 프로세스를 선택하고 DoE(design of experiment)에 대해 전용되는 제한된 수의 웨이퍼들(또는 쿠폰들로서 지칭되는 웨이퍼들의 일부들)에 기초하여 프로세스를 미세 튜닝한다. DoE의 목표는 웨이퍼 상에서 원하는 사양을 달성하기 위해 프로세스를 맞춤화하는 것이다. 그러나 DoE 데이터 수집을 위해 전체 웨이퍼들 또는 웨이퍼들의 일부들을 전용하는 것은 귀중한 자원들을 소비한다. 따라서 종종 채택된 프로세스는 실행 가능한 프로세스일 수 있지만 반드시 최적의 솔루션은 아니다.
[0004] 다른 병목 현상이 불충분한 인라인 정밀 계측 데이터에 의해 도입된다. 정밀 계측의 경우, 일반적으로 ICP-MS(inductively-coupled plasma mass spectrometry)와 같은 파괴적인 기법들이 사용된다. 그러나 ICP-MS는 매우 시간 소모적이기 때문에, 일반적으로 충분한 통계 데이터를 생성하지 않고 강한 기판/막 간섭에 처해질 수 있다. 또한 ICP-MS는 파괴적인 기술이기 때문에 생산 라인에 효과적으로 통합될 수 없다.
[0005] 일부 실시예들에서, 방법이 제공된다. 방법은 프로세싱 디바이스에 의해, 전자 디바이스 제조와 관련된 프로세스와 연관된 훈련 입력 데이터를 수신하는 단계를 포함한다. 훈련 입력 데이터는 프로세스와 관련된 실험 데이터의 세트를 포함한다. 방법은 프로세싱 디바이스에 의해, 훈련 입력 데이터에 대한 타겟 출력 데이터를 획득하는 단계를 더 포함한다. 타겟 출력 데이터는 결함 유형들의 세트를 식별한다. 방법은 프로세싱 디바이스에 의해, 기계 학습 모델들의 세트를 훈련하기 위해 훈련 입력 데이터 및 타겟 출력 데이터를 제공하는 단계를 더 포함한다. 기계 학습 모델들의 세트의 각각의 기계 학습 모델은 결함 유형들의 세트 중 적어도 하나의 유형의 결함 유형에 대해 결함 영향을 식별하기 위해 훈련된다.
[0006] 일부 실시예들에서, 시스템이 제공된다. 시스템은 메모리 및 동작들을 수행하도록 메모리에 동작 가능하게 커플링된 프로세싱 디바이스를 포함하며, 이 동작들은, 적어도 하나의 유형 결함 유형에 대한 결함 영향을 식별하기 위해 훈련된 기계 학습 모델에 대한 입력으로서, 전자 디바이스 제조와 관련된 프로세스와 연관된 데이터를 수신하는 동작을 포함한다. 프로세스와 연관된 데이터는, 컴포넌트를 프로세싱하기 위한 레시피 설정들의 입력 세트, 컴포넌트를 프로세싱함으로써 달성될 원하는 특성들의 세트, 또는 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트 중 적어도 하나를 포함한다. 동작들은 프로세스와 연관된 데이터를 훈련된 기계 학습 모델에 적용함으로써 출력을 획득하는 것을 더 포함한다. 출력은 적어도 하나의 결함 유형에 대한 결함 영향을 나타낸다.
[0007] 일부 실시예들에서, 비-일시적인 컴퓨터-판독 가능 저장 매체가 제공된다. 비일시적 컴퓨터-판독 가능 저장 매체는 명령들을 포함하고, 이 명령들은 프로세싱 디바이스에 의해 실행될 때, 프로세싱 디바이스로 하여금, 동작들을 수행하게 하고, 동작들은, 적어도 하나의 유형 결함 유형에 대한 결함 영향을 식별하기 위해 훈련된 기계 학습 모델에 대한 입력으로서, 전자 디바이스 제조와 관련된 프로세스와 연관된 데이터를 수신하는 동작을 포함한다. 프로세스와 연관된 데이터는, 컴포넌트를 프로세싱하기 위한 레시피 설정들의 입력 세트, 컴포넌트를 프로세싱함으로써 달성될 원하는 특성들의 세트, 또는 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트 중 적어도 하나를 포함한다. 동작들은 프로세스와 연관된 데이터를 훈련된 기계 학습 모델에 적용함으로써 출력을 획득하는 것을 더 포함한다. 출력은 적어도 하나의 결함 유형에 대한 결함 영향을 나타낸다.
[0008] 본 개시내용은, 유사한 참조부호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서 제한적인 것이 아니라 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 참조들은 반드시 동일한 실시예를 참조하는 것은 아니며, 그러한 참조들은 적어도 하나를 의미한다는 것이 유의되어야 한다.
[0009] 도 1은 본 개시내용의 양상들에 따른 예시적인 컴퓨터 시스템 아키텍처를 묘사한다.
[0010] 도 2는 본 개시내용의 양상들에 따라 프로세스 레시피들을 생성하기 위해 결함 모델들을 사용하기 위한 시스템의 블록도이다.
[0011] 도 3은 본 개시내용의 양상들에 따라 프로세스 레시피를 생성하기 위해 적어도 하나의 훈련된 결함 모델을 사용하기 위한 방법의 흐름도이다.
[0012] 도 4는 본 개시내용의 양상들에 따라 적어도 하나의 훈련된 결함 모델을 생성하기 위해 입력 훈련 데이터에 기초하여 결함 모델 훈련 데이터를 획득하기 위한 방법의 흐름도이다.
[0013] 도 5는 본 개시내용의 양상들에 따라 적어도 하나의 훈련된 결함 모델을 생성하기 위해 적어도 하나의 초기 훈련된 결함 모델을 튜닝하기 위한 방법의 흐름도이다.
[0014] 도 6은 본 개시내용의 하나 이상의 양상들에 따라 동작하는 예시적인 컴퓨팅 디바이스의 블록도를 묘사한다.
[0015] 본원에서 설명된 구현들은 반도체 디바이스 결함들에 대한 기계 학습 모델을 사용하여 프로세스 레시피 생성을 제공한다. 프로세스 레시피 생성은 전형적으로 반복적인 프로세스이다. 결함들을 야기할 수 있는 프로세스 조건들은 웨이퍼 또는 기판이 실행되고 포스트-프로세스 계측(post-process metrology)이 수행할 때까지 알 수 없을 수 있다. 실험적이고 전문적인 지식이 이 프로세스를 안내하는 데 도움이 될 수 있다. 종래의 방법들은 이 정보를 체계적으로 캡처하지 않는다. 또한 결함들은 종종, 특히 상이한 변수들의 잠재적 상호작용을 고려하여 데이터 해석을 난해하게 할 수 있는, 다양한 소스들 및 생성 메커니즘들을 갖는 다수의 물리적 및/또는 화학적 프로세스들의 최종 결과들이다.
[0016] 본 개시내용의 양상들은 반도체 디바이스 결함들에 대한 기계 학습 모델들을 사용하여 프로세스 레시피 생성을 제공함으로써 위에서 언급한 결점들 및 다른 결점들을 해소한다. 제조 시스템을 위한 프로세싱 디바이스는 훈련된 기계 학습 모델에 대한 입력으로서, 프로세스 레시피와 연관된 데이터를 제공할 수 있다. 일부 실시예들에서, 프로세싱 디바이스는 제조 시스템을 위한 클라이언트 디바이스로부터 데이터를 수신할 수 있다. 사용자(예컨대, 오퍼레이터, 엔지니어 등)는 클라이언트 디바이스의 GUI(graphical user interface)를 통해 프로세스 레시피와 연관된 데이터를 제공할 수 있고, 클라이언트 디바이스는 수신된 데이터를 제조 시스템에 대한 프로세싱 디바이스에 송신할 수 있다.
[0017] 기계 학습 모델은 반도체 디바이스 결함들 및/또는 반도체 디바이스 결함들이 온-웨이퍼 성능에 영향을 미칠 확률을 예측하도록 훈련될 수 있다. 기계 학습 모델은 결함 생성 대 레시피 조건들에 대해 다수의 소스들로부터 획득된 훈련 데이터를 사용하여 훈련될 수 있다. 훈련 데이터로부터, 분류 모델 및/또는 회귀 모델을 포함한 모델들의 세트가 생성될 수 있다. 결함 유형 및 사용 사례에 의존하여, 모델들의 세트는 결함들의 확률을 추정하고, 결함 카운트를 추정하고, 프로세스 공간을 다수의 구역들(예컨대, 양호한 구역, 경고 구역 및 불량(고장) 구역)로 세그먼팅할 수 있다. 모델들의 세트는 모델링된 임의의 프로세스 조건에 대한 추정된 결함 성능에 대한 부가적인 지침을 제공하기 위해 프로세스 개발 도구들과 함께 사용될 수 있고, 프로세스 및 결함 성능 둘 모두에 대한 공동 최적화를 달성할 수 있다. 이러한 부가적인 지침은 프로세스 개발이 결함 확률이 높은 공간들로 잘못 들어가는 것을 방지할 수 있다. 결함들에 대한 가능성(potential)을 최소화할 수 있는 대안적인 프로세스 설정들을 제안하는 것을 보조하도록 수치 최적화기들이 추가될 수 있다. 그 후, 모델들의 세트는 반도체 디바이스 제조 동안 활용되어 웨이퍼를 프로세싱하기 전에 주어진 프로세스 조건에 대해 결함 생성의 잠재적 위험에 대한 피드백을 제공함으로써 프로세스 레시피 생성을 가속화하고 안내할 수 있다. 훈련된 기계 학습 모델의 출력에 기초하여 획득되는 프로세스 레시피에 대한 설정들을 적용함으로써, 반도체 디바이스 결함들이 크게 감소될 수 있다. 따라서, 결함이 있는 프로세스 챔버의 컴포넌트들 및/또는 기판들의 수가 적어지며, 이는 제조 시스템/프로세스의 전체 처리량 및 효율성을 개선한다.
[0018] 도 1은 본 개시내용의 양상들에 따른 예시적인 컴퓨터 시스템 아키텍처(100)를 묘사한다. 컴퓨터 시스템 아키텍처(100)는 클라이언트 디바이스(120), 예측 서버(112)(예컨대, 예측 데이터를 생성하고, 모델 적응을 제공하고, 지식 베이스를 사용하고 기타 등등을 위해) 및 데이터 스토어(140)를 포함할 수 있다. 예측 서버(112)는 예측 시스템(110)의 일부일 수 있다. 예측 시스템(110)은 서버 기계들(170 및 180)을 더 포함할 수 있다. 일부 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 기판들 또는 웨이퍼들을 프로세싱하기 위한 제조 시스템의 부분으로서 포함될 수 있다. 이러한 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 제조 장비(124), 계측 장비(128) 및/또는 테스트 장비(미도시)를 포함할 수 있다.
[0019] 제조 장비(124)는 레시피를 따르거나 일정 시간 기간에 걸친 실행들을 수행하는 제품들 이를테면, 전자 디바이스들을 생성할 수 있다. 제조 장비(124)는 도 2와 관련하여 설명된 프로세스 챔버(200)와 같은 프로세스 챔버를 포함할 수 있다. 제조 장비(124)는 프로세스 챔버에서 웨이퍼(예컨대, 웨이퍼 등)에 대한 프로세스를 수행할 수 있다. 웨이퍼 프로세스들의 예들은 웨이퍼의 표면 상에 막을 증착하기 위한 증착 프로세스, 웨이퍼의 표면에 패턴을 형성하기 위한 에칭 프로세스, 증착 프로세스 또는 에칭 프로세스 이전에 웨이퍼를 타겟 온도로 가열하기 위한 웨이퍼 가열 프로세스, 증착 프로세스 및/또는 에칭 프로세스 후에 웨이퍼를 타겟 온도로 냉각하기 위한 웨이퍼 냉각 프로세스 등을 포함한다. 제조 장비(124)는 프로세스 레시피에 따라 각각의 프로세스를 수행할 수 있다. 프로세스 레시피는 프로세스 동안 웨이퍼에 대해 수행될 동작들의 특정 세트를 정의하며 각각의 동작과 연관된 하나 이상의 설정들을 포함할 수 있다. 예컨대, 웨이퍼 가열 프로세스는 프로세스 챔버 내에 배치된 웨이퍼에 대한 포지션 설정, 프로세스 챔버에 대한 온도 설정, 프로세스 챔버에 대한 압력 설정, 프로세스 챔버에 대한 압력 설정 등을 포함할 수 있다.
[0020] 일부 실시예들에서, 제조 장비(124)는 프로세스 챔버 및/또는 프로세스 챔버 내에 배치된 웨이퍼 내의 또는 외부의 환경에 대한 프로세스 센서 데이터를 생성하도록 구성된 하나 이상의 센서들(126)을 포함할 수 있다. 센서 데이터는 온도들(예컨대, 히터 온도), 간격(SP), 압력, HFRF(high frequency radio frequency), ESC(electrostatic chuck)의 전압, 전류, 유동, 전력, 전압 등 중 하나 이상의 것의 값을 포함할 수 있다. 센서 데이터는 하드웨어 파라미터들 이를테면, 제조 장비(124)의 세팅들 또는 컴포넌트들(예컨대, 크기, 유형 등) 또는 제조 장비(124)의 프로세스 파라미터들과 같은 제조 파라미터들과 연관되거나 이들을 표시할 수 있다. 센서 데이터는 제조 장비(124)가 제조 프로세스들을 수행하는 동안 제공될 수 있다(예컨대, 제품들을 프로세싱할 때 장비 판독들). 센서 데이터는 제조 장비(124)에서 프로세싱된 각각의 웨이퍼마다 상이할 수 있다.
[0021] 계측 장비(128)는 제조 장비(124)에 의해 프로세싱된 웨이퍼들(예컨대, 웨이퍼들 등)과 연관된 계측 데이터를 제공할 수 있다. 일부 실시예들에서, 계측 데이터는 웨이퍼에 대해 증착 및/또는 에칭 프로세스가 수행되기 전, 도중, 또는 후에 웨이퍼의 표면 상의 막에 대해 생성된 데이터를 포함할 수 있다. 예컨대, 계측 데이터는 웨이퍼 프로세스의 완료 후에 웨이퍼에 대해 생성된 막 특성 데이터(예컨대, 웨이퍼 공간 막 특성들), 치수들(예컨대, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들 등의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 증착 및/또는 에칭 프로세스에 처해지지 않는 웨이퍼의 일부와 연관된 데이터를 더 포함할 수 있다. 예컨대, 막의 일부를 에칭 어웨이하여 타겟 웨이퍼 표면 패턴을 생성할 에칭 프로세스 이전에 웨이퍼의 최상부 표면 상에 막이 증착될 수 있다. 웨이퍼 가열 프로세스는 에칭 프로세스의 개시에 앞서 웨이퍼를 타겟 온도로 가열하기 위해 웨이퍼에 대해 개시될 수 있다.
[0022] 클라이언트 디바이스(120)는 컴퓨팅 디바이스 이를테면, PC(personal computer)들, 랩톱들, 모바일 폰들, 스마트폰들, 태블릿 컴퓨터들, 넷북 컴퓨터들, 네트워크 연결 텔레비전("스마트 TV")들, 네트워크 연결 미디어 플레이어들(예컨대, 블루-레이 플레이어(Blu-ray player)), 셋-톱-박스, OTT(over-the-top) 스트리밍 디바이스, 오퍼레이터 박스들 등을 포함할 수 있다. 일부 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 클라이언트 디바이스(120)로부터 제조 장비(124)에서 웨이퍼에 대해 수행될 프로세스에 대한 프로세스 레시피와 연관된 데이터를 수신할 수 있다. 예컨대, 클라이언트 디바이스(120)는 GUI(graphical user interface)를 디스플레이할 수 있으며, 여기서 GUI는 사용자(예컨대, 엔지니어, 오퍼레이터, 개발자 등)가 제조 장비(124)의 프로세스 챔버에서 웨이퍼에 대해 수행될 웨이퍼 가열 프로세스 및/또는 웨이퍼 냉각 프로세스에 대한 하나 이상의 프로세스 레시피 설정들과 연관된 데이터를 입력으로서 제공하는 것을 가능하게 한다.
[0023] 데이터 스토어(140)는 메모리(예컨대, 랜덤 액세스 메모리), 드라이브(예컨대, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템, 또는 데이터를 저장할 수 있는 다른 유형의 컴포넌트 또는 디바이스일 수 있다. 데이터 스토어(140)는 다수의 컴퓨팅 디바이스들(예컨대, 다수의 서버 컴퓨터들)에 걸쳐 있을 수 있는 다수의 저장 컴포넌트들(예컨대, 다수의 드라이브들 또는 다수의 데이터베이스들)을 포함할 수 있다. 일부 실시예들에서, 데이터 스토어(140)는 센서 데이터, 계측 데이터, 예측 데이터, 및/또는 맥락 데이터를 저장할 수 있다. 센서 데이터는 과거 센서 데이터(예컨대, 제조 장비(124)에서 프로세싱된 이전 웨이퍼에 대해 센서들(126)에 의해 생성된 센서 데이터) 및/또는 현재 센서 데이터(예컨대, 제조 장비(124)에서 프로세싱되고 있는 현재 웨이퍼에 대해 센서들(126)에 의해 생성된 센서 데이터)를 포함할 수 있다. 일부 실시예들에서, 현재 센서 데이터는 예측 데이터가 생성되는 데이터일 수 있다. 센서 데이터는 제조 장비(124)의 하나 이상의 컴포넌트들의 온도(예컨대, 프로세스 챔버의 덮개 및/또는 윈도우의 온도, 프로세스 챔버의 웨이퍼 지지 조립체 내에 매립된 가열 요소의 온도 등)를 표시하는 데이터, 웨이퍼 프로세스 동안 웨이퍼의 온도를 표시하는 데이터, 제조 장비(124) 내의 환경의 하나 이상의 부분들에서의 압력을 표시하는 데이터(예컨대, 프로세스 챔버의 덮개 및/또는 윈도우와 웨이퍼의 표면 사이의 환경의 압력, 웨이퍼의 표면과 웨이퍼 지지 조립체의 표면 사이의 환경의 압력 등), 웨이퍼 프로세스 전, 도중 및/또는 후에 제조 장비(124) 내로 유동되는 하나 이상의 가스들의 농도 또는 유량을 표시하는 데이터 등을 포함할 수 있다(그러나 이에 제한되지 않음). 데이터 스토어는 일부 실시예들에서 계측 데이터를 저장할 수 있다. 계측 데이터는 과거 계측 데이터(예컨대, 제조 장비(124)에서 프로세싱된 이전 웨이퍼에 대해 계측 장비(128)에 의해 생성된 계측 데이터)를 포함할 수 있다.
[0024] 맥락 데이터는 제조 장비(124)에서 수행되는 웨이퍼 및/또는 웨이퍼 프로세스와 연관된 데이터를 지칭한다. 일부 실시예들에서, 맥락 데이터는 웨이퍼와 연관된 데이터(예컨대, 이를테면, 웨이퍼에 대한 식별자, 웨이퍼의 유형 등)를 포함할 수 있다. 맥락 데이터는 부가적으로 또는 대안적으로, 웨이퍼를 프로세싱하는 데 사용되는 제조 장비(124)의 하나 이상의 컴포넌트들과 연관된 데이터를 포함할 수 있다. 예컨대, 맥락 데이터는 제조 장비(124)의 하나 이상의 컴포넌트들에 대한 식별자, 하나 이상의 컴포넌트들과 연관된 하나 이상의 물리적 특성들(예컨대, 하나 이상의 컴포넌트들의 방사율, 하나 이상의 컴포넌트들의 분자량 등), 제조 장비(124)의 오퍼레이터와 연관된 식별자, 제조 장비(124)에서 수행되는 프로세스의 유형 등을 포함할 수 있다.
[0025] 부가적인 또는 대안적인 실시예들에서, 맥락 데이터는 제조 장비(124)에서 웨이퍼에 대해 수행되는 프로세스 레시피와 연관된 데이터를 포함할 수 있다. 예컨대, 맥락 데이터는 프로세스 레시피에 대한 이름의 식별자, 프로세스 레시피의 동작에 대한 동작 번호, 또는 프로세스 레시피의 하나 이상의 동작들에 대한 설정들(프로세스 레시피 설정으로서 본원에서 지칭됨)을 포함할 수 있다. 프로세스 레시피 설정은 웨이퍼 또는 제조 장비(124)의 하나 이상의 컴포넌트들에 대한 포지션 설정, 이를테면, 프로세스 챔버의 덮개 및/또는 윈도우에 대해 프로세스 챔버 내에 배치된 웨이퍼의 포지션, 프로세스 챔버의 웨이퍼 지지 조립체에 대한 웨이퍼의 포지션, 프로세스 챔버의 덮개 및/또는 윈도우에 대한 웨이퍼 지지 조립체의 포지션, 프로세스 챔버의 덮개 및/또는 윈도우를 향하거나 그로부터 멀어지는 웨이퍼 지지 조립체(웨이퍼가 있든 또는 없든)의 움직임의 속도, 웨이퍼 지지 조립체의 표면을 향하거나 그로부터 멀어지는 웨이퍼의 움직임의 속도 등에 대한 설정을 포함할 수 있다. 프로세스 레시피 설정은 또한 제조 장비(124)의 하나 이상의 컴포넌트들 및/또는 제조 장비(124) 내에 배치된 웨이퍼에 대한 온도 및/또는 압력 설정을 포함할 수 있다. 프로세스 레시피 설정은 또한 제조 장비(124)의 프로세스 챔버 내로 유동되는 가스의 타겟 조성 및/또는 농도, 프로세스 챔버 내로 유동되는 가스의 유량, 프로세스 챔버 내로 유동되는 가스의 온도 등을 표시하는 설정을 포함하여, 웨이퍼 프로세스에 대한 가스 유동 설정을 포함할 수 있다.
[0026] 맥락 데이터는 과거 맥락 데이터(예컨대, 제조 장비(124)에서 이전 웨이퍼에 대해 수행된 이전 웨이퍼 프로세스에 대한 맥락 데이터) 및/또는 현재 맥락 데이터(예컨대, 제조 장비의 현재 웨이퍼(124)에 대해 현재 수행되거나 수행될 웨이퍼 프로세스에 대한 맥락 데이터)를 포함할 수 있다. 현재 맥락 데이터는 본원에서 설명된 실시예들에 따라 예측 데이터가 생성되는 데이터일 수 있다. 과거 맥락 데이터 및/또는 현재 맥락 데이터는 이전에 설명된 실시예들에 따라 클라이언트 디바이스(120)의 GUI를 통해 시스템(100)에 제공될 수 있다.
[0027] 일부 실시예들에서, 데이터 스토어(140)는 제조 시스템의 사용자가 액세스 가능하지 않은 데이터를 저장하도록 구성될 수 있다. 예컨대, 웨이퍼 지지 조립체에 대한 테스트 데이터, 맥락 데이터 등은 제조 시스템 및/또는 테스트 시스템의 사용자(예컨대, 오퍼레이터)가 액세스 가능하지 않다. 일부 실시예들에서, 데이터 스토어(140)에 저장된 모든 데이터는 시스템의 사용자에 의해 액세스 불가능할 수 있다. 다른 또는 유사한 실시예들에서, 데이터 스토어(140)에 저장된 데이터의 일부는 사용자에 의해 액세스 불가능할 수 있는 반면, 데이터 스토어(140)에 저장된 데이터의 다른 부분은 사용자에 의해 액세스 가능할 수 있다. 일부 실시예들에서, 데이터 스토어(140)에 저장된 데이터의 하나 이상의 부분들은 사용자에게 알려지지 않은 암호화 메커니즘을 사용하여 암호화될 수 있다(예컨대, 데이터는 개인 암호화 키를 사용하여 암호화됨). 다른 또는 유사한 실시예들에서, 데이터 스토어(140)는, 사용자가 액세스 불가능한 데이터가 하나 이상의 제1 데이터 스토어들에 저장되고 사용자가 액세스 가능한 데이터가 하나 이상의 제2 데이터 스토어들에 저장되는, 다중 데이터 스토어들을 포함할 수 있다.
[0028] 일부 실시예들에서, 예측 시스템(110)은 서버 기계(170) 및/또는 서버 기계(180)를 포함할 수 있다. 서버 기계(170)는 기계 학습 모델(190)을 훈련, 유효성 검증(validate) 및/또는 테스트하기 위해 훈련 데이터 세트들(예컨대, 데이터 입력들의 세트 및 타겟 출력들의 세트)를 생성할 수 있는 훈련 세트 생성기(172)를 포함한다. 예컨대, 훈련 세트 생성기(172)는 본원에서 제공된 실시예들에 따라 제조 장비(124)에서 웨이퍼에 대해 수행될 프로세스에 대한 프로세스 레시피 설정들을 예측하기 위해 기계 학습 모델(190)을 훈련, 유효성 검증 및/또는 테스트하기 위한 훈련 세트들을 생성할 수 있다.
[0029] 일부 실시예들에서, 훈련 세트 생성기(172)는 제조 장비(124)에서 수행되는 하나 이상의 이전 웨이퍼 프로세스들과 연관된 과거 센서, 계측 및/또는 맥락 데이터에 기초하여 기계 학습 모델(190)에 대한 훈련 세트들을 생성할 수 있다. 부가적인 또는 대안적인 실시예들에서, 훈련 세트 생성기(172)는 제조 장비(124)의 디지털 복제물 모델(예컨대, 디지털 트윈)에 의해 생성된 예측 또는 시뮬레이팅된 센서, 계측 및/또는 맥락 데이터에 기초하여 기계 학습 모델(190)에 대한 훈련 세트들을 생성할 수 있다. 디지털 복제 모델(본원에서 디지털 복제물로서 또한 지칭됨)은 일부 실시예들에서 제조 장비(124)를 시뮬레이팅하는 알고리즘 모델일 수 있다.
[0030] 일부 실시예들에서, 디지털 표현 서버(160)는 제조 장비(124)의 디지털 복제물일 수 있다. 디지털 표현 서버(160)는 제조 장비(124)가 동작하는 방법의 역학 및/또는 물리적 엘리먼트들의 가상 표현을 생성하기 위해 지도 기계 학습, 준지도 학습, 비지도 기계 학습 또는 이들의 임의의 조합을 사용할 수 있다. 디지털 표현 서버(160)는 센서들(126)로부터의 주기적인 업데이트들 및/또는 제조 장비(124)의 디지털 복제물 데이터를 생성 및 유지하는 것과 연관된 데이터, 이를테면, 센서 데이터, 성능 데이터(예컨대, 제조 장비(124)의 하나 이상의 컴포넌트들의 효율성, 레이턴시, 처리량 등과 연관된 데이터), 라이브러리 데이터 등을 사용한 강화 학습을 통해 업데이트될 수 있다. 일부 실시예들에서, 디지털 표현 서버(160)는 제조 장비(124)의 프로세스 챔버의 물리적 요소들 및 역학과 연관된 프로세싱 챔버 모델(162)을 포함할 수 있다.
[0031] 디지털 표현 서버(160)는 제조 장비(124)가 현재 또는 시뮬레이팅된 파라미터들에 기초하여 어떻게 수행하는지 결정하는 데 사용되는 시뮬레이션 데이터를 생성할 수 있다. 일부 실시예들에서, 시뮬레이션 데이터는 데이터 스토어(140)에 저장될 수 있다. 일부 실시예들에서, 시뮬레이션 데이터는 프로세스 챔버에서 웨이퍼에 대한 웨이퍼 프로세스와 연관된 하나 이상의 프로세스 레시피 설정들을 포함할 수 있다. 시뮬레이션 데이터는 또한 제조 장비(124)의 디지털 복제물의(예컨대, 데이터 스토어(140)의 현재 센서 데이터를 사용하여 생산된 또는 생산될 제품들의) 예측된 특성 데이터 및/또는 예측된 계측 데이터(예컨대, 가상 계측 데이터)를 포함할 수 있다. 시뮬레이션 데이터는 또한 비정상들(예컨대, 비정상 제품들, 비정상 컴포넌트들, 비정상 제조 장비(124), 비정상 에너지 사용 등)의 표시 및 비정상들의 하나 이상의 원인들을 포함할 수 있다. 시뮬레이션 데이터는 제조 장비(124) 컴포넌트의 수명의 종료의 표시를 더 포함할 수 있다. 시뮬레이션 데이터는 제조 장비(124)의 모든 각각의 기계적 및/또는 전기적 양상을 커버하여 전부 포괄적일 수 있다.
[0032] 위에서 설명된 바와 같이, 훈련 세트 생성기(172)는 디지털 표현 서버(160)로부터 획득된 예측 또는 시뮬레이팅된 데이터에 기초하여 모델(190)에 대한 훈련 데이터를 생성할 수 있다. 예컨대, 훈련 세트 생성기(172)는 하나 이상의 프로세스 레시피 설정들의 세트들을 생성하고, 프로세스 레시피 설정들의 세트들을 디지털 표현 서버(160)에 제공하여, 프로세스 챔버 모델(162)을 사용하여 제조 장비(124)의 프로세스 챔버에서 프로세스를 시뮬레이팅할 수 있다. 일부 실시예들에서, 프로세스 챔버 모델(162)에 의해 출력된 데이터는 프로세스 챔버 환경의 제1 공간과 프로세스 챔버 환경의 제2 공간 사이의 압력 차이를 포함할 수 있다. 프로세스 챔버 환경의 제1 공간은 웨이퍼의 최상부 표면과 프로세스 챔버의 천장(예컨대, 덮개, 윈도우 등) 사이의 공간을 포함할 수 있다. 프로세스 챔버 환경의 제2 공간은 시뮬레이팅된 웨이퍼 프로세스 동안 웨이퍼를 지지하는 웨이퍼 지지 조립체의 최상부 표면과 웨이퍼의 최하부 표면 사이의 공간을 포함할 수 있다. 부가적인 또는 대안적인 실시예들에서, 프로세스 챔버 모델(162)에 의해 출력된 데이터는 웨이퍼 프로세스의 초기 기간과 웨이퍼 프로세스의 최종 기간 사이의 웨이퍼의 온도의 변화 레이트(램핑 레이트로서 지칭됨)와 연관된 데이터를 포함할 수 있다. 일부 실시예들에서, 훈련 세트 생성기(172)는 훈련 데이터(예컨대, 물리적 프로세스에 대한 데이터 및/또는 시뮬레이팅된 데이터)를 훈련 세트, 유효성 검증 세트 및 테스트 세트로 파티셔닝할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 훈련 데이터의 다수 세트들을 생성한다. 훈련 세트 생성기(172)의 일부 동작들은 도 2와 관련하여 아래에서 자세히 설명된다.
[0033] 서버 기계(180)는 훈련 엔진(182), 유효성 검증 엔진(184), 선택 엔진(186) 및/또는 테스트 엔진(188)을 포함할 수 있다. 엔진은 하드웨어(예컨대, 회로부, 전용 로직, 프로그래밍 가능 로직, 마이크로코드, 프로세싱 디바이스 등), 소프트웨어(이를테면, 프로세싱 디바이스, 범용 컴퓨터 시스템, 또는 전용 기계 상에서 실행되는 명령들), 펌웨어, 마이크로코드 또는 이들의 조합을 지칭할 수 있다. 훈련 엔진(182)은 기계 학습 모델(190)을 훈련할 수 있을 수 있다. 기계 학습 모델(190)은 훈련 입력들 및 대응하는 타겟 출력들(개개의 훈련 입력들에 대한 정답)을 포함하는 훈련 데이터를 사용하여 훈련 엔진(182)에 의해 생성된 모델 아티팩트를 지칭할 수 있다. 훈련 엔진(182)은 훈련 입력을 타겟 출력(예측되는 답변)에 매핑하는 훈련 데이터의 패턴들을 찾고 이러한 패턴들을 캡처하는 기계 학습 모델(190)을 제공할 수 있다. 기계 학습 모델(190)은 분류, SVM(support vector machine), RBF(Radial Basis Function), 클러스터링, 지도 기계 학습, 준지도 기계 학습, 비지도 기계 학습, k-NN(k-nearest neighbor) 알고리즘, 선형 회귀, 로지스틱 회귀, 랜덤 포레스트, 신경망(예컨대, 인공 신경망) 등 중 하나 이상을 이용할 수 있다.
[0034] 유효성 검증 엔진(184)은 훈련 세트 생성기(172)로부터의 유효성 검증 세트의 특징들의 대응하는 세트를 사용하여, 훈련된 기계 학습 모델(190)을 유효성 검증할 수 있을 수 있다. 유효성 검증 엔진(184)은 유효성 검증 세트의 특징들의 대응하는 세트들에 기초하여, 훈련된 기계 학습 모델들(190) 각각의 정확도를 결정할 수 있다. 유효성 검증 엔진(184)은 임계 정확도를 충족하지 않는 정확도를 갖는 훈련된 기계 학습 모델(190)을 폐기할 수 있다. 일부 실시예들에서, 선택 엔진(186)은 임계 정확도를 충족하는 정확도를 갖는 훈련된 기계 학습 모델(190)을 선택할 수 있을 수 있다. 일부 실시예들에서, 선택 엔진(186)은 훈련된 기계 학습 모델들(190)의 최고 정확도를 갖는 훈련된 기계 학습 모델(190)을 선택할 수 있을 수 있다.
[0035] 테스트 엔진(188)은 데이터 세트 생성기(172)로부터의 테스트 세트의 특징들의 대응하는 세트를 사용하여, 훈련된 기계 학습 모델(190)을 테스트할 수 있을 수 있다. 예컨대, 훈련 세트의 특징들의 제1 세트를 사용하여 훈련된 제1 훈련된 기계 학습 모델(190)은 테스트 세트의 특징들의 제1 세트를 사용하여 테스트될 수 있다. 테스트 엔진(186)은 테스트 세트들에 기초하여 모든 훈련된 기계 학습 모델들 중 최고 정확도를 갖는 훈련된 기계 학습 모델(190)을 결정할 수 있다.
[0036] 예측 서버(112)는 제조 장비(124)에서 프로세싱될 현재 기판에 대한 하나 이상의 프로세스 레시피 설정들 및/또는 결함들과 관련된 데이터(예컨대, 결함들의 위험의 추정 또는 예상된 결함 밀도 또는 카운트)를 제공할 수 있는 예측 컴포넌트(114)를 포함한다. 도 6과 관련하여 아래에서 자세히 설명되는 바와 같이, 일부 실시예들에서, 예측 컴포넌트(114)는 모델(190)에 대한 입력으로서 기판에 대해 수행될 기판 프로세스에 대한 프로세스 레시피와 연관된 데이터를 제공하고 모델(190)의 하나 이상의 출력들을 획득할 수 있다. 일부 실시예들에서, 프로세스 레시피와 연관된 데이터는 프로세스 레시피에 대해 수행될 하나 이상의 동작들의 표시 및 기판 프로세스의 최종 기간에서 기판에 대한 타겟 온도를 포함할 수 있다. 프로세스 레시피 데이터는 일부 실시예들에서 기판 프로세스 동안 적용될 하나 이상의 타겟 기판 프로세스 설정들을 포함할 수 있다. 예측 서버(112)는 모델(190)의 하나 이상의 출력들에 기초하여 기판에 대한 타겟 온도 및/또는 하나 이상의 동작들에 대응하는 프로세스 레시피 설정들의 세트일 수 있다. 프로세스 레시피 설정들의 결정 세트가 신뢰도 기준 레벨을 만족한다는 결정에 대한 응답으로, 예측 서버(112)는 결정된 프로세스 레시피 설정들에 따라 프로세스 챔버에서 기판에 대해 기판 프로세스가 수행되게 할 수 있다.
[0037] 일부 실시예들에서, 예측 서버(112)는 하나 이상의 타겟 기판 프로세스 레시피 설정들에 대한 제안된 수정으로서 하나 이상의 프로세스 레시피 설정들의 표시를 클라이언트 디바이스(120)에 송신할 수 있다. 클라이언트 디바이스(120)는 클라이언트 디바이스(120)의 GUI를 통해 타겟 기판 프로세스 레시피 설정에 대한 제안된 수정들을 디스플레이할 수 있다. 시스템(100)의 사용자(예컨대, 오퍼레이터, 엔지니어, 개발자 등)는 클라이언트 디바이스(120)의 GUI의 하나 이상의 엘리먼트들과 상호작용하여 모델(190)의 출력으로부터 획득된 하나 이상의 프로세스 레시피 설정들에 따라 기판에 대해 기판 프로세스가 개시되거나 개시되지 않게 한다.
[0038] 클라이언트 디바이스(120), 제조 장비(124), 데이터 스토어(140), 디지털 표현 서버(160), 예측 서버(112), 서버 기계(170) 및 서버 기계(180)는 네트워크(130)를 통해 서로 커플링될 수 있다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)에 예측 서버(112), 데이터 스토어(140) 및 다른 공개적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 공용 네트워크이다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)가 제조 장비(124), 데이터 스토어(140), 디지털 표현 서버(160), 예측 서버(112) 및 다른 개인적으로 이용 가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 사설 네트워크이다. 네트워크(130)는 하나 이상의 WAN(wide area network)들, LAN(local area network)들, 유선 네트워크들(예컨대, 이더넷 네트워크), 무선 네트워크들(예컨대, 802.11 네트워크 또는 Wi-Fi 네트워크), 셀룰러 네트워크들(예컨대, LTE(Long Term Evolution) 네트워크), 라우터들, 허브들, 스위치들, 서버 컴퓨터들, 클라우드 컴퓨팅 네트워크들 및/또는 이들의 조합을 포함할 수 있다.
[0039] 일부 다른 구현들에서, 디지털 표현 서버(160), 예측 서버(112)뿐만 아니라 서버 기계들(170 및 180)의 기능들은 더 적은 수의 기계들에 의해 제공될 수 있다는 것이 주의되어야 한다. 예컨대, 일부 실시예들에서, 디지털 표현 서버(160), 서버 기계(170) 및/또는 서버 기계(180)는 단일 기계로 통합될 수 있는 반면, 일부 다른 또는 유사한 실시예들에서, 디지털 표현 서버(160), 서버 기계(170) 및/또는 서버 기계(180)뿐만 아니라 예측 서버(112)가 단일 기계로 통합될 수 있다.
[0040] 일반적으로, 디지털 표현 서버(160), 서버 기계(170), 서버 기계(180) 및/또는 예측 서버(112)에 의해 수행되는 것으로 일 구현에서 설명된 기능들은 또한 클라이언트 디바이스(120) 상에서 수행될 수 있다. 또한, 특정 컴포넌트에 귀속된 기능성은 함께 동작하는 상이한 또는 다수의 컴포넌트들에 의해 수행될 수 있다.
[0041] 실시예들에서, "사용자"는 단일 개인으로서 표현될 수 있다. 그러나, 본 개시내용의 다른 실시예들은 복수의 사용자들 및/또는 자동화된 소스에 의해 제어되는 엔티티인 "사용자"를 포함한다. 예컨대, 관리자들의 그룹으로서 연합된 개별 사용자들의 세트는 "사용자"로 간주될 수 있다.
[0042] 도 2는 본 개시내용의 양상들에 따라 프로세스 레시피들을 생성하기 위해 결함 모델들을 사용하기 위한 시스템(200)의 다이어그램이다. 도시된 바와 같이, 시스템(200)은 기계 학습 섹션(210)을 포함한다. 기계 학습 섹션(210)은 훈련된 결함 모델들의 세트(214)를 생성하기 위해 입력 훈련 데이터(220)를 수신하는 결함 모델 훈련 컴포넌트(212)를 포함할 수 있다. 훈련된 결함 모델들의 세트(214)는 개개의 결함 유형에 각각 대응하는 하나 이상의 훈련된 결함 모델들을 포함할 수 있다. 결함은 임의의 원치않는 온-웨이퍼 조건 또는 피처(예컨대, 입자들, 오염)로서 정의될 수 있다.
[0043] 입력 훈련 데이터(220)는, 예컨대, 실험 데이터의 세트 및/또는 전문 지식의 세트를 포함할 수 있다. 전문 지식의 세트는 하나 이상의 전문 소스들로부터 수집된 데이터를 포함할 수 있다. 전문 소스들의 예들은 문헌, 인-하우스 전문 지식, 전문가 직관 등을 포함한다.
[0044] 예컨대, 실험 데이터의 세트는 물리 모델 데이터 세트를 포함할 수 있다. 물리 모델 데이터 세트는 하나 이상의 물리 기반 모델들을 포함할 수 있다. 실험 데이터의 세트는 구조화된 실험들로부터의 데이터(구조화된 실험 데이터) 및/또는 구조화되지 않은 실험들로부터의 데이터(구조화되지 않은 실험 데이터)를 포함할 수 있다. 구조화된 실험 데이터는 정의된 구조(예컨대, 수학적 구조)에 기초하여 획득된 실험 데이터를 지칭하는 반면, 구조화되지 않은 실험 데이터는 정의된 구조에 기초하여 획득되지 않은(예컨대, 출판물들과 같은 외부 소스들로부터의) 실험 데이터를 지칭한다.
[0045] 예컨대, 구조화된 실험 데이터는 DoE(Design of Experiment) 기법들을 사용하여 획득된 DoE 데이터를 포함할 수 있다. 예컨대, DoE 기법들은 변하는 레시피 파라미터를 고려하여 웨이퍼 감도를 검출하는 데 사용될 수 있다. DoE는 변동이 존재하는 임의의 정보 수집 활동의 설계이며 DoE 분석은 DoE의 실행으로부터 생성된 데이터(즉, DoE 데이터)의 분석이다. 일부 구현들에서, DOE 데이터는 레시피 파라미터들, 레시피 파라미터 값들 및 측정들(예컨대, 웨이퍼 측정들)을 포함한다. 예컨대, 5개의 레시피 파라미터들이 변동될 수 있는 DoE 분석의 경우, 5개의 레시피 파라미터들 각각이 각각의 실험에 대한 미리 결정된 값들에 따라 변동되는 다수의 실험들을 실행함으로써 DoE가 수행될 수 있다. 그 후 각각의 실험으로부터의 웨이퍼들은 다양한 로케이션들에서 측정되고 그의 대응하는 레시피 파라미터들과 연관될 수 있다. 감도 값들은 실험들 각각에서 레시피 파라미터들의 변동을 각각의 측정된 로케이션으로부터의 측정들의 변동과 비교함으로써 계산될 수 있다. 그 후 감도 값들은 일반적으로 평균화되어 특정 레시피 파라미터에 대한 웨이퍼의 평균 감도를 결정한다. 감도는 웨이퍼 전체에 걸쳐 평균화된 방사형 감도 값들에 대응하여 계산될 수 있다.
[0046] 실험 데이터의 세트는 입력들에 대응하는 예측자들의 세트 및 출력들에 대응하는 응답들의 세트를 포함할 수 있다. 예컨대, 예측자들은 레시피 설정들, 센서 데이터 또는 이들의 조합들일 수 있다. 응답들은 하나 이상의 훈련된 결함 모델들에 대응하는 하나 이상의 결함 유형들을 포함할 수 있다.
[0047] 일부 실시예들에서, 입력 훈련 데이터(220)는 기계 학습 모델들을 훈련하기에 적합한 포맷으로 수신되지 않는다. 이를 해소하기 위해, 결함 모델 훈련 컴포넌트(212)는 입력 훈련 데이터(220)를 훈련된 결함 모델들의 세트(214)를 생성하기 위한 기계 학습 포맷을 갖는 결함 모델 훈련 데이터로 변환할 수 있다. 일부 실시예들에서, 입력 훈련 데이터(220)는 결함 모델 훈련 데이터로서 기계 학습 포맷으로 수신된다.
[0048] 아래에서 더 자세히 설명될 바와 같이, 훈련된 결함 모델들의 세트(214)의 각각의 훈련된 결함 모델은 전자 디바이스 제조와 관련된 프로세스 동안 그의 대응하는 결함 유형의 결함들을 모델링하는 데 사용될 수 있다. 예컨대, 훈련된 결함 모델은 웨이퍼 프로세싱 동안 그의 대응하는 결함 유형의 결함들을 모델링하는 데 사용될 수 있다. 일부 실시예들에서, 훈련된 결함 모델은 회귀 유형 방법들(예컨대, 신경망들, 일반화된 선형 모델들)을 사용하여 예상된 결함 카운트를 추정하는 데 사용된다. 일부 실시예들에서, 훈련된 결함 모델은 결함의 확률(예컨대, 신경망 분류기들, 로지스틱 회귀)에 기초하여 입력 구역들을 분류하는 데 사용될 수 있다. 입력 훈련 데이터(220)를 수신하고 훈련된 결함 모델들의 세트(214)를 생성하는 것에 관한 추가 세부사항들은 도 3 내지 도 5를 참조하여 아래에서 더 자세히 설명될 것이다.
[0049] 기계 학습 섹션(210)은 훈련된 결함 모델 추론 컴포넌트(216)를 더 포함할 수 있다. 훈련된 결함 모델 추론 컴포넌트는 훈련된 결함 모델들의 세트(214) 및 입력 추론 데이터(230)를 수신하고, 입력 추론 데이터(230)에 기초하여 훈련된 결함 모델들의 세트(214)를 사용하여 추론을 수행하여 추론 출력(218)을 생성할 수 있다. 실험 데이터 지점들 간의 보간을 가능하게 하기 위해 추론이 수행될 수 있다.
[0050] 입력 추론 데이터(230)는 결함 모델 유형 및 사용 사례에 의해 정의된 프로세스 레시피에 대한 레시피 설정들의 세트, 센서 데이터, 재료 데이터, 장비 관련 정보 등 중 하나 이상을 포함할 수 있다. 추론 출력(218)은 낮은 결함 카운트들을 가질 가능성이 있는 레시피 조건들에 대한 지침으로서 역할을 할 수 있다. 부가적으로 또는 대안적으로, 추론 출력(218)은 결함 확률을 최소화하면서, 원하는 웨이퍼 상의 조건(on-desired wafer condition)들을 산출하는 레시피 조건들을 찾기 위해 수치 최적화 루틴들과 조합하여 사용될 수 있다.
[0051] 예컨대, 일부 실시예들에서, 입력 추론 데이터(230)는 프로세스 레시피에 대한 레시피 설정들의 세트를 포함하고, 추론 출력(218)은 레시피 설정들의 세트를 고려하는 하나 이상의 결함 유형들 각각에 대한 추정된 결함 카운트 및/또는 레시피 설정들을 고려하는 하나 이상의 결함 유형들 각각이 성능에 영향을 미칠 확률을 포함한다.
[0052] 일부 실시예들에서, 입력 추론 데이터(230)는 레시피 설정들의 세트 및 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트를 포함하고, 추론 출력(218)은 레시피 설정들의 세트를 고려하는 하나 이상의 결함 유형들 각각에 대한 추정된 결함 카운트 및/또는 레시피 설정들을 고려하는 하나 이상의 결함 유형들 각각이 성능에 영향을 미칠 확률을 최소화하는 레시피 설정들의 제한된 세트를 포함한다.
[0053] 일부 실시예들에서, 입력 추론 데이터(230)는 원하는 특성들의 세트를 포함하고, 추론 출력(218)은 레시피 설정들의 세트를 고려하는 하나 이상의 결함 유형들 각각에 대한 추정된 결함 카운트 및/또는 레시피 설정들을 고려하는 하나 이상의 결함 유형들 각각이 성능에 영향을 미칠 확률을 최소화하면서, 원하는 특성들의 세트를 달성하는 레시피 설정들의 세트를 포함한다. 예컨대, 원하는 특성들의 세트는 프로세스의 성능으로 인한 성능 목표들의 세트(예컨대, 웨이퍼 프로세스로 인한 온-웨이퍼 성능 목표들)를 포함할 수 있다.
[0054] 입력 추론 데이터(230)를 수신하고 추론 출력(218)을 생성하는 것에 관한 추가 세부사항들은 도 3을 참조하여 아래에서 더 자세히 설명될 것이다. 시스템(200)은 레시피 생성 컴포넌트(240)를 더 포함할 수 있다. 레시피 생성 컴포넌트(240)는 추론 출력(218)을 수신하고 추론 출력에 기초하여 레시피 설정들을 갖는 레시피(250)를 생성한다. 레시피 설정들은 레시피 파라미터들의 세트 및 레시피 단계들의 세트를 포함할 수 있다. 예컨대, 레시피 설정들은 목표들의 세트를 달성하기 위한 하나 이상의 관련 레시피 파라미터들을 포함할 수 있다. 시스템(200)은 레시피(250)를 사용하여 프로세싱된 웨이퍼(280)를 생성하기 위해 도구/챔버(270)에 의해 수용되는 프로세싱되지 않은 기판 또는 웨이퍼(260)를 더 포함할 수 있다. 도구/챔버(270)의 프로세싱으로부터의 피드백은 레시피(250)를 추가로 튜닝하는 데 사용될 수 있다. 웨이퍼가 도시되지만, 임의의 적합한 컴포넌트가 본원에서 설명된 실시예들에 따라 프로세싱될 수 있다. 레시피 생성 컴포넌트(240) 및 레시피(250)에 의해 수행되는 동작들에 관한 추가 세부사항들은 도 3을 참조하여 아래에서 더 자세히 설명될 것이다.
[0055] 설명의 단순화를 위해, 본원에서 설명된 방법들은 일련의 액트들로서 묘사되고 설명된다. 그러나, 본 개시내용에 따른 액트들은 다양한 순서들로 그리고/또는 동시에, 그리고 본원에서 제시 및 설명되지 않은 다른 액트들과 함께 발생할 수 있다. 더욱이, 개시된 청구 대상에 따라 방법들을 구현하기 위해 예시된 모든 액트들이 수행되진 않을 수 있다. 또한, 당업자들은 방법들이 상태도 또는 이벤트들을 통해 일련의 상호 관련된 상태들로서 대안적으로 표현될 수 있다는 것을 이해하고 인식할 것이다. 부가적으로, 본 명세서에 개시된 방법들은 그러한 방법들을 컴퓨팅 디바이스들로 운송 및 이송하는 것을 용이하게 하기 위해 제조 물품 상에 저장될 수 있다는 것이 인지되어야 한다. 본 명세서에서 사용된 바와 같이, "제조 물품"이라는 용어는 임의의 컴퓨터-판독 가능 디바이스 또는 저장 매체들로부터 액세스 가능한 컴퓨터 프로그램을 포괄하는 것으로 의도된다.
[0056] 도 3은 본 개시내용의 양상들에 따라 프로세스 레시피를 생성하기 위해 적어도 하나의 훈련된 결함 모델을 사용하는 방법의 흐름도이다. 방법(300)은 하드웨어(회로부, 전용 로직 등), 소프트웨어(이를테면, 범용 컴퓨터 시스템 또는 전용 기계에서 실행됨), 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 일 구현에서, 방법(300)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(300)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다. 일부 양상들에서, 방법(400)의 하나 이상의 동작들은 서버 기계(170)의 훈련 세트 생성기(172)에 의해 수행될 수 있다.
[0057] 블록(310)에서, 프로세싱 로직은 전자 디바이스 제조와 관련된 프로세스와 연관된 훈련 입력 데이터, 및 훈련 입력 데이터에 대한 타겟 출력 데이터를 수신한다. 예컨대, 훈련 입력 데이터는 실험 데이터의 세트(예컨대, 지도 및/또는 비지도 실험 데이터), 물리 모델들의 세트, 전문 지식의 세트 등 중 하나 이상을 포함할 수 있다. 타겟 출력 데이터는 결함 유형들의 세트를 식별한다.
[0058] 블록(320)에서, 프로세싱 로직은 기계 학습 모델들의 세트를 훈련하기 위해 훈련 입력 데이터 및 타겟 출력 데이터를 제공한다. 기계 학습 모델들의 세트의 각각의 기계 학습 모델은 결함 유형들의 세트 중 적어도 하나의 결함 유형에 대해 결함 영향을 식별하기 위해 훈련된다. 예컨대, 기계 학습 모델들의 세트는 회귀 모델, 분류자 모델 등 중 하나 이상을 포함할 수 있다. 따라서 각각의 기계 학습 모델은 결함 모델로서 지칭될 수 있다.
[0059] 일부 실시예들에서, 훈련 입력 데이터는 기계 학습 모델들을 훈련하기에 적합한 포맷으로 수신되지 않는다. 이를 해소하기 위해, 훈련 입력 데이터를 제공하는 것은 훈련 입력 데이터를 기계 학습 포맷을 갖는 결함 모델 훈련 데이터로 변환하는 것을 포함할 수 있다. 입력 훈련 데이터를 결함 모델 훈련 데이터로 변환하는 것에 대한 추가 세부사항들은 도 4를 참조하여 아래에서 설명된다. 대안적으로, 훈련 입력 데이터는 블록(310)에서 적합한 기계 학습 포맷으로 수신될 수 있다.
[0060] 블록(330)에서, 프로세싱 로직은 훈련 입력 데이터 및 타겟 출력 데이터에 기초하여 기계 학습 모델들의 세트의 각각의 기계 학습 모델을 훈련한다. 일부 실시예들에서, 기계 학습 모델들의 세트의 각각의 기계 학습 모델을 훈련하는 것은 하나 이상의 초기 훈련된 기계 학습 모델들을 획득하는 것, 그리고 하나 이상의 초기 훈련된 기계 학습 모델들을 튜닝하는 것을 포함한다. 미세 튜닝하고 이에 따라 하나 이상의 초기 훈련된 기계 학습 모델들의 성능을 개선하기 위해 튜닝이 수행될 수 있다. 이들 실시예에 관한 추가 세부사항들은 도 5를 참조하여 아래에서 설명될 것이다.
[0061] 블록(340)에서, 프로세싱 로직은 기계 학습 모델들의 세트로부터 선택된 기계 학습 모델, 및 선택된 기계 학습 모델에 대한 입력으로서 프로세스와 연관된 데이터를 수신한다. 일부 실시예들에서, 프로세스와 연관된 데이터는 프로세스 레시피 데이터를 포함한다. 예컨대, 프로세스 레시피 데이터는 프로세스 레시피에 대한 레시피 설정들의 세트를 포함할 수 있다. 일부 실시예들에서, 프로세스와 연관된 데이터는 센서 데이터를 포함한다.
[0062] 블록(350)에서, 프로세싱 로직은 선택된 기계 학습 모델에 프로세스와 연관된 데이터를 적용함으로써 출력을 획득한다. 출력은 적어도 하나의 결함 유형과 관련된 결함 영향을 나타낼 수 있다.
[0063] 일부 실시예들에서, 프로세스와 연관된 데이터는 프로세스 레시피에 대한 레시피 설정들의 세트를 포함하고, 출력은 레시피 설정들의 세트를 고려하는 하나 이상의 결함 유형들 각각에 대한 추정된 결함 카운트 및/또는 레시피 설정들을 고려하는 하나 이상의 결함 유형들 각각이 성능에 영향을 미칠 확률을 포함한다.
[0064] 일부 실시예들에서, 프로세스와 연관된 데이터는 레시피 설정들의 세트 및 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트를 포함하고, 출력은 레시피 설정들의 세트를 고려하는 하나 이상의 결함 유형들 각각에 대한 추정된 결함 카운트 및/또는 레시피 설정들을 고려하는 하나 이상의 결함 유형들 각각이 성능에 영향을 미칠 확률을 최소화하는 레시피 설정들의 제한된 세트를 포함한다.
[0065] 일부 실시예들에서, 프로세스와 연관된 데이터는 원하는 특성들의 세트를 포함하고, 출력은 레시피 설정들의 세트를 고려하는 하나 이상의 결함 유형들 각각에 대한 추정된 결함 카운트 및/또는 레시피 설정들을 고려하는 하나 이상의 결함 유형들 각각이 성능에 영향을 미칠 확률을 최소화하면서, 원하는 특성들의 세트를 달성하는 레시피 설정들의 세트를 포함한다. 예컨대, 원하는 특성들의 세트는 프로세스의 성능으로 인한 성능 목표들의 세트(예컨대, 웨이퍼 프로세스로 인한 온-웨이퍼 성능 목표들)를 포함할 수 있다.
[0066] 출력은 현재 레시피 파라미터들 또는 입력들을 고려하여 프로세싱을 수행하기 위한 결함들을 표시(예컨대, 예측)하는 데 사용될 수 있다. 예컨대, 표시는 바람직하지 않은 결함 카운트를 예상하는 확률에 대응할 수 있다. 부가적으로 또는 대안적으로, 출력은 결함들의 확률을 감소시키거나 그렇지 않으면 결함들에 대해 고위험 프로세스로부터 저위험 프로세스로 프로세스를 이동시키는 데 사용될 수 있는 레시피 입력들의 잠재적인 조합들을 표시할 수 있다. 예컨대, 출력은 레시피에 이미 나열된 하나 이상의 입력들을 수정(예컨대, 증가 또는 감소)하거나, 레시피에 하나 이상의 새로운 입력들을 추가하는 등을 제안할 수 있다.
[0067] 블록(360)에서, 프로세싱 로직은 출력을 고려하여 결함 영향을 참작하는 프로세스를 수행하기 위한 프로세스 레시피를 생성한다. 프로세스 레시피는 전자 디바이스와 연관된 컴포넌트를 프로세싱하기 위한 레시피 설정들을 포함할 수 있다. 예컨대, 프로세스 레시피는 웨이퍼를 프로세싱하는 데 사용되는 레시피일 수 있다. 레시피 설정들은 레시피 파라미터들의 세트와 레시피 단계들의 세트를 포함할 수 있다. 예컨대, 레시피 설정들은 목표들의 세트를 달성하기 위한 하나 이상의 관련 레시피 파라미터들을 포함할 수 있다.
[0068] 블록(370)에서, 프로세싱 로직은 프로세스 도구가 프로세스 레시피를 사용하여 프로세스를 수행하게 한다. 프로세스 도구는 컴포넌트를 프로세싱하는 데 사용되는 임의의 도구, 챔버 등이 될 수 있다. 예컨대, 프로세스 도구는 웨이퍼를 프로세싱할 수 있다. 프로세싱으로부터의 피드백은 레시피(예컨대, 레시피 설정들)를 추가로 튜닝하는 데 사용될 수 있다.
[0069] 도 4는 본 개시내용의 양상들에 따라, 기계 학습 모델들의 세트를 훈련하는 데 사용되는 결함 모델 훈련 데이터를 획득하기 위한 방법(400)의 흐름도이다. 방법(400)은 하드웨어(회로부, 전용 로직 등), 소프트웨어(이를테면, 범용 컴퓨터 시스템 또는 전용 기계에서 실행됨), 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 일 구현에서, 방법(400)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(400)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다. 일부 양상들에서, 방법(400)의 하나 이상의 동작들은 서버 기계(170)의 훈련 세트 생성기(172)에 의해 수행될 수 있다.
[0070] 블록(410)에서, 프로세싱 로직은 훈련 입력 데이터를 수신한다. 훈련 입력 데이터는 도 2 및 도 3을 참조하여 위에서 설명된 훈련 입력과 유사할 수 있다. 이 예에서, 입력 훈련 데이터는 기계 학습 모델들을 훈련하기에 적합한 포맷으로 수신되지 않는다고 가정된다.
[0071] 이를 해소하기 위해, 블록(420)에서, 프로세싱 로직은 훈련 입력 데이터를 결함 모델 훈련 데이터로 변환한다. 결함 모델 훈련 데이터는 기계 학습 모델을 훈련하는 데 사용될 수 있는 적합한 기계 학습 포맷을 갖는다. 예컨대, 훈련 입력 데이터를 결함 모델 훈련 데이터로 변환하는 것은 기계 학습 파이프라인에서 사용하기 위해 훈련 입력 데이터를 기계 학습 포맷으로 번역(예컨대, 재코딩)하는 것을 포함할 수 있다.
[0072] 블록(430)에서, 프로세싱 로직은 기계 학습 모델들의 세트를 훈련하기 위해 결함 모델 훈련 데이터를 제공한다. 예컨대, 결함 모델 훈련 데이터에는 타겟 출력 데이터가 제공될 수 있다. 블록들(410-430)에 관한 추가 세부사항들은 도 2 및 도 3을 참조하여 위에서 설명된다.
[0073] 도 5는 본 개시내용의 양상들에 따라 적어도 하나의 훈련된 결함 모델을 생성하기 위해 적어도 하나의 초기 훈련된 결함 모델을 튜닝하기 위한 방법(500)의 흐름도이다. 방법(500)은 하드웨어(회로부, 전용 로직 등), 소프트웨어(이를테면, 범용 컴퓨터 시스템 또는 전용 기계에서 실행됨), 펌웨어, 또는 이들의 일부 조합을 포함할 수 있는 프로세싱 로직에 의해 수행된다. 일 구현에서, 방법(500)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(500)의 하나 이상의 동작들은 도면들에 묘사되지 않은 하나 이상의 다른 기계들에 의해 수행될 수 있다. 일부 양상들에서, 방법(600)의 하나 이상의 동작들은 예측 서버(112)에 의해 수행될 수 있다.
[0074] 블록(510)에서, 프로세싱 로직은 적어도 하나의 초기 훈련된 기계 학습 모델을 획득한다. 초기 훈련된 기계 학습 모델은 결함 유형들의 세트 중 적어도 하나의 결함 유형에 대해 결함 영향을 식별하기 위해 훈련될 수 있다. 예컨대, 초기 훈련된 기계 학습 모델은 회귀 모델, 분류자 모델 등이 될 수 있다. 따라서 초기 훈련된 기계 학습 모델은 초기 훈련된 결함 모델로서 지칭될 수 있다. 예컨대, 초기 훈련된 기계 학습 모델은 도 2 내지 도 4를 참조하여 위에서 설명된 바와 같이 훈련 입력 데이터 및 타겟 출력 데이터에 기초하여 생성될 수 있다.
[0075] 블록(520)에서, 프로세싱 로직은 튜닝 입력 데이터를 수신한다. 예컨대, 튜닝 입력 데이터는 유효성 검증 데이터를 포함할 수 있다. 유효성 검증 데이터는 초기 훈련된 기계 학습 모델을 획득하기 위해 수행된 훈련 동안 보류되었던 데이터를 포함한다.
[0076] 블록(530)에서, 프로세싱 로직은 입력 튜닝 데이터에 기초하여, 초기 훈련된 결함 모델을 튜닝하여 튜닝된 기계 학습 모델을 획득한다. 예컨대, 초기 훈련된 결함 모델을 튜닝하는 것은 보다 정확한 모델 결과를 달성하기 위해 초기 훈련된 결함 모델의 하나 이상의 파라미터들(예컨대, 하이퍼파라미터들)을 수정하는 것을 포함할 수 있다.
[0077] 일부 실시예들에서, 블록(520)에서 수신된 튜닝 입력 데이터는 초기 훈련된 기계 학습 모델을 튜닝하기에 적합한 기계 학습 포맷으로 제공되지 않는다. 이를 해소하기 위해, 초기 훈련된 기계 학습 모델을 튜닝하는 것은 튜닝 입력 데이터를 초기 훈련된 결함 모델을 튜닝하기 위한 기계 학습 포맷을 갖는 결함 모델 튜닝 데이터로 변환하는 것을 포함할 수 있다. 예컨대, 튜닝 입력 데이터를 결함 모델 튜닝 데이터로 변환하는 것은 기계 학습 파이프라인에서 사용하기 위해 튜닝 입력 데이터를 기계 학습 포맷으로 번역(예컨대, 재코딩)하는 것을 포함할 수 있다. 일부 실시예들에서, 블록(520)에서 수신된 튜닝 입력 데이터는 초기 훈련된 기계 학습 모델을 튜닝하기에 적합한 기계 학습 포맷으로 제공된다.
[0078] 도 6은 본 개시내용의 하나 이상의 양상들에 따라 동작하는 예시적인 컴퓨팅 디바이스(600)의 블록도를 묘사한다. 대안적인 실시예들에서, 기계는 LAN(Local Area Network), 인트라넷, 익스트라넷, 또는 인터넷에서 다른 기계들에 연결(예컨대, 네트워킹)될 수 있다. 기계는 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 기계로서 동작할 수 있거나, 또는 피어-투-피어(또는 분산) 네트워크 환경에서 피어 기계로서 동작할 수 있다. 기계는 PC(personal computer), 태블릿 컴퓨터, STB(set-top box), PDA(Personal Digital Assistant), 셀룰러 전화, 웹 기기, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 기계에 의해 행해질 액션들을 특정하는 명령들의 세트를 (순차적으로 또는 다른 방식으로) 실행할 수 있는 임의의 기계일 수 있다. 게다가, 단일 기계만이 예시되어 있지만, "기계"라는 용어는 또한, 본원에서 논의되는 방법론들 중 임의의 하나 이상을 수행하도록 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 집합적으로 실행하는 기계들(예컨대, 컴퓨터들)의 임의의 모음을 포함하는 것으로 이해될 것이다. 실시예들에서, 컴퓨팅 디바이스(600)는 도 1의 예측 서버(112) 또는 시스템(100)의 다른 프로세싱 디바이스에 대응할 수 있다.
[0079] 예시적인 컴퓨팅 디바이스(600)는, 프로세싱 디바이스(602), 메인 메모리(604)(예컨대, ROM(read-only memory), 플래시 메모리, DRAM(dynamic random access memory), 이를테면 SDRAM(synchronous DRAM) 등), 정적 메모리(606)(예컨대, 플래시 메모리, SRAM(static random access memory) 등), 및 보조 메모리(예컨대, 데이터 저장 디바이스(628))를 포함하고, 이들은 버스(608)를 통해 서로 통신한다.
[0080] 프로세싱 디바이스(602)는 마이크로프로세서, 중앙 프로세싱 디바이스 등과 같은 하나 이상의 범용 프로세서들을 표현할 수 있다. 보다 구체적으로, 프로세싱 디바이스(602)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스(602)는 또한, 하나 이상의 특수-목적 프로세싱 디바이스들, 이를테면, ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서 등일 수 있다. 프로세싱 디바이스(602)는 또한 SOC(system on chip), PLC(programmable logic controller), 또는 다른 유형의 프로세싱 디바이스이거나 이를 포함할 수 있다. 프로세싱 디바이스(602)는 본원에서 논의된 동작들 및 단계들을 수행하기 위한 프로세싱 로직을 실행하도록 구성된다.
[0081] 컴퓨팅 디바이스(600)는 네트워크(664)와 통신하기 위한 네트워크 인터페이스 디바이스(622)를 더 포함할 수 있다. 컴퓨팅 디바이스(600)는 또한, 비디오 디스플레이 유닛(610)(예컨대, LCD(liquid crystal display), 또는 CRT(cathode ray tube), 알파뉴메릭(alphanumeric) 입력 디바이스(612)(예컨대, 키보드), 커서 제어 디바이스(614)(예컨대, 마우스), 및 신호 생성 디바이스(620)(예컨대, 스피커)를 포함할 수 있다.
[0082] 데이터 저장 디바이스(628)는 기계-판독 가능 저장 매체(또는 더 구체적으로는, 비-일시적 컴퓨터-판독 가능 저장 매체)(624)를 포함할 수 있고, 그 기계-액세스가능 저장 매체 상에, 본원에서 설명되는 방법론들 또는 기능들 중 임의의 이상을 구체화하는 명령들의 하나 이상의 세트들(626)이 저장된다. 여기서 비-일시적 저장 매체는 반송파 이외의 저장 매체를 지칭한다. 명령들(626)은 또한, 컴퓨터 디바이스(600)에 의한 그 실행 동안 프로세싱 디바이스(602) 내에 그리고/또는 메인 메모리(604) 내에 완전히 또는 적어도 부분적으로 상주할 수 있고, 메인 메모리(604) 및 프로세싱 디바이스(602)는 또한, 기계-판독 가능 저장 매체들을 구성한다.
[0083] 컴퓨터-판독 가능 저장 매체(624)는 또한 모델(190) 및 모델(190)을 훈련하는 데 사용되는 데이터를 저장하는 데 사용될 수 있다. 컴퓨터-판독 가능 저장 매체(624)는 또한 모델(190)을 호출하는 방법들을 포함하는 소프트웨어 라이브러리를 저장할 수 있다. 컴퓨터-판독 가능 저장 매체(624)가 예시적인 실시예에서 단일 매체인 것으로 도시되지만, "컴퓨터-판독 가능 저장 매체"라는 용어는 명령들의 하나 이상의 세트들을 저장하는 단일 매체 또는 다수의 매체들(예컨대, 중앙 집중형 또는 분산형 데이터베이스 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 이해되어야 한다. "컴퓨터-판독 가능 저장 매체"라는 용어는 또한, 기계에 의한 실행을 위해 명령들의 세트를 저장 또는 인코딩할 수 있고, 기계로 하여금 본 개시내용의 방법론들 중 임의의 하나 이상을 수행하게 하는 임의의 매체를 포함하는 것으로 이해될 것이다. 그에 따라, 컴퓨터-판독 가능 저장 매체"라는 용어는 솔리드-스테이트 메모리들, 및 광학 및 자기 매체들을 포함하는(그러나 이에 제한되지는 않음) 것으로 이해될 것이다.
[0084] 이전의 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 기재한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이러한 특정 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 피하기 위해, 상세히 설명되지 않거나 간단한 블록 다이어그램 포맷으로 제시된다. 따라서, 기재된 특정 세부사항들은 단지 예시일 뿐이다. 특정한 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 개시내용의 범위 내에 있는 것으로 고려된다.
[0085] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소들에서의 어구 "일 실시예" 또는 "실시예"의 출현들 모두는 반드시 동일한 실시예를 지칭할 필요는 없다. 부가적으로, 용어 "또는"은 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하도록 의도된다. 용어 "약" 또는 "대략"이 본 명세서에서 사용될 때, 이는 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[0086] 본 명세서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있어서, 특정한 동작들이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령들 또는 하위-동작들은 간헐적이고 그리고/또는 교번적인 방식으로 이루어질 수 있다.
[0087] 위의 설명은 제한이 아니라 예시적인 것으로 의도된다는 것을 이해한다. 위의 설명을 판독 및 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는, 첨부된 청구항들이 권리를 가지는 등가물들의 전체 범위와 함께 그러한 청구범위들을 참조하여 결정되어야 한다.

Claims (20)

  1. 방법으로서,
    프로세싱 디바이스에 의해, 전자 디바이스 제조와 관련된 프로세스와 연관된 훈련 입력 데이터를 수신하는 단계 ― 상기 훈련 입력 데이터는 상기 프로세스와 관련된 실험 데이터의 세트를 포함함 ―;
    상기 프로세싱 디바이스에 의해, 상기 훈련 입력 데이터에 대한 타겟 출력 데이터를 획득하는 단계 ― 상기 타겟 출력 데이터는 결함 유형들의 세트를 식별함 ―; 및
    상기 프로세싱 디바이스에 의해, 기계 학습 모델들의 세트를 훈련하기 위해 상기 훈련 입력 데이터 및 상기 타겟 출력 데이터를 제공하는 단계를 포함하고, 상기 기계 학습 모델들의 세트의 각각의 기계 학습 모델은 상기 결함 유형들의 세트의 적어도 하나의 유형의 결함 유형에 관한 결함 영향을 식별하기 위해 훈련되는, 방법.
  2. 제1항에 있어서,
    상기 프로세싱 디바이스에 의해, 상기 훈련 입력 데이터를 상기 기계 학습 모델들의 세트를 훈련하기 위한 기계 학습 포맷을 갖는 결함 모델 훈련 데이터로 변환하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서,
    상기 프로세싱 디바이스에 의해, 상기 기계 학습 모델들의 세트로부터 초기 훈련된 기계 학습 모델을 수신하는 단계;
    상기 프로세싱 디바이스에 의해, 튜닝 입력 데이터를 수신하는 단계; 및
    튜닝된 기계 학습 모델을 획득하기 위해 상기 튜닝 입력 데이터에 기초하여, 상기 초기 훈련된 기계 학습 모델을 튜닝하는 단계를 더 포함하는, 방법.
  4. 제1항에 있어서,
    상기 프로세싱 디바이스에 의해, 상기 기계 학습 모델들의 세트로부터 선택된 기계 학습 모델을 수신하는 단계;
    상기 선택된 기계 학습 모델에 대한 입력으로서, 상기 프로세스와 연관된 데이터를 수신하는 단계; 및
    상기 프로세스와 연관된 데이터를 상기 선택된 기계 학습 모델에 적용함으로써 출력을 획득하는 단계를 더 포함하고, 상기 출력은 상기 적어도 하나의 결함 유형에 대한 결함 영향을 나타내는, 방법.
  5. 제4항에 있어서,
    상기 프로세스 레시피와 연관된 데이터는 프로세스 레시피에 대한 레시피 설정들의 세트를 포함하고, 상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 레시피 설정들을 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 포함하는, 방법.
  6. 제4항에 있어서,
    상기 프로세스 레시피와 연관된 데이터는 프로세스 레시피에 대한 레시피 설정들의 세트, 및 상기 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트를 포함하고; 그리고
    상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 레시피 설정들을 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 최소화하는 레시피 설정들의 제한된 세트를 포함하는, 방법.
  7. 제4항에 있어서,
    상기 프로세스 레시피와 연관된 데이터는 원하는 특성들의 세트를 포함하고; 그리고
    상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 레시피 설정들을 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 최소화하면서, 상기 원하는 특성들의 세트를 달성하는 레시피 설정들의 세트를 포함하는, 방법.
  8. 제4항에 있어서,
    상기 출력을 고려하여 상기 프로세싱 디바이스에 의해, 상기 적어도 하나의 결함 유형에 대한 결함 영향을 참작하는 프로세스를 수행하기 위한 프로세스 레시피를 생성하는 단계; 및
    상기 프로세싱 디바이스에 의해, 프로세스 도구가 상기 프로세스 레시피를 사용하여 상기 프로세스를 수행하게 하는 단계를 더 포함하는, 방법.
  9. 시스템으로서,
    메모리; 및
    동작들을 수행하기 위해 상기 메모리에 동작 가능하게 커플링된 프로세싱 디바이스를 포함하고, 상기 동작들은,
    적어도 하나의 유형의 결함 유형에 대한 결함 영향을 식별하기 위해 훈련된 기계 학습 모델에 대한 입력으로서, 전자 디바이스 제조와 관련된 프로세스와 연관된 데이터를 수신하는 동작 ― 상기 프로세스와 연관된 데이터는, 컴포넌트를 프로세싱하기 위한 레시피 설정들의 입력 세트, 상기 컴포넌트를 프로세싱함으로써 달성될 원하는 특성들의 세트, 또는 상기 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트 중 적어도 하나를 포함함 ―; 및
    상기 프로세스와 연관된 데이터를 상기 훈련된 기계 학습 모델에 적용함으로써 출력을 획득하는 동작을 포함하고, 상기 출력은 상기 적어도 하나의 결함 유형에 대한 결함 영향을 나타내는, 시스템.
  10. 제9항에 있어서,
    상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 레시피 설정들을 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 포함하는, 시스템.
  11. 제9항에 있어서,
    상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 최소화하는 레시피 설정들의 출력 세트를 포함하는, 시스템.
  12. 제11항에 있어서,
    상기 동작들은 상기 적어도 하나의 결함 유형에 대한 결함 영향을 참작하는 프로세스를 수행하기 위한 레시피 설정들의 출력 세트에 기초하여 프로세스 레시피를 생성하는 동작을 더 포함하는, 시스템.
  13. 제12항에 있어서,
    상기 동작들은 프로세스 도구가 상기 프로세스 레시피를 사용하여 상기 전자 디바이스 제조 프로세스를 수행하게 하는 동작을 더 포함하는, 시스템.
  14. 제9항에 있어서,
    상기 동작들은 상기 데이터를 수신하기 전에 훈련 입력 데이터 및 타겟 출력 데이터에 기초하여 기계 학습 모델을 훈련함으로써 상기 훈련된 기계 학습 모델을 획득하는 동작을 더 포함하고, 상기 훈련 입력 데이터는 상기 프로세스와 관련된 실험 데이터의 세트를 포함하는, 시스템.
  15. 명령들을 저장하는 비일시적 기계 판독 가능 저장 매체로서,
    상기 명령들은 프로세싱 디바이스에 의해 실행될 때, 상기 프로세싱 디바이스로 하여금, 동작들을 수행하게 하고, 상기 동작들은,
    적어도 하나의 유형의 결함 유형에 대한 결함 영향을 식별하기 위해 훈련된 기계 학습 모델에 대한 입력으로서, 전자 디바이스 제조와 관련된 프로세스와 연관된 데이터를 수신하는 동작 ― 상기 프로세스와 연관된 데이터는, 컴포넌트를 프로세싱하기 위한 레시피 설정들의 입력 세트, 상기 컴포넌트를 프로세싱함으로써 달성될 원하는 특성들의 세트, 또는 상기 레시피 설정들의 세트의 각각의 설정에 대한 허용 가능한 범위를 지정하는 제약들의 세트 중 적어도 하나를 포함함 ―; 및
    상기 프로세스와 연관된 데이터를 상기 훈련된 기계 학습 모델에 적용함으로써 출력을 획득하는 동작을 포함하고, 상기 출력은 상기 적어도 하나의 결함 유형에 대한 결함 영향을 나타내는, 비일시적 기계 판독 가능 저장 매체.
  16. 제15항에 있어서,
    상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 레시피 설정들을 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 포함하는, 비일시적 기계 판독 가능 저장 매체.
  17. 제15항에 있어서,
    상기 출력은 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형에 대한 추정된 결함 카운트, 또는 상기 레시피 설정들의 세트를 고려하는 상기 적어도 하나의 결함 유형이 성능에 영향을 미칠 확률 중 적어도 하나를 최소화하는 레시피 설정들의 출력 세트를 포함하는, 비일시적 기계 판독 가능 저장 매체.
  18. 제17항에 있어서,
    상기 동작들은 상기 적어도 하나의 결함 유형에 대한 결함 영향을 참작하는 프로세스를 수행하기 위한 레시피 설정들의 출력 세트에 기초하여 프로세스 레시피를 생성하는 동작을 더 포함하는, 비일시적 기계 판독 가능 저장 매체.
  19. 제18항에 있어서,
    상기 동작들은 프로세스 도구가 상기 프로세스 레시피를 사용하여 상기 프로세스를 수행하게 하는 동작을 더 포함하는, 비일시적 기계 판독 가능 저장 매체.
  20. 제15항에 있어서,
    상기 동작들은 상기 데이터를 수신하기 전에 훈련 입력 데이터 및 타겟 출력 데이터에 기초하여 기계 학습 모델을 훈련함으로써 상기 훈련된 기계 학습 모델을 획득하는 동작을 더 포함하고, 상기 훈련 입력 데이터는 상기 프로세스와 관련된 실험 데이터의 세트를 포함하는, 비일시적 기계 판독 가능 저장 매체.
KR1020237039241A 2021-08-16 2022-08-15 결함 모델들을 사용한 결함 위험의 추정 및 프로세스 레시피들의 최적화 KR20240050302A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/402,832 2021-08-16
US17/402,832 US20230051330A1 (en) 2021-08-16 2021-08-16 Using defect models to estimate defect risk and optimize process recipes
PCT/US2022/040371 WO2023022999A1 (en) 2021-08-16 2022-08-15 Using defect models to estimate defect risk and optimize process recipes

Publications (1)

Publication Number Publication Date
KR20240050302A true KR20240050302A (ko) 2024-04-18

Family

ID=85177843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237039241A KR20240050302A (ko) 2021-08-16 2022-08-15 결함 모델들을 사용한 결함 위험의 추정 및 프로세스 레시피들의 최적화

Country Status (6)

Country Link
US (1) US20230051330A1 (ko)
EP (1) EP4388467A1 (ko)
KR (1) KR20240050302A (ko)
CN (1) CN118020083A (ko)
TW (1) TW202314559A (ko)
WO (1) WO2023022999A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11275361B2 (en) * 2017-06-30 2022-03-15 Kla-Tencor Corporation Systems and methods for predicting defects and critical dimension using deep learning in the semiconductor manufacturing process
CN113227905B (zh) * 2018-12-26 2024-06-11 Asml荷兰有限公司 用于检查晶片的系统和方法
US11379967B2 (en) * 2019-01-18 2022-07-05 Kla Corporation Methods and systems for inspection of semiconductor structures with automatically generated defect features
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
US11816411B2 (en) * 2020-01-29 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for semiconductor wafer defect review

Also Published As

Publication number Publication date
CN118020083A (zh) 2024-05-10
EP4388467A1 (en) 2024-06-26
US20230051330A1 (en) 2023-02-16
WO2023022999A1 (en) 2023-02-23
TW202314559A (zh) 2023-04-01

Similar Documents

Publication Publication Date Title
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
KR20230042113A (ko) 하이브리드 학습 모델들의 사용에 의해 성능이 향상된 반도체 프로세싱 툴들
JP2023535125A (ja) 機械学習を使用した基板プロファイル特性の決定
US20230051330A1 (en) Using defect models to estimate defect risk and optimize process recipes
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US11860591B2 (en) Process recipe creation and matching using feature models
US20230350394A1 (en) Run-to-run control at a manufacturing system using machine learning
US20240062097A1 (en) Equipment parameter management at a manufacturing system using machine learning
US11874234B2 (en) Multi-level RF pulse monitoring and RF pulsing parameter optimization at a manufacturing system
US20230222264A1 (en) Processing chamber calibration
US20240054333A1 (en) Piecewise functional fitting of substrate profiles for process learning
US20230078146A1 (en) Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US20230367302A1 (en) Holistic analysis of multidimensional sensor data for substrate processing equipment
US20230260767A1 (en) Process control knob estimation
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
WO2023215470A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing