KR20240048456A - Integrated circuit including backside wiring and method of designing the same - Google Patents

Integrated circuit including backside wiring and method of designing the same Download PDF

Info

Publication number
KR20240048456A
KR20240048456A KR1020230004291A KR20230004291A KR20240048456A KR 20240048456 A KR20240048456 A KR 20240048456A KR 1020230004291 A KR1020230004291 A KR 1020230004291A KR 20230004291 A KR20230004291 A KR 20230004291A KR 20240048456 A KR20240048456 A KR 20240048456A
Authority
KR
South Korea
Prior art keywords
patterns
cells
wiring layer
cell
area
Prior art date
Application number
KR1020230004291A
Other languages
Korean (ko)
Inventor
김태형
당호영
박윤식
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to CN202311210387.9A priority Critical patent/CN117855188A/en
Priority to EP23199096.1A priority patent/EP4350762A1/en
Priority to US18/373,709 priority patent/US20240120258A1/en
Publication of KR20240048456A publication Critical patent/KR20240048456A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)

Abstract

집적 회로는, 복수의 셀들이 배열되는 셀 영역, 및 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함할 수 있고, 셀 영역은, 기판 위에서 연장되는 복수의 제1 게이트 라인들, 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들, 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되는 복수의 제2 패턴들, 및 기판을 각각 수직 방향으로 관통하는 복수의 제1 비아들을 포함할 수 있고, 복수의 제1 비아들 각각은, 복수의 제1 패턴들 중 하나에 연결된 상면 및 복수의 제2 패턴들 중 하나에 연결된 하면을 가질 수 있다.The integrated circuit may include a cell region in which a plurality of cells are arranged, and a peripheral region in which a circuit for controlling the plurality of cells is arranged, and the cell region includes a plurality of first gate lines extending over the substrate, a plurality of A plurality of first patterns extending from the first wiring layer above the first gate lines, a plurality of second patterns extending in the first horizontal direction from the rear wiring layer below the substrate, and a plurality of second patterns each penetrating the substrate in a vertical direction. It may include one via, and each of the plurality of first vias may have an upper surface connected to one of the plurality of first patterns and a lower surface connected to one of the plurality of second patterns.

Description

후면 배선을 포함하는 집적 회로 및 이를 설계하는 방법{INTEGRATED CIRCUIT INCLUDING BACKSIDE WIRING AND METHOD OF DESIGNING THE SAME}Integrated circuit including backside wiring and method of designing the same

본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 자세하게는 후면 배선을 포함하는 집적 회로 및 이를 설계하는 방법에 관한 것이다.The technical idea of the present disclosure relates to integrated circuits, and more specifically, to an integrated circuit including rear wiring and a method of designing the same.

높은 집적도에 대한 요구 및 반도체 공정의 발전에 기인하여, 집적 회로에 포함되는 배선들의 폭, 간격 및/또는 높이가 감소할 수 있고, 배선의 기생 성분(parasitic element)의 영향이 증가할 수 있다. 또한, 감소된 전력 소비, 높은 동작 속도 등을 위하여 집적 회로의 전원(power supply) 전압은 감소할 수 있고, 이에 따라 배선의 기생 성분이 집적 회로에 미치는 영향이 더욱 중대할 수 있다. 이와 같은 기생 성분에도 불구하고, 동일한 구조의 셀들로 구성된 셀 어레이를 포함하는 집적 회로는 다양한 어플리케이션들의 요건들에 따라 높은 집적도 및 성능을 안정적으로 제공할 것이 요구될 수 있다.Due to demands for high integration and advancements in semiconductor processes, the width, spacing, and/or height of interconnections included in an integrated circuit may decrease, and the influence of parasitic elements of interconnections may increase. Additionally, the power supply voltage of the integrated circuit may be reduced for reduced power consumption, high operating speed, etc., and accordingly, the influence of parasitic components of wiring on the integrated circuit may be more significant. Despite such parasitic components, an integrated circuit including a cell array composed of cells of the same structure may be required to stably provide high integration and performance according to the requirements of various applications.

본 개시의 기술적 사상은, 후면 배선에 의해서 라우팅되는 셀 어레이를 포함하는 집적 회로 및 이를 설계하는 방법을 제공한다.The technical idea of the present disclosure provides an integrated circuit including a cell array routed by rear wiring and a method of designing the same.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 복수의 셀들이 배열되는 셀 영역, 및 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함할 수 있고, 셀 영역은, 기판 위에서 연장되는 복수의 제1 게이트 라인들, 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들, 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되는 복수의 제2 패턴들, 및 기판을 각각 수직 방향으로 관통하는 복수의 제1 비아들을 포함할 수 있고, 복수의 제1 비아들 각각은, 복수의 제1 패턴들 중 하나에 연결된 상면 및 복수의 제2 패턴들 중 하나에 연결된 하면을 가질 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure may include a cell region in which a plurality of cells are arranged, and a peripheral region in which a circuit for controlling the plurality of cells is arranged, and the cell region extends over the substrate. A plurality of first gate lines, a plurality of first patterns extending from the first wiring layer above the plurality of first gate lines, a plurality of second patterns extending in the first horizontal direction from the rear wiring layer below the substrate, and a substrate may include a plurality of first vias each penetrating in a vertical direction, wherein each of the plurality of first vias has an upper surface connected to one of the plurality of first patterns and a lower surface connected to one of the plurality of second patterns. You can have

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 복수의 셀들이 배열되는 셀 영역, 및 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함할 수 있고, 셀 영역은, 기판 위에서 연장되는 복수의 제1 게이트 라인들, 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들, 및 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되는 복수의 제2 패턴들을 포함할 수 있고, 복수의 제2 패턴들 각각은, 복수의 셀들 중 제1 수평 방향으로 연장되는 행에 배열된 셀들에 공통으로 제공되는 제어 신호를 수신할 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure may include a cell region in which a plurality of cells are arranged, and a peripheral region in which a circuit for controlling the plurality of cells is arranged, and the cell region extends over the substrate. It may include a plurality of first gate lines, a plurality of first patterns extending from the first wiring layer above the plurality of first gate lines, and a plurality of second patterns extending in the first horizontal direction from the rear wiring layer below the substrate. Each of the plurality of second patterns may receive a control signal commonly provided to cells arranged in a row extending in the first horizontal direction among the plurality of cells.

본 개시의 기술적 사상의 일측면에 따른 집적 회로는, 복수의 셀들이 배열되는 셀 영역, 및 셀 영역에 인접하고, 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함할 수 있고, 셀 영역은, 기판 위에서 연장되는 복수의 제1 게이트 라인들, 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들, 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되고, 복수의 셀들에 제공되는 제1 공급 전압을 수신하는 복수의 제2 패턴들, 및 각각이 기판을 수직 방향으로 관통하고 복수의 제1 패턴들 중 하나 및 복수의 제2 패턴들 중 하나에 연결된, 복수의 제1 비아들을 포함할 수 있다.An integrated circuit according to one aspect of the technical idea of the present disclosure may include a cell region in which a plurality of cells are arranged, and a peripheral region adjacent to the cell region and in which a circuit for controlling the plurality of cells is disposed, and the cell region is a plurality of first gate lines extending above the substrate, a plurality of first patterns extending from a first wiring layer above the plurality of first gate lines, extending in a first horizontal direction in a rear wiring layer below the substrate, and a plurality of first patterns extending from a first wiring layer below the substrate. a plurality of second patterns that receive a first supply voltage provided to the cells, and each of a plurality of second patterns vertically penetrating the substrate and connected to one of the plurality of first patterns and one of the plurality of second patterns It may include first vias.

본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 집적 회로의 라우팅 자원이 증가할 수 있고, 이에 따라 집적 회로의 면적이 감소할 수 있다.According to the integrated circuit and method according to example embodiments of the present disclosure, routing resources of the integrated circuit may be increased, and accordingly, the area of the integrated circuit may be reduced.

또한, 본 개시의 예시적 실시예에 따른 집적 회로 및 방법에 의하면, 배선의 기생 성분이 감소할 수 있고, 이에 따라 집적 회로의 성능이 증대될 수 있다.Additionally, according to the integrated circuit and method according to an exemplary embodiment of the present disclosure, parasitic components of wiring can be reduced, and thus the performance of the integrated circuit can be increased.

본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.The effects that can be obtained from the exemplary embodiments of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned are common knowledge in the technical field to which the exemplary embodiments of the present disclosure belong from the following description. It can be clearly derived and understood by those who have it. That is, unintended effects resulting from implementing the exemplary embodiments of the present disclosure may also be derived by those skilled in the art from the exemplary embodiments of the present disclosure.

도 1은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 2a 내지 도 2d는 본 개시의 예시적 실시예들에 따른 소자의 예시들을 나타내는 도면들이다.
도 3은 본 개시의 예시적 실시예에 따른 집적 회로를 나타내는 블록도이다.
도 4는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다.
도 5는 본 개시의 예시적 실시예에 따른 메모리 셀을 나타내는 회로도이다.
도 6a 및 도 6b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃을 나타내는 평면도들이다.
도 7a 내지 도 7e는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다.
도 8a 내지 도 8e는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다.
도 9a 및 도 9b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도이다.
도 10은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
도 11은 본 개시의 예시적 실시예에 따라 집적 회로를 설계하는 방법을 나타내는 순서도이다.
도 12는 본 개시의 예시적 실시예에 따른 시스템-온-칩을 나타내는 블록도이다.
도 13은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.
1 is a diagram showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
2A to 2D are diagrams showing examples of devices according to example embodiments of the present disclosure.
Figure 3 is a block diagram showing an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 4 is a plan view showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 5 is a circuit diagram showing a memory cell according to an exemplary embodiment of the present disclosure.
6A and 6B are plan views showing the layout of an integrated circuit according to example embodiments of the present disclosure.
7A to 7E are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure.
8A to 8E are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure.
9A and 9B are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure.
10 is a flowchart illustrating a method for manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
Figure 11 is a flowchart showing a method of designing an integrated circuit according to an example embodiment of the present disclosure.
Figure 12 is a block diagram showing a system-on-chip according to an exemplary embodiment of the present disclosure.
Figure 13 is a block diagram showing a computing system including a memory for storing a program according to an exemplary embodiment of the present disclosure.

도 1은 본 개시의 예시적 실시예에 따른 집적 회로(10)의 레이아웃을 나타내는 도면이다. 예를 들면, 도 1의 상위 그림은 집적 회로(10)의 레이아웃을 -Z축 방향으로 바라본 모습을 나타내는 평면도이고, 도 1의 하위 그림은 집적 회로(10)의 레이아웃을 선 X1-X1'을 따라 자른 단면을 나타내는 단면도이다.1 is a diagram showing the layout of an integrated circuit 10 according to an exemplary embodiment of the present disclosure. For example, the upper figure in FIG. 1 is a plan view showing the layout of the integrated circuit 10 as seen in the -Z-axis direction, and the lower figure in FIG. 1 shows the layout of the integrated circuit 10 along the line X1-X1'. It is a cross-sectional view showing a cross section cut along.

본 명세서에서, X축 방향 및 Y축 방향 각각은 수평 방향으로 지칭될 수 있고, Z축 방향은 수직 방향으로 지칭될 수 있다. X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. 또한, 구성요소의 면적은 수평면과 평행한 면에서 구성요소가 차지하는 크기를 지칭할 수 있고, 구성요소의 폭은 구성요소가 연장되는 방향과 직교하는 방향의 길이를 지칭할 수 있다. +Z방향으로 노출된 표면은 상면(top surface)으로 지칭될 수 있고, -Z방향으로 노출된 표면은 하면(bottom surface)으로 지칭될 수 있으며, ±X방향 또는 ±Y방향으로 노출된 표면은 측면으로 지칭될 수 있다. 도해의 편의상 도면들에서 일부 층들만이 도시될 수 있으며, 상위 패턴 및 하위 패턴을 연결하는 비아(via)는 상위 패턴의 아래에 위치함에도 불구하고 이해를 위해 상위 패턴에서 표시될 수 있다. 또한, 배선층의 패턴과 같이 전도성 물질로 구성되는 패턴은 전도성 패턴으로 지칭될 수 있고, 단순하게 패턴으로 지칭될 수도 있다.In this specification, the X-axis direction and the Y-axis direction may each be referred to as a horizontal direction, and the Z-axis direction may be referred to as a vertical direction. A plane consisting of the Orientationally placed components may be referred to as being below other components. Additionally, the area of a component may refer to the size occupied by the component in a plane parallel to the horizontal plane, and the width of the component may refer to the length in a direction perpendicular to the direction in which the component extends. The surface exposed in the +Z direction may be referred to as the top surface, the surface exposed in the -Z direction may be referred to as the bottom surface, and the surface exposed in the ±X or ±Y direction may be referred to as the top surface. It can be referred to as a side. For illustrative convenience, only some layers may be shown in the drawings, and a via connecting the upper pattern and lower pattern may be displayed in the upper pattern for understanding even though it is located below the upper pattern. Additionally, a pattern made of a conductive material, such as a pattern of a wiring layer, may be referred to as a conductive pattern, or may simply be referred to as a pattern.

도 1을 참조하면, 집적 회로(10)는 X축 방향으로 연장되는 게이트 라인들(또는 게이트 전극들)을 포함할 수 있고, Y축 방향으로 연장되는 PFET(p-channel field effect transistor) 영역들 및 NFET(n-channel field effect transistor) 영역들을 포함할 수 있다. 게이트 라인들의 피치(pitch)는 CPP(contact-poly-pitch)로 지칭될 수 있다. 도 2a 내지 도 2c를 참조하여 후술되는 바와 같이, PFET 영역 및 NFET 영역 각각에서 +Z축 방향으로 돌출되어 Y축 방향으로 연장되는 부분들은 게이트 라인과 트랜지스터를 형성할 수 있고, 활성 패턴으로 지칭될 수 있다. 게이트 라인의 양측에 소스/드레인이 형성될 수 있고, 소스/드레인 상에 컨택이 형성될 수 있으며, 게이트 라인의 아래에서 소스/드레인들 사이에 채널이 형성될 수 있다. 채널의 예시들이 도 2a 내지 도 2d를 참조하여 후술될 것이다. 컨택 상에 제1 비아층(V0)의 비아가 배치될 수 있고, 비아는 컨택 및 제1 배선층(M1)의 패턴에 연결될 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 비아(V1)는 컨택(C1) 및 패턴(M12)에 연결될 수 있다. 소스/드레인(SD)은 컨택(C1)에 연결될 수 있다. 도 1에 도시되지 아니하였으나, 제1 배선층(M1) 위에 추가적인 적어도 하나의 배선층이 존재할 수 있고, 적어도 하나의 배선층에서 패턴들이 연장될 수 있다.Referring to FIG. 1, the integrated circuit 10 may include gate lines (or gate electrodes) extending in the X-axis direction and p-channel field effect transistor (PFET) regions extending in the Y-axis direction. and n-channel field effect transistor (NFET) regions. The pitch of the gate lines may be referred to as contact-poly-pitch (CPP). As will be described later with reference to FIGS. 2A to 2C, the portions that protrude in the +Z-axis direction and extend in the Y-axis direction in each of the PFET region and the NFET region may form a gate line and a transistor and will be referred to as an active pattern. You can. A source/drain may be formed on both sides of the gate line, a contact may be formed on the source/drain, and a channel may be formed between the sources/drains below the gate line. Examples of channels will be described below with reference to FIGS. 2A to 2D. A via of the first via layer (V0) may be disposed on the contact, and the via may be connected to the contact and the pattern of the first wiring layer (M1). For example, as shown in FIG. 1, via V1 may be connected to contact C1 and pattern M12. Source/drain (SD) may be connected to contact (C1). Although not shown in FIG. 1, at least one additional wiring layer may exist on the first wiring layer M1, and patterns may extend from the at least one wiring layer.

집적 회로(10)는 기판(SUB) 아래 후면 배선층에서 Y축 방향으로 연장되는 패턴들을 포함할 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 후면 금속층(backside metal layer)(BM)에서 제1 패턴(BM11)은 PFET 영역 아래에서 Y축 방향으로 연장될 수 있다. 또한, 후면 금속층(BM)에서 제2 패턴(BM12)은 NFET 영역 아래에서 Y축 방향으로 연장될 수 있다. 제1 패턴(BM11) 및 제2 패턴(BM12) 사이에 BILD(backside interlayer dielectric)이 형성될 수 있다.The integrated circuit 10 may include patterns extending in the Y-axis direction from the rear wiring layer below the substrate SUB. For example, as shown in FIG. 1, the first pattern BM11 in the backside metal layer BM may extend in the Y-axis direction under the PFET area. Additionally, the second pattern BM12 in the back metal layer BM may extend in the Y-axis direction under the NFET area. A backside interlayer dielectric (BILD) may be formed between the first pattern BM11 and the second pattern BM12.

집적 회로(10)는 기판(SUB)을 수직 방향으로 관통하는 관통 실리콘 비아를 포함할 수 있다. 관통 실리콘 비아는 제1 배선층(M1)의 패턴 및 후면 배선층의 패턴을 연결할 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 관통 실리콘 비아(T1)는 기판(SUB)을 관통할 수 있고, 후면 배선층의 제1 패턴(BM11)에 연결된 하면 및 제1 배선층(M1)의 패턴(M11)에 연결된 상면을 가질 수 있다. 유사하게, 집적 회로(10)는 후면 배선층의 제2 패턴(BM12)에 연결된 하면 및 제1 배선층(M1)의 패턴(M12)에 연결된 상면을 가지는 관통 실리콘 비아를 포함할 수 있다.The integrated circuit 10 may include a through silicon via that penetrates the substrate SUB in a vertical direction. The through silicon via may connect the pattern of the first wiring layer (M1) and the pattern of the back wiring layer. For example, as shown in FIG. 1, the through silicon via T1 may penetrate the substrate SUB, and the bottom surface connected to the first pattern BM11 of the rear wiring layer and the pattern of the first wiring layer M1 It may have an upper surface connected to (M11). Similarly, the integrated circuit 10 may include a through silicon via having a bottom surface connected to the second pattern BM12 of the rear wiring layer and an upper surface connected to the pattern M12 of the first wiring layer M1.

도 2a 내지 도 2d는 본 개시의 예시적 실시예들에 따른 소자의 예시들을 나타내는 도면들이다. 예를 들면, 도 2a는 FinFET(20a)을 나타내고, 도 2b는 GAAFET(gate-all-around field effect transistor)(20b)을 나타내고, 도 2c는 MBCFET(multi-bridge channel field effect transistor)(20c)을 나타내며, 도 2d는 VFET(vertical field effect transistor)(20d)을 나타낸다. 도해의 편의를 위하여, 도 2a 내지 도 2c는 2개의 소스/드레인 영역들 중 하나가 제거된 모습을 도시하고, 도 2d는 Y축 및 Z축으로 이루어진 평면과 평행하고 VFET(20d)의 채널(CH)을 통과하는 평면으로 VFET(20d)를 자른 단면을 나타낸다.2A to 2D are diagrams showing examples of devices according to example embodiments of the present disclosure. For example, Figure 2A shows a FinFET (20a), Figure 2B shows a gate-all-around field effect transistor (GAAFET) 20b, and Figure 2C shows a multi-bridge channel field effect transistor (MBCFET) 20c. 2d shows a vertical field effect transistor (VFET) 20d. For ease of illustration, FIGS. 2A to 2C show one of the two source/drain regions removed, and FIG. 2D shows a view parallel to the plane consisting of the Y and Z axes and the channel of the VFET 20d ( Shows a cross section of the VFET (20d) cut through a plane passing through CH).

도 2a를 참조하면, FinFET(20a)은, STI(shallow trench isolation)들 사이에서 X축 방향으로 연장되는 핀(fin) 형상의 활성 패턴 및 Y축 방향으로 연장되는 게이트(G)에 의해서 형성될 수 있다. 게이트(G)의 양측에 소스/드레인(S/D)이 형성될 수 있고, 이에 따라 소스 및 드레인은 X축 방향으로 상호 이격될 수 있다. 채널(CH) 및 게이트(G) 사이에 절연막이 형성될 수 있다. 일부 실시예들에서, FinFET(20a)은 Y축 방향으로 상호 이격된 복수의 활성 패턴들 및 게이트(G)에 의해서 형성될 수 있다.Referring to FIG. 2A, the FinFET 20a is formed by a fin-shaped active pattern extending in the X-axis direction between shallow trench isolations (STIs) and a gate (G) extending in the Y-axis direction. You can. Source/drain (S/D) may be formed on both sides of the gate (G), and accordingly, the source and drain may be spaced apart from each other in the X-axis direction. An insulating film may be formed between the channel (CH) and the gate (G). In some embodiments, FinFET 20a may be formed by a plurality of active patterns and a gate G that are spaced apart from each other in the Y-axis direction.

도 2b를 참조하면, GAAFET(20b)은, Z축 방향으로 상호 이격되어 X축 방향으로 연장되는 활성 패턴들, 즉 나노와이어들(nanowires) 및 Y축 방향으로 연장되는 게이트(G)에 의해서 형성될 수 있다. 게이트(G)의 양측에 소스/드레인(S/D)이 형성될 수 있고, 이에 따라 소스 및 드레인은 X축 방향으로 상호 이격될 수 있다. 채널(CH) 및 게이트(G) 사이에 절연막이 형성될 수 있다. GAAFET(20b)에 포함되는 나노와이어들의 수는 도 2b에 도시된 바에 제한되지 아니하는 점이 유의된다.Referring to FIG. 2b, the GAAFET 20b is formed by active patterns, that is, nanowires, extending in the X-axis direction and spaced apart from each other in the Z-axis direction, and a gate (G) extending in the Y-axis direction. It can be. Source/drain (S/D) may be formed on both sides of the gate (G), and accordingly, the source and drain may be spaced apart from each other in the X-axis direction. An insulating film may be formed between the channel (CH) and the gate (G). Note that the number of nanowires included in GAAFET 20b is not limited to that shown in FIG. 2b.

도 2c를 참조하면, MBCFET(20c)은, Z축 방향으로 상호 이격되어 X축 방향으로 연장되는 활성 패턴들, 즉 나노시트들(nanosheets) 및 Y축 방향으로 연장되는 게이트(G)에 의해서 형성될 수 있다. 게이트(G)의 양측에 소스/드레인(S/D)이 형성될 수 있고, 이에 따라 소스 및 드레인은 Y축 방향으로 상호 이격될 수 있다. 채널(CH) 및 게이트(G) 사이에 절연막이 형성될 수 있다. MBCFET(20c)에 포함되는 나노시트들의 수는 도 2c에 도시된 바에 제한되지 아니하는 점이 유의된다.Referring to FIG. 2C, the MBCFET 20c is formed by active patterns, that is, nanosheets, extending in the X-axis direction and spaced apart from each other in the Z-axis direction, and a gate (G) extending in the Y-axis direction. It can be. Source/drain (S/D) may be formed on both sides of the gate (G), and accordingly, the source and drain may be spaced apart from each other in the Y-axis direction. An insulating film may be formed between the channel (CH) and the gate (G). Note that the number of nanosheets included in the MBCFET 20c is not limited to that shown in FIG. 2c.

도 2d를 참조하면, VFET(20d)는, 채널(CH)을 사이에 두고 Z축 방향으로 상호 이격된 상위(top) 소스/드레인(T_S/D) 및 하위(bottom) 소스/드레인(B_S/D)을 포함할 수 있다. VFET(20d)는 상위 소스/드레인(T_S/D) 및 하위 소스/드레인(B_S/D) 사이에서 채널(CH)의 둘레를 둘러싸는 게이트(G)를 포함할 수 있다. 채널(CH) 및 게이트(G) 사이에 절연막이 형성될 수 있다.Referring to FIG. 2D, the VFET 20d has a top source/drain (T_S/D) and a bottom source/drain (B_S/) spaced apart from each other in the Z-axis direction with a channel (CH) in between. D) may be included. The VFET 20d may include a gate (G) surrounding the circumference of the channel (CH) between the upper source/drain (T_S/D) and the lower source/drain (B_S/D). An insulating film may be formed between the channel (CH) and the gate (G).

이하에서, FinFET(20a) 또는 MBCFET(20c)을 포함하는 집적 회로가 주로 설명될 것이나, 집적 회로에 포함되는 소자들이 도 2a 내지 도 2d의 예시들에 제한되지 아니하는 점이 유의된다. 예를 들면, 집적 회로는, P형 트랜지스터를 위한 나노시트들 및 N형 트랜지스터를 위한 나노시트들이 유전체 벽(dielectric wall)으로 분리됨으로써 N형 트랜지스터 및 P형 트랜지스터가 보다 근접한 구조를 가지는 ForkFET을 포함할 수 있다. 또한, 집적 회로는 CFET(complementary field effect transistor), NCFET(negative capacitance field effect transistor), CNT(carbon nanotube) FET 등과 같은 FET뿐만 아니라 양극성 접합(bipolar junction) 트랜지스터를 포함할 수도 있다.Hereinafter, the integrated circuit including the FinFET 20a or the MBCFET 20c will be mainly described, but it is noted that the elements included in the integrated circuit are not limited to the examples of FIGS. 2A to 2D. For example, the integrated circuit includes a ForkFET in which the nanosheets for the P-type transistor and the nanosheets for the N-type transistor have a structure in which the N-type transistor and the P-type transistor are closer together by being separated by a dielectric wall. can do. Additionally, the integrated circuit may include bipolar junction transistors as well as FETs, such as complementary field effect transistors (CFETs), negative capacitance field effect transistors (NCFETs), and carbon nanotube (CNT) FETs.

도 3은 본 개시의 예시적 실시예에 따른 집적 회로를 나타내는 블록도이다. 예를 들면, 도 3의 블록도는 집적 회로에 포함되는 메모리 장치(30)를 나타낸다. 일부 실시예들에서, 메모리 장치(30)는 집적 회로의 외부에서 제공되는 커맨드 및 어드레스에 기초하여 데이터를 저장할 수 있고, 메모리 장치(30)는 독립형(standalone) 메모리 장치일 수 있다. 또한, 일부 실시예들에서, 집적 회로는, 도 12를 참조하여 후술되는 바와 같이, 메모리 장치(30)에 데이터를 기입하거나 메모리 장치(30)로부터 데이터를 독출하는 다른 구성요소들을 더 포함할 수 있고, 메모리 장치(30)는 내장형(embedded) 메모리 장치일 수도 있다. 도 3에 도시된 바와 같이, 메모리 장치(30)는, 셀 어레이(32), 로우 드라이버(34), 컬럼 드라이버(36), 제어 로직(38) 및 전압 생성기(39)를 포함할 수 있다. 메모리 장치(30)에서 셀 어레이(32)를 제외한 구성요소들, 즉 로우 드라이버(34), 컬럼 드라이버(36), 제어 로직(38) 및 전압 생성기(39)는 주변(peripheral) 회로로서 총괄적으로 지칭될 수 있다. 비록 도 3에 도시되지 아니하였으나, 일부 실시예들에서 메모리 장치(30)는, 어드레스 버퍼, 데이터 버퍼, 데이터 입출력 회로 등을 더 포함할 수 있다.Figure 3 is a block diagram showing an integrated circuit according to an exemplary embodiment of the present disclosure. For example, the block diagram of FIG. 3 shows a memory device 30 included in an integrated circuit. In some embodiments, the memory device 30 may store data based on commands and addresses provided external to the integrated circuit, and the memory device 30 may be a standalone memory device. Additionally, in some embodiments, the integrated circuit may further include other components for writing data to or reading data from memory device 30, as described below with reference to FIG. 12. and the memory device 30 may be an embedded memory device. As shown in FIG. 3 , the memory device 30 may include a cell array 32, a row driver 34, a column driver 36, control logic 38, and a voltage generator 39. In the memory device 30, the components excluding the cell array 32, that is, the row driver 34, column driver 36, control logic 38, and voltage generator 39, are collectively considered peripheral circuits. can be referred to. Although not shown in FIG. 3, in some embodiments, the memory device 30 may further include an address buffer, a data buffer, and a data input/output circuit.

메모리 장치(30)는 커맨드(CMD), 어드레스 및 데이터(DAT)를 수신할 수 있다. 예를 들면, 메모리 장치(30)는 기입(write)을 지시하는 커맨드(CMD), 어드레스 및 데이터(DAT)를 수신할 수 있고, 수신된 데이터(DAT)를 어드레스에 대응하는 셀 어레이(32)의 영역에 저장할 수 있다. 또한, 메모리 장치(30)는 독출(read)을 지시하는 커맨드(CMD) 및 어드레스를 수신할 수 있고, 어드레스에 대응하는 셀 어레이(32)의 영역에 저장된 데이터를 외부에 출력할 수 있다.The memory device 30 can receive a command (CMD), an address, and data (DAT). For example, the memory device 30 may receive a command (CMD) instructing write, an address, and data (DAT), and store the received data (DAT) in the cell array 32 corresponding to the address. It can be saved in the area of . In addition, the memory device 30 can receive a command (CMD) instructing read and an address, and output data stored in an area of the cell array 32 corresponding to the address to the outside.

셀 어레이(32)는 워드 라인 및 비트 라인에 의해서 각각 액세스되는 복수의 메모리 셀들을 포함할 수 있다. 일부 실시예들에서, 셀 어레이(32)에 포함된 메모리 셀들은 SRAM(static random access memory), DRAM(dynamic random access memory) 등과 같은 휘발성(volatile) 메모리 셀들일 수 있다. 일부 실시예들에서, 셀 어레이(32)에 포함된 메모리 셀들은 플래시 메모리, RRAM(resistive random access memory) 등과 같은 비휘발성(non-volatile) 메모리 셀들일 수도 있다. 본 개시의 예시적 실시예들은, 도 5 등을 참조하여 후술되는 바와 같이, SRAM 셀을 주로 참조하여 설명될 것이나, 본 개시의 예시적 실시예들이 이에 제한되지 아니하는 점이 유의된다. 본 명세서에서, 메모리 셀은 단순하게 셀로서 지칭될 수 있다.Cell array 32 may include a plurality of memory cells each accessed by a word line and a bit line. In some embodiments, the memory cells included in the cell array 32 may be volatile memory cells, such as static random access memory (SRAM), dynamic random access memory (DRAM), etc. In some embodiments, the memory cells included in the cell array 32 may be non-volatile memory cells, such as flash memory, resistive random access memory (RRAM), etc. Exemplary embodiments of the present disclosure will be described primarily with reference to an SRAM cell, as described below with reference to FIG. 5 and the like, but it is noted that the exemplary embodiments of the present disclosure are not limited thereto. In this specification, a memory cell may be simply referred to as a cell.

로우 드라이버(34)는, 복수의 워드 라인들(WLs)을 통해서 셀 어레이(32)와 연결될 수 있다. 로우 드라이버(34)는 로우 어드레스(A_ROW)에 기초하여, 복수의 워드 라인들(WLs) 중 하나의 워드 라인을 활성화할 수 있다. 이에 따라, 셀 어레이(32)에 포함된 메모리 셀들 중 활성화된 워드 라인에 연결된 메모리 셀들, 즉 활성화된 워드 라인에 대응하는 행에 배치된 메모리 셀들이 선택될 수 있다. 후술되는 컬럼 드라이버(36)에 의해서, 기입 동작시 선택된 메모리 셀들에 데이터(DAT)가 기입될 수 있는 한편, 독출 동작시 선택된 메모리 셀들로부터 데이터(DAT)가 독출될 수 있다.The row driver 34 may be connected to the cell array 32 through a plurality of word lines (WLs). The row driver 34 may activate one word line among the plurality of word lines WLs based on the row address A_ROW. Accordingly, among the memory cells included in the cell array 32, memory cells connected to the activated word line, that is, memory cells arranged in a row corresponding to the activated word line, may be selected. By the column driver 36, which will be described later, data DAT can be written into selected memory cells during a write operation, while data DAT can be read from the selected memory cells during a read operation.

컬럼 드라이버(36)는 복수의 비트 라인들(BLs)을 통해서 셀 어레이(32)와 연결될 수 있다. 컬럼 드라이버(36)는, 독출 동작시 복수의 비트 라인들(BLs)을 통해서 수신되는 전류 및/또는 전압을 감지함으로써, 활성화된 워드 라인에 연결된, 즉 선택된 메모리 셀들에 저장된 값들을 식별할 수 있고, 식별된 값들에 기초하여 데이터(DAT)를 출력할 수 있다. 또한, 컬럼 드라이버(36)는, 기입 동작시 데이터(DAT)에 기초하여 전류 및/또는 전압을 복수의 비트 라인들(BLs)에 인가할 수 있고, 활성화된 워드 라인에 연결된, 즉 선택된 메모리 셀들에 값들을 기입할 수 있다.The column driver 36 may be connected to the cell array 32 through a plurality of bit lines (BLs). The column driver 36 detects current and/or voltage received through a plurality of bit lines (BLs) during a read operation, thereby identifying values connected to the activated word line, that is, stored in selected memory cells. , data (DAT) can be output based on the identified values. Additionally, the column driver 36 may apply current and/or voltage to a plurality of bit lines BLs based on data DAT during a write operation, and may be connected to an activated word line, that is, selected memory cells. You can enter values in .

제어 로직(38)은 커맨드(CMD)를 수신할 수 있고, 제1 제어 신호(CTR1) 및 제2 제어 신호(CTR2)를 생성할 수 있다. 예를 들면, 제어 로직(38)은 커맨드(CMD)를 디코딩함으로써 독출 커맨드를 식별할 수 있고, 셀 어레이(32)로부터 데이터(DAT)를 독출하기 위하여 제1 제어 신호(CTR1) 및 제2 제어 신호(CTR2)를 생성할 수 있다. 또한, 제어 로직(38)은 커맨드(CMD)를 디코딩함으로써 기입 커맨드를 식별할 수 있고, 셀 어레이(32)에 데이터(DAT)를 기입하기 위하여 제1 제어 신호(CTR1) 및 제2 제어 신호(CTR2)를 생성할 수 있다. 일부 실시예들에서, 로우 드라이버(34)는 제1 제어 신호(CTR1)에 기초하여 결정된 타이밍에서 워드 라인을 활성화하거나 비활성화할 수 있다. 또한, 일부 실시예들에서, 컬럼 드라이버(36)는 제2 제어 신호(CTR2)에 기초하여 결정된 타이밍에서, 복수의 비트 라인들(BLs)에서 전류 및/또는 전압을 감지하거나, 복수의 비트 라인들(BLs)에 전류 및/또는 전압을 인가할 수 있다.The control logic 38 may receive a command (CMD) and generate a first control signal (CTR1) and a second control signal (CTR2). For example, the control logic 38 may identify a read command by decoding the command CMD, and use the first control signal CTR1 and the second control signal to read data DAT from the cell array 32. A signal (CTR2) can be generated. In addition, the control logic 38 can identify the write command by decoding the command (CMD), and uses the first control signal (CTR1) and the second control signal (CTR1) to write data (DAT) to the cell array 32. CTR2) can be generated. In some embodiments, the row driver 34 may activate or deactivate the word line at a timing determined based on the first control signal CTR1. Additionally, in some embodiments, the column driver 36 detects the current and/or voltage in the plurality of bit lines BLs at a timing determined based on the second control signal CTR2, or detects the current and/or voltage in the plurality of bit lines BLs. Current and/or voltage may be applied to the BLs.

전압 생성기(39)는 메모리 장치(30)의 외부로부터 제공되는 외부 전압(V_EXT)을 수신할 수 있고, 메모리 장치(30)의 다른 구성요소들, 즉 셀 어레이(32), 로우 드라이버(34), 컬럼 드라이버(36) 및 제어 로직(38)에 내부 전압(V_INT)을 제공할 수 있다. 예를 들면, 전압 생성기(39)는 외부 전압(V_EXT)으로서 외부 셀 전압(VDDCE)을 수신할 수 있고, 외부 셀 전압(VDDCE)으로부터 셀 전압(VDDC)을 생성할 수 있다. 내부 전압(V_INT)으로서 셀 전압(VDDC)은 셀 어레이(32)에 제공될 수 있고, 셀 어레이(32)에 포함된 복수의 메모리 셀들에 전력을 제공할 수 있다. 또한, 전압 생성기(39)는 외부 전압(V_EXT)으로서 외부 주변 전압(VDDPE)을 수신할 수 있고, 외부 주변 전압(VDDPE)으로부터 주변 전압(VDDP)을 생성할 수 있다. 내부 전압(V_INT)으로서 주변 전압(VDDP)은 주변 회로, 예컨대, 로우 드라이버(34), 컬럼 드라이버(36) 및 제어 로직(38)에 제공될 수 있고, 로우 드라이버(34), 컬럼 드라이버(36) 및 제어 로직(38)에 전력을 제공할 수 있다. 전술된 외부 셀 전압(VDDCE), 셀 전압(VDDC), 외부 주변 전압(VDDPE), 주변 전압(VDDP)은 전력 공급을 위한 전압들로서 양의 공급 전압들로서 지칭될 수 있고, 음의 공급 전압(VSS)이 전력 공급을 위해 사용될 수 있다.The voltage generator 39 may receive an external voltage (V_EXT) provided from outside the memory device 30, and other components of the memory device 30, such as the cell array 32 and the row driver 34. , the internal voltage (V_INT) can be provided to the column driver 36 and control logic 38. For example, the voltage generator 39 may receive the external cell voltage (VDDCE) as the external voltage (V_EXT) and generate the cell voltage (VDDC) from the external cell voltage (VDDCE). The cell voltage VDDC as the internal voltage V_INT may be provided to the cell array 32 and may provide power to a plurality of memory cells included in the cell array 32. Additionally, the voltage generator 39 may receive the external peripheral voltage (VDDPE) as the external voltage (V_EXT) and generate the peripheral voltage (VDDP) from the external peripheral voltage (VDDPE). The peripheral voltage (VDDP) as the internal voltage (V_INT) may be provided to peripheral circuits, such as the row driver 34, the column driver 36, and the control logic 38, and the row driver 34, the column driver 36 ) and can provide power to the control logic 38. The above-described external cell voltage (VDDCE), cell voltage (VDDC), external peripheral voltage (VDDPE), and peripheral voltage (VDDP) are voltages for power supply and may be referred to as positive supply voltages, and negative supply voltage (VSS) ) can be used for power supply.

일부 실시예들에서, 메모리 장치(30)는 기판 아래 후면 배선층에서 연장되는 패턴들을 포함할 수 있다. 예를 들면, 도 1을 참조하여 전술된 바와 같이, 기판(SUB) 위에서 게이트 전극들이 연장될 수 있고, 게이트 전극들 위 제1 배선층(M1)의 패턴들이 연장될 수 있다. 또한, 게이트 전극들 아래 또는 기판(SUB) 아래 후면 배선층에서 패턴들이 연장될 수 있다. 후면 배선층에서 연장되는 패턴들은 공급 전압 또는 신호의 라우팅을 위해서 사용될 수 있다. 이에 따라, 메모리 장치(30)에서 라우팅 자원이 증가할 수 있고, 메모리 장치(30)의 면적이 감소할 수 있다. 또한, 배선의 기생 성분이 감소할 수 있고, 메모리 장치(30)의 성능이 증대될 수 있다.In some embodiments, memory device 30 may include patterns extending from a rear wiring layer beneath the substrate. For example, as described above with reference to FIG. 1 , gate electrodes may extend over the substrate SUB, and patterns of the first wiring layer M1 may extend over the gate electrodes. Additionally, patterns may extend from the rear wiring layer under the gate electrodes or under the substrate (SUB). Patterns extending from the rear wiring layer can be used for routing of supply voltages or signals. Accordingly, routing resources in the memory device 30 may increase and the area of the memory device 30 may decrease. Additionally, parasitic components of wiring can be reduced, and performance of the memory device 30 can be increased.

도 4는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 나타내는 평면도이다. 예를 들면, 도 4의 평면도는 도 3의 메모리 장치(30)에 대응하는 레이아웃(40)을 나타낸다. 이하에서, 도 4는 도 3을 참조하여 설명될 것이다.Figure 4 is a plan view showing the layout of an integrated circuit according to an exemplary embodiment of the present disclosure. For example, the top view of Figure 4 shows the layout 40 corresponding to the memory device 30 of Figure 3. Hereinafter, FIG. 4 will be explained with reference to FIG. 3 .

레이아웃(40)은, 셀 영역에서 적어도 하나의 셀 어레이를 포함할 수 있고, 주변 영역에서 주변 회로를 포함할 수 있다. 예를 들면, 도 4에 도시된 바와 같이, 셀 영역에서 제1 셀 어레이(41) 및 제2 셀 어레이(42)를 포함할 수 있고, 주변 영역에서 로우 드라이버(43), 제1 컬럼 드라이버(44), 제2 컬럼 드라이버(45), 제어 로직(46) 및 전압 생성기(47)를 포함할 수 있다. 일부 실시예들에서, 로우 드라이버(43), 제1 컬럼 드라이버(44), 제2 컬럼 드라이버(45), 제어 로직(46) 및 전압 생성기(47)는 주변 영역에서 도 4에 도시된 바와 상이하게 배치될 수 있다.The layout 40 may include at least one cell array in the cell area and may include peripheral circuitry in the peripheral area. For example, as shown in FIG. 4, the cell area may include a first cell array 41 and a second cell array 42, and the peripheral area may include a row driver 43 and a first column driver ( 44), a second column driver 45, control logic 46, and a voltage generator 47. In some embodiments, row driver 43, first column driver 44, second column driver 45, control logic 46, and voltage generator 47 are different from those shown in FIG. 4 in the peripheral area. It can be placed like this.

복수의 워드 라인들(WLs)에 대응하는 패턴들은 제1 셀 어레이(41) 및 제2 셀 어레이(42)에서 X축 방향으로 상호 평행하게 연장될 수 있고, 로우 드라이버(43)에 연결될 수 있다. 복수의 비트 라인들(BLs)에 대응하는 패턴들은 제1 셀 어레이(41) 및 제2 셀 어레이(42)에서 Y축 방향으로 상호 평행하게 연장될 수 있고, 제1 컬럼 드라이버(44) 및 제2 컬럼 드라이버(45)에 연결될 수 있다. 도 3을 참조하여 전술된 바와 같이, 셀 영역 및 주변 영역에서 기판 아래 후면 배선층의 패턴들이 연장될 수 있다.Patterns corresponding to the plurality of word lines (WLs) may extend parallel to each other in the X-axis direction in the first cell array 41 and the second cell array 42 and may be connected to the row driver 43. . Patterns corresponding to the plurality of bit lines BLs may extend parallel to each other in the Y-axis direction in the first cell array 41 and the second cell array 42, and may be connected to the first column driver 44 and the second cell array 42. It can be connected to a two-column driver (45). As described above with reference to FIG. 3, the patterns of the back wiring layer may extend under the substrate in the cell area and peripheral area.

도 5는 본 개시의 예시적 실시예에 따른 메모리 셀을 나타내는 회로도이다. 예를 들면, 도 5의 회로도는 도 3의 셀 어레이(32)에서 상호 인접하게 배치된 4개의 메모리 셀들(C11, C12, C21, C22)에 대응하는 등가 회로(50)를 나타낸다. 도 5에 도시된 바와 같이, 메모리 셀들(C11, C12, C21, C22)은 동일한 구조를 가질 수 있다.Figure 5 is a circuit diagram showing a memory cell according to an exemplary embodiment of the present disclosure. For example, the circuit diagram of FIG. 5 shows an equivalent circuit 50 corresponding to four memory cells C11, C12, C21, and C22 arranged adjacent to each other in the cell array 32 of FIG. 3. As shown in FIG. 5, the memory cells C11, C12, C21, and C22 may have the same structure.

도 5를 참조하면, 동일한 행에 배치된 메모리 셀(C11) 및 메모리 셀(C12)은 워드 라인(WL[k])에 공통으로 연결될 수 있고, 동일한 행에 배치된 메모리 셀(C21) 및 메모리 셀(C22)은 워드 라인(WL[k+1])에 공통으로 연결될 수 있다(k는 0보다 큰 정수). 또한, 동일한 열에 배치된 메모리 셀(C11) 및 메모리 셀(C21)은 제1 비트 라인(BL1) 및 제1 상보적(complementary) 비트 라인(BLB1)에 연결될 수 있고, 동일한 열에 배치된 메모리 셀(C12) 및 메모리 셀(C22)은 제2 비트 라인(BL2) 및 제2 상보적 비트 라인(BLB2)에 연결될 수 있다.Referring to FIG. 5, the memory cell C11 and C12 arranged in the same row may be commonly connected to the word line WL[k], and the memory cell C21 and the memory arranged in the same row may be connected to the word line WL[k]. Cell C22 may be commonly connected to the word line WL[k+1] (k is an integer greater than 0). In addition, the memory cells C11 and C21 arranged in the same column may be connected to the first bit line BL1 and the first complementary bit line BLB1, and the memory cells arranged in the same column ( C12) and the memory cell C22 may be connected to the second bit line BL2 and the second complementary bit line BLB2.

도 5를 참조하면, 메모리 셀(C11)은 제1 PFET(P11) 및 제2 PFET(P12), 제1 내지 제4 NFET(N11 내지 N14)를 포함할 수 있고, 6T(six transistors) SRAM 셀일 수 있다. 메모리 셀(C11)은 셀 전압(VDDC)이 인가되는 노드 및 음의 공급 전압(또는 접지 전위)(VSS)이 인가되는 노드 사이에 교차 결합된(cross coupled) 인버터 쌍을 포함할 수 있다. 예를 들면, 교차 결합된 인버터 쌍 중 제1 인버터는 제1 PFET(P11) 및 제1 NFET(N11)를 포함할 수 있고, 제2 인버터는 제2 PFET(P12) 및 제2 NFET(N12)를 포함할 수 있다. 또한, 제3 NFET(N13) 및 제4 NFET(N14)은, 활성화된(예컨대, 하이 레벨의 전압을 가지는) 워드 라인(WL[k])에 의해서 제1 인버터 및 제2 인버터를 제1 비트 라인(BL1) 및 제1 상보적 비트 라인(BLB1)에 각각 연결하도록 구성된, 통과 트랜지스터들로서 지칭될 수 있다.Referring to FIG. 5, the memory cell C11 may include a first PFET (P11), a second PFET (P12), and first to fourth NFETs (N11 to N14), and may be a 6T (six transistors) SRAM cell. You can. The memory cell C11 may include a cross-coupled inverter pair between a node to which a cell voltage (VDDC) is applied and a node to which a negative supply voltage (or ground potential) (VSS) is applied. For example, of a cross-coupled inverter pair, the first inverter may include a first PFET (P11) and a first NFET (N11), and the second inverter may include a second PFET (P12) and a second NFET (N12). may include. In addition, the third NFET (N13) and the fourth NFET (N14) connect the first inverter and the second inverter to the first bit by the word line (WL[k]) that is activated (e.g., has a high level voltage). They may be referred to as pass transistors, configured to connect to line BL1 and first complementary bit line BLB1, respectively.

메모리 셀(C12)은 제1 PFET(P21) 및 제2 PFET(P22), 제1 내지 제4 NFET(N21 내지 N24)를 포함할 수 있고, 6T SRAM 셀일 수 있다. 메모리 셀(C21)은 셀 전압(VDDC)이 인가되는 노드 및 음의 공급 전압(또는 접지 전위)(VSS)이 인가되는 노드 사이에 교차 결합된 인버터 쌍을 포함할 수 있다. 예를 들면, 교차 결합된 인버터 쌍 중 제1 인버터는 제1 PFET(P21) 및 제1 NFET(N21)를 포함할 수 있고, 제2 인버터는 제2 PFET(P22) 및 제2 NFET(N22)를 포함할 수 있다. 또한, 제3 NFET(N23) 및 제4 NFET(N24)은, 활성화된(예컨대, 하이 레벨의 전압을 가지는) 워드 라인(WL[k])에 의해서 제1 인버터 및 제2 인버터를 제2 비트 라인(BL2) 및 제2 상보적 비트 라인(BLB2)에 각각 연결하도록 구성된, 통과 트랜지스터들로서 지칭될 수 있다.The memory cell C12 may include a first PFET (P21), a second PFET (P22), first to fourth NFETs (N21 to N24), and may be a 6T SRAM cell. The memory cell C21 may include a cross-coupled inverter pair between a node to which a cell voltage (VDDC) is applied and a node to which a negative supply voltage (or ground potential) (VSS) is applied. For example, of a cross-coupled inverter pair, the first inverter may include a first PFET (P21) and a first NFET (N21), and the second inverter may include a second PFET (P22) and a second NFET (N22). may include. In addition, the third NFET (N23) and the fourth NFET (N24) connect the first inverter and the second inverter to the second bit by the word line (WL[k]) that is activated (e.g., has a high level voltage). They may be referred to as pass transistors, configured to connect to line BL2 and second complementary bit line BLB2, respectively.

메모리 셀(C21)은 제1 PFET(P31) 및 제2 PFET(P32), 제1 내지 제4 NFET(N31 내지 N34)를 포함할 수 있고, 6T SRAM 셀일 수 있다. 메모리 셀(C21)은 셀 전압(VDDC)이 인가되는 노드 및 음의 공급 전압(또는 접지 전위)(VSS)이 인가되는 노드 사이에 교차 결합된 인버터 쌍을 포함할 수 있다. 예를 들면, 교차 결합된 인버터 쌍 중 제1 인버터는 제1 PFET(P31) 및 제1 NFET(N31)를 포함할 수 있고, 제2 인버터는 제2 PFET(P32) 및 제2 NFET(N32)를 포함할 수 있다. 또한, 제3 NFET(N33) 및 제4 NFET(N34)은, 활성화된(예컨대, 하이 레벨의 전압을 가지는) 워드 라인(WL[k])에 의해서 제1 인버터 및 제2 인버터를 제1 비트 라인(BL1) 및 제1 상보적 비트 라인(BLB1)에 각각 연결하도록 구성된, 통과 트랜지스터들로서 지칭될 수 있다.The memory cell C21 may include a first PFET (P31), a second PFET (P32), first to fourth NFETs (N31 to N34), and may be a 6T SRAM cell. The memory cell C21 may include a cross-coupled inverter pair between a node to which a cell voltage (VDDC) is applied and a node to which a negative supply voltage (or ground potential) (VSS) is applied. For example, of a cross-coupled inverter pair, the first inverter may include a first PFET (P31) and a first NFET (N31), and the second inverter may include a second PFET (P32) and a second NFET (N32). may include. In addition, the third NFET (N33) and the fourth NFET (N34) connect the first inverter and the second inverter to the first bit by the word line (WL[k]) that is activated (e.g., has a high level voltage). They may be referred to as pass transistors, configured to connect to line BL1 and first complementary bit line BLB1, respectively.

메모리 셀(C22)은 제1 PFET(P41) 및 제2 PFET(P42), 제1 내지 제4 NFET(N41 내지 N44)를 포함할 수 있고, 6T SRAM 셀일 수 있다. 메모리 셀(C41)은 셀 전압(VDDC)이 인가되는 노드 및 음의 공급 전압(또는 접지 전위)(VSS)이 인가되는 노드 사이에 교차 결합된 인버터 쌍을 포함할 수 있다. 예를 들면, 교차 결합된 인버터 쌍 중 제1 인버터는 제1 PFET(P41) 및 제1 NFET(N41)를 포함할 수 있고, 제2 인버터는 제2 PFET(P42) 및 제2 NFET(N42)를 포함할 수 있다. 또한, 제3 NFET(N43) 및 제4 NFET(N44)은, 활성화된(예컨대, 하이 레벨의 전압을 가지는) 워드 라인(WL[k])에 의해서 제1 인버터 및 제2 인버터를 제2 비트 라인(BL2) 및 제2 상보적 비트 라인(BLB2)에 각각 연결하도록 구성된, 통과 트랜지스터들로서 지칭될 수 있다.The memory cell C22 may include a first PFET (P41), a second PFET (P42), first to fourth NFETs (N41 to N44), and may be a 6T SRAM cell. The memory cell C41 may include a cross-coupled inverter pair between a node to which a cell voltage (VDDC) is applied and a node to which a negative supply voltage (or ground potential) (VSS) is applied. For example, of a cross-coupled inverter pair, the first inverter may include a first PFET (P41) and a first NFET (N41), and the second inverter may include a second PFET (P42) and a second NFET (N42). may include. In addition, the third NFET (N43) and the fourth NFET (N44) connect the first inverter and the second inverter to the second bit by the word line (WL[k]) that is activated (e.g., has a high level voltage). They may be referred to as pass transistors, configured to connect to line BL2 and second complementary bit line BLB2, respectively.

셀 전압(VDDC)이 인가되는 노드 또는 음의 공급 전압(VSS)이 인가되는 노드에서 전압 강하가 발생하는 경우, 메모리 셀(C11)은 교차 결합된 인버터 쌍에 래치된 값에 대응하는 신호를 제1 비트 라인(BL1) 및 제1 상보적 비트 라인(BLB1)에 적절하게 출력하지 아니할 수 있고, 제1 비트 라인(BL1) 및 제1 상보적 비트 라인(BLB1)에 인가된 신호에 대응하는 값을 교차 결합된 인버터 쌍에 적절하게 래치하지 아니할 수 있다. 또한, 하나의 행에 포함되는 메모리 셀들의 수가 증가함에 따라 워드 라인(WL[k])이 연장될 수 있고, 워드 라인(WL[k])의 기생 저항에 의한 영향이 증가할 수 있다. 이에 따라, 도 3의 로우 드라이버(34)로부터 원거리에 있는 메모리 셀은 지연된 시점에서 워드 라인의 활성화를 식별할 수 있고, 도 3의 메모리 장치(30)의 동작 속도가 제한될 수 있다.When a voltage drop occurs at the node to which the cell voltage (VDDC) is applied or the node to which the negative supply voltage (VSS) is applied, the memory cell (C11) provides a signal corresponding to the value latched in the cross-coupled inverter pair. 1 may not be properly output to the bit line (BL1) and the first complementary bit line (BLB1), and a value corresponding to the signal applied to the first bit line (BL1) and the first complementary bit line (BLB1) may not properly latch onto a cross-coupled inverter pair. Additionally, as the number of memory cells included in one row increases, the word line WL[k] may be extended, and the influence of parasitic resistance of the word line WL[k] may increase. Accordingly, a memory cell located far from the row driver 34 of FIG. 3 may identify activation of the word line at a delayed time, and the operation speed of the memory device 30 of FIG. 3 may be limited.

도 6a 및 도 6b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃(60)을 나타내는 평면도들이다. 예를 들면, 도 6a 및 도 6b의 평면도들은 도 5의 등가 회로(50)에 포함된 4개의 메모리 셀들(C11, C12, C21, C22)에 각각 대응하는 메모리 셀들(C11', C12', C21', C22')을 포함하는 레이아웃(60)을 나타낸다. 도 6a의 평면도는 레이아웃(60)에서 기판 위 일부 층들을 나타내고, 도 6b의 평면도는 레이아웃(60)에서 기판 아래 후면 배선층을 나타낸다. 도 6a 및 도 6b에서 패턴 상에 기재된 명칭은 해당 패턴이 전기적으로 연결된 라인 및/또는 패턴에 인가된 전압을 나타낸다. 도해의 편의상, 도 5a에서 소스/드레인의 도시가 생략된다. 도 5의 등가 회로(50)가 도 6a 및 도 6b의 레이아웃(60)에 제한되지 아니하는 점이 유의된다.6A and 6B are plan views showing the layout 60 of an integrated circuit according to example embodiments of the present disclosure. For example, the plan views of FIGS. 6A and 6B show memory cells C11', C12', and C21 respectively corresponding to the four memory cells C11, C12, C21, and C22 included in the equivalent circuit 50 of FIG. 5. ', C22'). The top view of FIG. 6A shows some of the layers above the substrate in layout 60, and the top view of FIG. 6B shows the back wiring layer below the substrate in layout 60. In FIGS. 6A and 6B , the names written on the patterns indicate the voltage applied to the lines and/or patterns to which the corresponding patterns are electrically connected. For convenience of illustration, the illustration of source/drain is omitted in FIG. 5A. It is noted that the equivalent circuit 50 of Figure 5 is not limited to the layout 60 of Figures 6A and 6B.

도 6a를 참조하면, 4개의 메모리 셀들(C11', C12', C21', C22')은 동일한 풋프린트(footprint)를 가질 수 있다. 풋프린트는 평면에서 구성요소가 차지하는 공간을 지칭할 수 있다. 즉, 4개의 메모리 셀들(C11', C12', C21', C22')은 동일한 면적을 가질 수 있고, 동일한 가로 길이(또는 X축 방향의 동일한 길이) 및 동일한 세로 길이(또는 Y축 방향의 동일한 길이)를 가질 수 있다. 동일한 행에 배치된 메모리 셀(C11') 및 메모리 셀(C12')은 워드 라인(WL[k])에 공통으로 연결될 수 있다. 동일한 행에 배치된 메모리 셀(C21') 및 메모리 셀(C22')은 워드 라인(WL[k+1])에 공통으로 연결될 수 있다. 동일한 열에 배치된 메모리 셀(C11') 및 메모리 셀(C21')은 제1 비트 라인(BL1) 및 제1 상보적 비트 라인(BLB1)에 공통으로 연결될 수 있다. 동일한 열에 배치된 메모리 셀(C12') 및 메모리 셀(C22')은 제2 비트 라인(BL2) 및 제2 상보적 비트 라인(BLB2)에 공통으로 연결될 수 있다.Referring to FIG. 6A, four memory cells C11', C12', C21', and C22' may have the same footprint. Footprint can refer to the space occupied by a component in a plane. That is, the four memory cells (C11', C12', C21', and C22') may have the same area, the same horizontal length (or the same length in the X-axis direction) and the same vertical length (or the same length in the Y-axis direction). length). Memory cells C11' and C12' arranged in the same row may be commonly connected to the word line WL[k]. Memory cells C21' and C22' arranged in the same row may be commonly connected to the word line WL[k+1]. Memory cells C11' and C21' arranged in the same column may be commonly connected to the first bit line BL1 and the first complementary bit line BLB1. Memory cells C12' and C22' arranged in the same column may be commonly connected to the second bit line BL2 and the second complementary bit line BLB2.

메모리 셀(C11')은 Y축 방향으로 연장되는 NFET 영역 및 PFET 영역을 포함할 수 있다. 예를 들면, 도 6a에 도시된 바와 같이, 메모리 셀(C11')의 Y축 방향으로 연장되는 PFET 영역들 사이에서 NFET 영역들이 Y축 방향으로 연장될 수 있다. 메모리 셀(C11')은 X축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 게이트 전극은, NFET 영역에서 NFET들, 즉 도 5의 제1 내지 제4 NFET(N11 내지 N14)를 형성할 수 있고, PFET 영역에서 PFET들, 즉 도 5의 제1 및 제2 PFET(P11, P12)를 형성할 수 있다. 게이트 전극의 양측에 소스/드레인들이 형성될 수 있고, 소스/드레인은 컨택(소스/드레인 컨택으로 지칭될 수 있다) 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다. 게이트 전극은 컨택(게이트 컨택으로 지칭될 수 있다) 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다.The memory cell C11' may include an NFET area and a PFET area extending in the Y-axis direction. For example, as shown in FIG. 6A, NFET regions may extend in the Y-axis direction between PFET regions that extend in the Y-axis direction of the memory cell C11'. The memory cell C11' may include a gate electrode extending in the X-axis direction. The gate electrode may form NFETs in the NFET region, that is, the first to fourth NFETs (N11 to N14) of FIG. 5, and may form PFETs in the PFET region, that is, the first and second PFETs (P11, P12) can be formed. Sources/drains may be formed on both sides of the gate electrode, and the source/drain may be connected to the pattern of the M1 layer through contacts (which may be referred to as source/drain contacts) and vias. The gate electrode may be connected to the pattern of the M1 layer through contacts (may be referred to as gate contacts) and vias.

메모리 셀(C12')은 Y축 방향으로 연장되는 NFET 영역 및 PFET 영역을 포함할 수 있다. 예를 들면, 도 6a에 도시된 바와 같이, 메모리 셀(C12')의 Y축 방향으로 연장되는 PFET 영역들 사이에서 NFET 영역들이 Y축 방향으로 연장될 수 있다. 메모리 셀(C12')은 X축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 게이트 전극은, NFET 영역에서 NFET들, 즉 도 5의 제1 내지 제4 NFET(N21 내지 N24)를 형성할 수 있고, PFET 영역에서 PFET들, 즉 도 5의 제1 및 제2 PFET(P21, P22)를 형성할 수 있다. 게이트 전극의 양측에 소스/드레인들이 형성될 수 있고, 소스/드레인은 컨택 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다. 게이트 전극은 컨택 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다.The memory cell C12' may include an NFET area and a PFET area extending in the Y-axis direction. For example, as shown in FIG. 6A, NFET regions may extend in the Y-axis direction between PFET regions that extend in the Y-axis direction of the memory cell C12'. The memory cell C12' may include a gate electrode extending in the X-axis direction. The gate electrode may form NFETs in the NFET region, that is, the first to fourth NFETs (N21 to N24) of FIG. 5, and may form PFETs in the PFET region, that is, the first and second PFETs (P21, P22) can be formed. Sources/drains may be formed on both sides of the gate electrode, and the source/drain may be connected to the pattern of the M1 layer through contacts and vias. The gate electrode may be connected to the pattern of the M1 layer through contacts and vias.

메모리 셀(C21')은 Y축 방향으로 연장되는 NFET 영역 및 PFET 영역을 포함할 수 있다. 예를 들면, 도 6a에 도시된 바와 같이, 메모리 셀(C21')의 Y축 방향으로 연장되는 PFET 영역들 사이에서 NFET 영역들이 Y축 방향으로 연장될 수 있다. 메모리 셀(C21')은 X축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 게이트 전극은, NFET 영역에서 NFET들, 즉 도 5의 제1 내지 제4 NFET(N31 내지 N34)를 형성할 수 있고, PFET 영역에서 PFET들, 즉 도 5의 제1 및 제2 PFET(P31, P32)를 형성할 수 있다. 게이트 전극의 양측에 소스/드레인들이 형성될 수 있고, 소스/드레인은 컨택 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다. 게이트 전극은 컨택 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다.The memory cell C21' may include an NFET area and a PFET area extending in the Y-axis direction. For example, as shown in FIG. 6A, NFET regions may extend in the Y-axis direction between PFET regions that extend in the Y-axis direction of the memory cell C21'. The memory cell C21' may include a gate electrode extending in the X-axis direction. The gate electrode may form NFETs in the NFET region, that is, the first to fourth NFETs (N31 to N34) of FIG. 5, and may form PFETs in the PFET region, that is, the first and second PFETs (P31, P32) can be formed. Sources/drains may be formed on both sides of the gate electrode, and the source/drain may be connected to the pattern of the M1 layer through contacts and vias. The gate electrode may be connected to the pattern of the M1 layer through contacts and vias.

메모리 셀(C22')은 Y축 방향으로 연장되는 NFET 영역 및 PFET 영역을 포함할 수 있다. 예를 들면, 도 6a에 도시된 바와 같이, 메모리 셀(C22')의 Y축 방향으로 연장되는 PFET 영역들 사이에서 NFET 영역들이 Y축 방향으로 연장될 수 있다. 메모리 셀(C22')은 X축 방향으로 연장되는 게이트 전극을 포함할 수 있다. 게이트 전극은, NFET 영역에서 NFET들, 즉 도 5의 제1 내지 제4 NFET(N41 내지 N44)를 형성할 수 있고, PFET 영역에서 PFET들, 즉 도 5의 제1 및 제2 PFET(P41, P42)를 형성할 수 있다. 게이트 전극의 양측에 소스/드레인들이 형성될 수 있고, 소스/드레인은 컨택 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다. 게이트 전극은 컨택 및 비아를 통해서 M1 층의 패턴에 연결될 수 있다.The memory cell C22' may include an NFET area and a PFET area extending in the Y-axis direction. For example, as shown in FIG. 6A, NFET regions may extend in the Y-axis direction between PFET regions that extend in the Y-axis direction of the memory cell C22'. The memory cell C22' may include a gate electrode extending in the X-axis direction. The gate electrode may form NFETs in the NFET region, that is, the first to fourth NFETs (N41 to N44) of FIG. 5, and may form PFETs in the PFET region, that is, the first and second PFETs (P41, P42) can be formed. Sources/drains may be formed on both sides of the gate electrode, and the source/drain may be connected to the pattern of the M1 layer through contacts and vias. The gate electrode may be connected to the pattern of the M1 layer through contacts and vias.

일부 실시예들에서, 레이아웃(60)에 포함된 메모리 셀들은 상호 플립된(flipped) 레이아웃들을 가질 수 있다. 예를 들면, 메모리 셀(C11')의 레이아웃은 메모리 셀(C11') 및 메모리 셀(C12') 사이 경계를 중심으로 메모리 셀(C12')의 레이아웃과 상호 대칭적일 수 있다. 즉, 메모리 셀(C11')의 레이아웃은 메모리 셀(C12')의 레이아웃이 Y축에 평행한 축을 중심으로 플립된 레이아웃에 대응할 수 있다. 또한, 메모리 셀(C11')의 레이아웃은 메모리 셀(C11') 및 메모리 셀(C21') 사이 경계를 중심으로 메모리 셀(C21')의 레이아웃과 상호 대칭적일 수 있다. 즉, 메모리 셀(C11')의 레이아웃은 메모리 셀(C21')의 레이아웃이 X축에 평행한 축을 중심으로 플립된 레이아웃에 대응할 수 있다. 또한, 메모리 셀(C12')의 레이아웃은 메모리 셀(C12') 및 메모리 셀(C22') 사이 경계를 중심으로 메모리 셀(C22')의 레이아웃과 상호 대칭적일 수 있다. 즉, 메모리 셀(C12')의 레이아웃은 메모리 셀(C22')의 레이아웃이 X축에 평행한 축을 중심으로 플립된 레이아웃에 대응할 수 있다.In some embodiments, memory cells included in layout 60 may have layouts that are flipped to each other. For example, the layout of the memory cell C11' may be symmetrical to the layout of the memory cell C12' around the boundary between the memory cells C11' and C12'. That is, the layout of the memory cell C11' may correspond to a layout in which the layout of the memory cell C12' is flipped around an axis parallel to the Y axis. Additionally, the layout of the memory cell C11' may be symmetrical to the layout of the memory cell C21' around the boundary between the memory cells C11' and C21'. That is, the layout of the memory cell C11' may correspond to a layout in which the layout of the memory cell C21' is flipped around an axis parallel to the X-axis. Additionally, the layout of the memory cell C12' may be symmetrical to the layout of the memory cell C22' around the boundary between the memory cells C12' and C22'. That is, the layout of the memory cell C12' may correspond to a layout in which the layout of the memory cell C22' is flipped around an axis parallel to the X-axis.

도 6b를 참조하면, 도 5a의 기판 아래 후면 배선층에서 음의 공급 전압(VSS)이 인가되는 패턴들이 연장될 수 있다. 예를 들면, 도 6b에 도시된 바와 같이, 제1 내지 제3 패턴(BM11, BM12, BM13)이 Y축 방향으로 상호 평행하게 연장될 수 있고, 제1 내지 제3 패턴(BM11, BM12, BM13)에 음의 공급 전압(VSS)이 인가될 수 있다. 도 1을 참조하여 전술된 바와 같이, 제1 내지 제3 패턴(BM11, BM12, BM13) 상에 관통 실리콘 비아들이 배치될 수 있고, 관통 실리콘 비아들을 통해서 메모리 셀들이 음의 공급 전압(VSS)을 공급받을 수 있다.Referring to FIG. 6B, patterns to which a negative supply voltage (VSS) is applied may be extended in the rear wiring layer under the substrate of FIG. 5A. For example, as shown in FIG. 6B, the first to third patterns BM11, BM12, and BM13 may extend parallel to each other in the Y-axis direction, and the first to third patterns BM11, BM12, and BM13 may extend parallel to each other in the Y-axis direction. ) A negative supply voltage (VSS) may be applied. As described above with reference to FIG. 1 , through-silicon vias may be disposed on the first to third patterns BM11, BM12, and BM13, and memory cells may receive a negative supply voltage (VSS) through the through-silicon vias. can be supplied.

도 7a 내지 도 7e는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다. 예를 들면, 도 7a 내지 도 7e의 평면도들은 셀 영역(CR) 및 주변 영역(PR)에서 공급 전압이 인가되는 후면 배선층의 패턴들을 나타낸다. 도 3을 참조하여 전술된 바와 같이, 양의 공급 전압으로서 셀 전압(VDDC)이 외부 셀 전압(VDDCE)으로부터 생성될 수 있고, 양의 공급 전압으로서 주변 전압(VDDP)이 외부 주변 전압(VDDPE)으로부터 생성될 수 있다.7A to 7E are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure. For example, the plan views of FIGS. 7A to 7E show patterns of a rear wiring layer to which a supply voltage is applied in the cell region CR and the peripheral region PR. As described above with reference to FIG. 3, the cell voltage VDDC as the positive supply voltage may be generated from the external cell voltage VDDCE, and the peripheral voltage VDDP as the positive supply voltage may be generated from the external peripheral voltage VDDPE. can be created from

도 7a를 참조하면, 레이아웃(70a)은 Y축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 레이아웃(70a)은 셀 영역(CR) 및/또는 주변 영역(PR)에서 Y축 방향으로 연장되는 후면 배선층의 패턴들을 포함할 수 있다. 일부 실시예들에서, 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들이 셀 영역(CR) 및 주변 영역(PR)에서 연속적으로 연장될 수 있다. 예를 들면, 도 7a에 도시된 바와 같이, 음의 공급 전압(VSS)이 인가되는 제1 패턴(BM01), 제3 패턴(BM03), 제4 패턴(BM04) 및 제6 패턴(BM06)은 셀 영역(CR) 및 주변 영역(PR)에서 상호 평행하게 Y축 방향으로 연장될 수 있다. 도 7a에 도시된 바와 같이, 셀 영역(CR)에서 음의 공급 전압(VSS)이 인가되는 제2 패턴(BM02) 및 제5 패턴(BM05)은 셀 영역(CR)에서 상호 평행하게 Y축 방향으로 연장될 수 있다. 또한, 주변 영역(PR)에서 외부 셀 전압(VDDCE) 또는 외부 주변 전압(VDDPE)이 인가되는 후면 배선층의 패턴들이 Y축 방향으로 연장될 수 있다.Referring to FIG. 7A, the layout 70a may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the Y-axis direction. The layout 70a may include patterns of a rear wiring layer extending in the Y-axis direction in the cell region CR and/or the peripheral region PR. In some embodiments, patterns of the rear wiring layer to which the negative supply voltage VSS is applied may extend continuously in the cell region CR and the peripheral region PR. For example, as shown in FIG. 7A, the first pattern (BM01), the third pattern (BM03), the fourth pattern (BM04), and the sixth pattern (BM06) to which the negative supply voltage (VSS) is applied are It may extend in the Y-axis direction parallel to each other in the cell region (CR) and peripheral region (PR). As shown in FIG. 7A, the second pattern BM02 and the fifth pattern BM05 to which the negative supply voltage VSS is applied in the cell region CR are parallel to each other in the Y-axis direction in the cell region CR. can be extended to Additionally, patterns of the rear wiring layer to which the external cell voltage (VDDCE) or external peripheral voltage (VDDPE) is applied in the peripheral area (PR) may extend in the Y-axis direction.

도 7b를 참조하면, 레이아웃(70b)은 Y축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 레이아웃(70b)은 셀 영역(CR) 및/또는 주변 영역(PR)에서 Y축 방향으로 연장되는 후면 배선층의 패턴들을 포함할 수 있다. 일부 실시예들에서, 셀 전압(VDDC)이 인가되는 후면 배선층의 패턴들이 셀 영역(CR) 및 주변 영역(PR)에서 연속적으로 연장될 수 있다. 예를 들면, 도 7b에 도시된 바와 같이, 셀 전압(VDDC)이 인가되는 제2 패턴(BM02) 및 제5 패턴(BM05)이 셀 영역(CR) 및 주변 영역(PR)에서 상호 평행하게 Y축 방향으로 연장될 수 있다. 도 7b에 도시된 바와 같이, 음의 공급 전압(VSS)이 인가되는 제1 패턴(BM01), 제3 패턴(BM03), 제4 패턴(BM04) 및 제6 패턴(BM06)은 셀 영역(CR) 및 주변 영역(PR)에서 상호 평행하게 Y축 방향으로 연장될 수 있다. 또한, 주변 영역(PR)에서 외부 셀 전압(VDDCE) 또는 외부 주변 전압(VDDPE)이 인가되는 후면 배선층의 패턴들이 Y축 방향으로 연장될 수 있다.Referring to FIG. 7B, the layout 70b may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the Y-axis direction. The layout 70b may include patterns of a rear wiring layer extending in the Y-axis direction in the cell region CR and/or the peripheral region PR. In some embodiments, patterns of the rear wiring layer to which the cell voltage VDDC is applied may extend continuously in the cell region CR and the peripheral region PR. For example, as shown in FIG. 7B, the second pattern BM02 and the fifth pattern BM05 to which the cell voltage VDDC is applied are parallel to each other in the cell region CR and the peripheral region PR. It may extend axially. As shown in FIG. 7B, the first pattern (BM01), the third pattern (BM03), the fourth pattern (BM04), and the sixth pattern (BM06) to which the negative supply voltage (VSS) is applied are the cell region (CR). ) and the peripheral region (PR) may extend parallel to each other in the Y-axis direction. Additionally, patterns of the rear wiring layer to which the external cell voltage (VDDCE) or external peripheral voltage (VDDPE) is applied in the peripheral area (PR) may extend in the Y-axis direction.

도 7c를 참조하면, 레이아웃(70c)은 Y축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 레이아웃(70c)은 셀 영역(CR) 및/또는 주변 영역(PR)에서 Y축 방향으로 연장되는 후면 배선층의 패턴들을 포함할 수 있다. 일부 실시예들에서, 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들이 셀 영역(CR)에서 연장될 수 있다. 예를 들면, 도 7c에 도시된 바와 같이, 음의 공급 전압(VSS)이 인가되는 제1 내지 제6 패턴(BM01 내지 BM06)은 셀 영역(CR)에서만 상호 평행하게 Y축 방향으로 연장될 수 있다. 도 7c에 도시된 바와 같이, 주변 영역(PR)에서 외부 셀 전압(VDDCE), 외부 주변 전압(VDDPE) 또는 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들이 Y축 방향으로 연장될 수 있다.Referring to FIG. 7C, the layout 70c may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the Y-axis direction. The layout 70c may include patterns of a rear wiring layer extending in the Y-axis direction in the cell region CR and/or the peripheral region PR. In some embodiments, patterns of the rear wiring layer to which the negative supply voltage (VSS) is applied may extend in the cell region (CR). For example, as shown in FIG. 7C, the first to sixth patterns BM01 to BM06 to which the negative supply voltage VSS is applied may extend in the Y-axis direction parallel to each other only in the cell region CR. there is. As shown in FIG. 7C, the patterns of the rear wiring layer to which the external cell voltage (VDDCE), external peripheral voltage (VDDPE), or negative supply voltage (VSS) are applied in the peripheral region (PR) may extend in the Y-axis direction. there is.

도 7d를 참조하면, 레이아웃(70d)은 Y축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 레이아웃(70d)은 셀 영역(CR) 및/또는 주변 영역(PR)에서 Y축 방향으로 연장되는 후면 배선층의 패턴들을 포함할 수 있다. 일부 실시예들에서, 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들이, 셀 영역(CR) 및 주변 영역(PR)에서 Y축 방향으로 연속적으로 연장될 수 있고 셀 영역(CR)에서 X축 방향으로 연장될 수 있다. 예를 들면, 도 7d에 도시된 바와 같이, 패턴(BM10)은 셀 영역(CR) 및 주변 영역(PR)에서 Y축 방향으로 연속적으로 연장되는 부분을 포함할 수 있다. 패턴(BM10)은 셀 영역(CR)에서 Y축 방향으로 연장되는 부분을 포함할 수 있고, X축 방향으로 연장되는 부분을 포함할 수 있다. 이에 따라, 도 7d에 도시된 바와 같이, 패턴(BM10)은 셀 영역(CR)에서 메쉬(mesh) 형상을 가질 수 있다. 도 7d에 도시된 바와 같이, 주변 영역(PR)에서 외부 셀 전압(VDDCE) 또는 외부 주변 전압(VDDPE)이 인가되는 후면 배선층의 패턴들이 Y축 방향으로 연장될 수 있다.Referring to FIG. 7D, the layout 70d may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the Y-axis direction. The layout 70d may include patterns of a rear wiring layer extending in the Y-axis direction in the cell region CR and/or the peripheral region PR. In some embodiments, the patterns of the rear wiring layer to which the negative supply voltage (VSS) is applied may extend continuously in the Y-axis direction in the cell region (CR) and the peripheral region (PR) and in the cell region (CR) It may extend in the X-axis direction. For example, as shown in FIG. 7D, the pattern BM10 may include a portion continuously extending in the Y-axis direction in the cell region CR and the peripheral region PR. The pattern BM10 may include a portion extending in the Y-axis direction and a portion extending in the X-axis direction in the cell region CR. Accordingly, as shown in FIG. 7D, the pattern BM10 may have a mesh shape in the cell region CR. As shown in FIG. 7D, patterns of the rear wiring layer to which the external cell voltage (VDDCE) or external peripheral voltage (VDDPE) is applied in the peripheral area (PR) may extend in the Y-axis direction.

도 7e를 참조하면, 레이아웃(70e)은 Y축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 레이아웃(70e)은 셀 영역(CR) 및/또는 주변 영역(PR)에서 Y축 방향으로 연장되는 후면 배선층의 패턴들을 포함할 수 있다. 일부 실시예들에서, 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들이 셀 영역(CR)에서 Y축 방향 및 X축 방향으로 연장될 수 있다. 예를 들면, 도 7e에 도시된 바와 같이, 패턴(BM10)은 셀 영역(CR)에서 Y축 방향으로 연장되는 부분을 포함할 수 있고, 셀 영역(CR)에서 X축 방향으로 연속적으로 연장되는 부분을 포함할 수 있다. 이에 따라, 도 7e에 도시된 바와 같이, 패턴(BM10)은 셀 영역(CR)에서 메쉬(mesh) 형상을 가질 수 있다. 도 7e에 도시된 바와 같이, 주변 영역(PR)에서 외부 셀 전압(VDDCE), 외부 주변 전압(VDDPE) 또는 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들이 Y축 방향으로 연장될 수 있다.Referring to FIG. 7E, the layout 70e may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the Y-axis direction. The layout 70e may include patterns of a rear wiring layer extending in the Y-axis direction in the cell region CR and/or the peripheral region PR. In some embodiments, patterns of the rear wiring layer to which the negative supply voltage VSS is applied may extend in the Y-axis direction and the X-axis direction in the cell region CR. For example, as shown in FIG. 7E, the pattern BM10 may include a portion extending in the Y-axis direction from the cell region CR and continuously extending in the X-axis direction from the cell region CR. Parts may be included. Accordingly, as shown in FIG. 7E, the pattern BM10 may have a mesh shape in the cell region CR. As shown in FIG. 7e, the patterns of the rear wiring layer to which the external cell voltage (VDDCE), external peripheral voltage (VDDPE), or negative supply voltage (VSS) are applied in the peripheral region (PR) may extend in the Y-axis direction. there is.

도 8a 내지 도 8e는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도들이다. 예를 들면, 도 8a 내지 도 8e의 평면도들은 셀 영역(CR) 및 주변 영역(PR)에서 후면 배선층의 패턴들 및 관통 실리콘 비아들을 나타낸다. 도 8a 내지 도 8e에서 관통 실리콘 비아는 후면 배선층의 패턴들에도 불구하고 이해를 위하여 도시된다.8A to 8E are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure. For example, the top views of FIGS. 8A to 8E show patterns of the rear wiring layer and through silicon vias in the cell region (CR) and peripheral region (PR). In FIGS. 8A-8E the through silicon via is shown for illustration purposes despite the patterns of the backside interconnection layer.

셀 영역(CR)은 비트 셀 영역 및 더미 영역을 포함할 수 있다. 비트 셀 영역은 도 6a 및 도 6b를 참조하여 전술된 바와 같이, 메모리 셀들을 포함할 수 있다. 더미 영역은 비트 셀 영역 외곽에 있을 수 있고, 더미 셀들 및/또는 탭 셀들을 포함할 수 있다. 탭 셀은 기판 또는 웰을 바이어싱할 수 있고, 더미 셀은 탭 셀에 인접할 수 있다. 일부 실시예들에서, 더미 셀 및 탭 셀은 메모리 셀과 동일한 풋프린트를 가질 수 있다. 즉, 더미 셀 및 탭 셀은 메모리 셀과 동일한 면적을 가질 수 있고, 동일한 가로 길이 및 동일한 세로 길이를 가질 수 있다. 본 명세서에서, 더미 영역에 배치되는 셀, 즉 더미 셀 및 탭 셀은 더미 셀로 총괄적으로 지칭될 수 있다.The cell area CR may include a bit cell area and a dummy area. The bit cell area may include memory cells, as described above with reference to FIGS. 6A and 6B. The dummy area may be outside the bit cell area and may include dummy cells and/or tap cells. A tap cell may bias the substrate or well, and a dummy cell may be adjacent to the tap cell. In some embodiments, dummy cells and tap cells can have the same footprint as a memory cell. That is, the dummy cell and the tap cell may have the same area as the memory cell, and may have the same horizontal length and the same vertical length. In this specification, cells placed in the dummy area, that is, dummy cells and tap cells, may be collectively referred to as dummy cells.

주변 영역(PR)은 로우 드라이버를 포함할 수 있고, 도 3을 참조하여 전술된 바와 같이, 로우 드라이버는 셀 영역(CR)에서 연장되는 복수의 워드라인들에 연결될 수 있다. 후면 배선층의 패턴은 셀 영역(CR)에서 동일한 행에 배치된 셀들에 공통으로 제공되는 제어 신호가 인가될 수 있다. 예를 들면, 후면 배선층의 패턴들은 복수의 워드 라인들(WLs)에 대응할 수 있다. 도 1을 참조하여 전술된 바와 같이, 후면 배선층의 패턴은 관통 실리콘 비아를 통해서 제1 배선층(M1)의 패턴에 연결될 수 있고, 이에 따라 후면 배선층의 패턴은, 제1 배선층(M1) 또는 제1 배선층(M1)의 상위 제2 배선층에서 연장되는 워드 라인에 대응하는 패턴과 상호 병렬적으로 연장될 수 있고, 이에 따라 워드 라인의 기생 저항이 감소할 수 있다.The peripheral area PR may include a row driver, and as described above with reference to FIG. 3, the row driver may be connected to a plurality of word lines extending from the cell area CR. A control signal commonly provided to cells arranged in the same row in the cell region CR may be applied to the pattern of the rear wiring layer. For example, the patterns of the rear wiring layer may correspond to a plurality of word lines (WLs). As described above with reference to FIG. 1, the pattern of the rear wiring layer may be connected to the pattern of the first wiring layer (M1) through a through silicon via, and accordingly, the pattern of the rear wiring layer may be connected to the first wiring layer (M1) or the first wiring layer (M1). The pattern corresponding to the word line extending from the upper second wiring layer of the wiring layer M1 may be extended in parallel with each other, and thus the parasitic resistance of the word line may be reduced.

도 8a를 참조하면, 레이아웃(80a)은 X축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 복수의 워드 라인들에 대응하는 후면 배선층의 패턴들이 셀 영역(CR)에서 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 복수의 관통 실리콘 비아들은 더미 영역 밖에서 후면 배선층의 패턴들과 연결될 수 있다. 예를 들면, 도 8a에 도시된 바와 같이, 후면 배선층의 패턴들은 비트 셀 영역 및 더미 영역을 관통하여 X축 방향으로 연장될 수 있고, 관통 실리콘 비아들이 더미 영역 밖에서 후면 배선층의 패턴들과 연결될 수 있다. 관통 실리콘 비아들은 제1 배선층(M1)의 패턴들과 연결될 수 있고, 로우 드라이버는 제1 배선층(M1)의 패턴들과 연결될 수 있다.Referring to FIG. 8A, the layout 80a may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the X-axis direction. Patterns of the rear wiring layer corresponding to the plurality of word lines may extend in the X-axis direction in the cell region CR. In some embodiments, a plurality of through silicon vias may be connected to patterns of the rear interconnection layer outside the dummy area. For example, as shown in FIG. 8A, the patterns of the rear wiring layer may extend in the X-axis direction through the bit cell area and the dummy area, and through silicon vias may be connected to the patterns of the rear wiring layer outside the dummy area. there is. The through silicon vias may be connected to the patterns of the first wiring layer (M1), and the row driver may be connected to the patterns of the first wiring layer (M1).

도 8b를 참조하면, 레이아웃(80b)은 X축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 복수의 워드 라인들에 대응하는 후면 배선층의 패턴들이 셀 영역(CR)에서 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 복수의 관통 실리콘 비아들은 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있다. 예를 들면, 도 8b에 도시된 바와 같이, 후면 배선층의 패턴들은 비트 셀 영역 및 더미 영역을 관통하여 X축 방향으로 연장될 수 있고, 관통 실리콘 비아들이 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있다. 관통 실리콘 비아들은 더미 영역의 더미 셀 및/또는 탭 셀을 수직 방향으로 관통할 수 있고, 제1 배선층(M1)의 패턴들과 연결될 수 있다. 로우 드라이버는 제1 배선층(M1)의 패턴들과 연결될 수 있다.Referring to FIG. 8B, the layout 80b may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the X-axis direction. Patterns of the rear wiring layer corresponding to the plurality of word lines may extend in the X-axis direction in the cell region CR. In some embodiments, a plurality of through silicon vias may be connected to patterns of the rear wiring layer in the dummy area. For example, as shown in FIG. 8B, the patterns of the rear wiring layer may extend in the X-axis direction through the bit cell area and the dummy area, and through silicon vias may be connected to the patterns of the rear wiring layer in the dummy area. there is. The through silicon vias may vertically penetrate the dummy cells and/or tab cells of the dummy area and may be connected to patterns of the first wiring layer M1. The row driver may be connected to the patterns of the first wiring layer (M1).

도 8c를 참조하면, 레이아웃(80c)은 X축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 복수의 워드 라인들에 대응하는 후면 배선층의 패턴들이 주변 영역(PR) 및 셀 영역(CR)에서 연속적으로 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 복수의 관통 실리콘 비아들은 주변 영역(PR)에서 후면 배선층의 패턴들과 연결될 수 있고, 더미 영역 밖에서 후면 배선층의 패턴들과 연결될 수 있다. 예를 들면, 도 8c에 도시된 바와 같이, 관통 실리콘 비아들이 주변 영역(PR)에서 후면 배선층의 패턴들과 연결될 수 있고, 로우 드라이버는 관통 실리콘 비아들을 통해서 후면 배선층의 패턴들에 연결될 수 있다. 또한, 관통 실리콘 비아들은 셀 영역(CR)의 더미 영역 밖에서 후면 배선층의 패턴들과 연결될 수 있다.Referring to FIG. 8C, the layout 80c may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the X-axis direction. Patterns of the rear wiring layer corresponding to the plurality of word lines may continuously extend in the X-axis direction in the peripheral area PR and cell area CR. In some embodiments, the plurality of through silicon vias may be connected to the patterns of the back wiring layer in the peripheral region PR and may be connected to the patterns of the back wiring layer outside the dummy region. For example, as shown in FIG. 8C, through-silicon vias may be connected to the patterns of the back wiring layer in the peripheral region PR, and the row driver may be connected to the patterns of the back wiring layer through the through-silicon vias. Additionally, through silicon vias may be connected to patterns of the back wiring layer outside the dummy area of the cell region CR.

도 8d를 참조하면, 레이아웃(80d)은 X축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 복수의 워드 라인들에 대응하는 후면 배선층의 패턴들이 주변 영역(PR) 및 셀 영역(CR)에서 연속적으로 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 복수의 관통 실리콘 비아들은 주변 영역(PR)에서 후면 배선층의 패턴들과 연결될 수 있고, 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있다. 예를 들면, 도 8d에 도시된 바와 같이, 관통 실리콘 비아들이 주변 영역(PR)에서 후면 배선층의 패턴들과 연결될 수 있고, 로우 드라이버는 관통 실리콘 비아들을 통해서 후면 배선층의 패턴들에 연결될 수 있다. 또한, 관통 실리콘 비아들은 셀 영역(CR)의 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있다.Referring to FIG. 8D, the layout 80d may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the X-axis direction. Patterns of the rear wiring layer corresponding to the plurality of word lines may continuously extend in the X-axis direction in the peripheral area PR and cell area CR. In some embodiments, the plurality of through silicon vias may be connected to the patterns of the rear wiring layer in the peripheral area PR and may be connected to the patterns of the rear wiring layer in the dummy region. For example, as shown in FIG. 8D, through-silicon vias may be connected to the patterns of the back wiring layer in the peripheral region PR, and the row driver may be connected to the patterns of the back wiring layer through the through-silicon vias. Additionally, through silicon vias may be connected to patterns of the back wiring layer in the dummy area of the cell region CR.

도 8e를 참조하면, 레이아웃(80e)은 X축 방향으로 상호 인접한 셀 영역(CR) 및 주변 영역(PR)을 포함할 수 있다. 셀 영역(CR)은 더미 영역을 포함할 수 있고, X축 방향으로 상호 이격된 제1 비트 셀 영역(BCR1) 및 제2 비트 셀 영역(BCR2)을 포함할 수 있다. 복수의 워드 라인들에 대응하는 후면 배선층의 패턴들이 셀 영역(CR)에서 더미 영역, 제1 비트 셀 영역(BCR1) 및 제2 비트 셀 영역(BCR2)을 관통하여 상호 평행하게 X축 방향으로 연장될 수 있다. 일부 실시예들에서, 복수의 관통 실리콘 비아들은 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있다. 예를 들면, 도 8e에 도시된 바와 같이, 관통 실리콘 비아들은, 셀 영역(CR)의 가장자리에 인접한 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있고, 제1 비트 셀 영역(BCR1) 및 제2 비트 셀 영역(BCR2) 사이 더미 영역에서 후면 배선층의 패턴들과 연결될 수 있다. 이에 따라, 제1 비트 셀 영역(BCR1) 및 제2 비트 셀 영역(BCR2)을 가로지르는 워드 라인의 기생 저항이 감소할 수 있다.Referring to FIG. 8E, the layout 80e may include a cell region (CR) and a peripheral region (PR) adjacent to each other in the X-axis direction. The cell area CR may include a dummy area, and may include a first bit cell area BCR1 and a second bit cell area BCR2 spaced apart from each other in the X-axis direction. The patterns of the rear wiring layer corresponding to the plurality of word lines extend in the X-axis direction parallel to each other from the cell region CR through the dummy region, the first bit cell region BCR1, and the second bit cell region BCR2. It can be. In some embodiments, a plurality of through silicon vias may be connected to patterns of the rear wiring layer in the dummy area. For example, as shown in FIG. 8E, through-silicon vias may be connected to patterns of the rear wiring layer in a dummy area adjacent to the edge of the cell region CR, and in the first bit cell region BCR1 and the second bit cell region BCR1. The dummy area between the bit cell area (BCR2) may be connected to the patterns of the rear wiring layer. Accordingly, the parasitic resistance of the word line crossing the first bit cell area (BCR1) and the second bit cell area (BCR2) may be reduced.

도 9a 및 도 9b는 본 개시의 예시적 실시예들에 따른 집적 회로의 레이아웃들을 나타내는 평면도이다. 예를 들면, 도 9a 및 도 9b의 평면도들은 도 3의 메모리 장치(30)에 대응하는 레이아웃들(90a, 90b)을 나타낸다. 도 9a 및 도 9b의 평면도들은 도해의 편의상 셀 영역에 포함되는 후면 배선층의 패턴들만을 도시하나, 일부 실시예들에서 도 7a 내지 도 7e 등을 참조하여 전술된 바와 같이, 주변 영역에서 후면 배선층의 패턴들이 연장될 수 있는 점이 유의된다.9A and 9B are plan views showing layouts of integrated circuits according to example embodiments of the present disclosure. For example, the top views of FIGS. 9A and 9B show layouts 90a and 90b corresponding to memory device 30 of FIG. 3 . The plan views of FIGS. 9A and 9B show only the patterns of the rear wiring layer included in the cell area for illustration convenience. However, in some embodiments, as described above with reference to FIGS. 7A to 7E, etc., the rear wiring layer is formed in the peripheral area. It is noted that patterns can be extended.

도 9a를 참조하면, 레이아웃(90a)은 셀 영역에서 제1 셀 어레이(91) 및 제2 셀 어레이(92)를 포함할 수 있고, 주변 영역에서 로우 드라이버(93), 제1 컬럼 드라이버(94) 및 제2 컬럼 드라이버(95)를 포함할 수 있다. 레이아웃(90a)은 셀 어레이 아래에서 공급 전압, 예컨대 음의 공급 전압(VSS)이 인가되는 후면 배선층의 패턴들을 포함할 수 있다. 예를 들면, 도 7e를 참조하여 전술된 예시와 같이, 레이아웃(90a)은, 제1 셀 어레이(91) 아래에서 연장되는 후면 배선층의 패턴들 및 제2 셀 어레이(90a) 아래에서 연장되는 후면 배선층의 패턴들을 포함할 수 있다. 일부 실시예들에서, 레이아웃(90a)은, 도 9a 내지 도 9d를 참조하여 전술된 바와 같이, 제1 셀 어레이(91) 및 제2 셀 어레이(90a) 아래에서 Y축 방향으로 연장되는 후면 배선층의 패턴들을 포함할 수도 있다.Referring to FIG. 9A, the layout 90a may include a first cell array 91 and a second cell array 92 in the cell area, and a row driver 93 and a first column driver 94 in the peripheral area. ) and a second column driver 95. The layout 90a may include patterns of a rear wiring layer to which a supply voltage, for example, a negative supply voltage (VSS) is applied, below the cell array. For example, as in the example described above with reference to FIG. 7E , the layout 90a includes the patterns of the rear wiring layer extending below the first cell array 91 and the rear wiring layer extending below the second cell array 90a. It may include patterns of wiring layers. In some embodiments, the layout 90a includes a back wiring layer extending in the Y-axis direction under the first cell array 91 and the second cell array 90a, as described above with reference to FIGS. 9A to 9D. It may also include patterns.

도 9b를 참조하면, 레이아웃(90b)은 셀 영역에서 제1 셀 어레이(91) 및 제2 셀 어레이(92)를 포함할 수 있고, 주변 영역에서 로우 드라이버(93), 제1 컬럼 드라이버(94) 및 제2 컬럼 드라이버(95)를 포함할 수 있다. 레이아웃(90a)은 셀 어레이 아래에서 워드 라인들에 대응하는 후면 배선층의 패턴들을 포함할 수 있다. 예를 들면, 도 8a 및 도 8b를 참조하여 전술된 예시들과 같이, 레이아웃(90b)은, 제1 셀 어레이(91) 아래에서 X축 방향으로 연장되는 후면 배선층의 패턴들 및 후면 배선층의 패턴들에 연결된 관통 실리콘 비아들을 포함할 수 있다. 또한, 레이아웃(90b)은, 제2 셀 어레이(91) 아래에서 X축 방향으로 연장되는 후면 배선층의 패턴들 및 후면 배선층의 패턴들에 연결된 관통 실리콘 비아들을 포함할 수 있다. 일부 실시예들에서, 레이아웃(90b)은 도 8c 및 도 8d를 참조하여 전술된 바와 같이, 로우 드라이버(93)으로 연장되는 후면 배선층의 패턴들을 포함할 수 있고, 로우 드라이버(93)에 배치된 관통 실리콘 비아들을 포함할 수 있다. 또한, 일부 실시예들에서, 제1 셀 어레이(91) 및 제2 셀 어레이(92) 각각은, 도 8e를 참조하여 전술된 바와 같이, 2개 이상의 상호 이격된 셀 어레이들을 포함할 수 있고, 상호 이격된 셀 어레이들 사이에 관통 실리콘 비아들이 배치될 수도 있다.Referring to FIG. 9B, the layout 90b may include a first cell array 91 and a second cell array 92 in the cell area, and a row driver 93 and a first column driver 94 in the peripheral area. ) and a second column driver 95. Layout 90a may include patterns of a rear wiring layer corresponding to word lines beneath the cell array. For example, as in the examples described above with reference to FIGS. 8A and 8B, the layout 90b includes patterns of the rear wiring layer and a pattern of the rear wiring layer extending in the X-axis direction below the first cell array 91. It may include through-silicon vias connected to the fields. Additionally, the layout 90b may include patterns of the back wiring layer extending in the X-axis direction under the second cell array 91 and through silicon vias connected to the patterns of the back wiring layer. In some embodiments, layout 90b may include patterns of a rear wiring layer extending into row driver 93, as described above with reference to FIGS. 8C and 8D, and disposed on row driver 93. May include through silicon vias. Additionally, in some embodiments, each of the first cell array 91 and the second cell array 92 may include two or more cell arrays spaced apart from each other, as described above with reference to FIG. 8E, Through silicon vias may be disposed between cell arrays spaced apart from each other.

도 10은 본 개시의 예시적 실시예에 따라 집적 회로(IC)를 제조하기 위한 방법을 나타내는 순서도이다. 구체적으로, 도 10의 순서도는 표준 셀들을 포함하는 집적 회로(IC)를 제조하기 위한 방법의 예시를 나타낸다. 표준 셀(standard ell)은 집적 회로에 포함되는 레이아웃의 단위로서, 미리 정의된 기능을 수행하도록 설계될 수 있다. 도 10에 도시된 바와 같이, 집적 회로(IC)를 제조하기 위한 방법은 복수의 단계들(S10, S30, S50, S70, S90)을 포함할 수 있다.10 is a flowchart illustrating a method for manufacturing an integrated circuit (IC) according to an example embodiment of the present disclosure. Specifically, the flowchart of FIG. 10 shows an example of a method for manufacturing an integrated circuit (IC) containing standard cells. A standard cell (standard ell) is a unit of layout included in an integrated circuit and may be designed to perform a predefined function. As shown in FIG. 10, a method for manufacturing an integrated circuit (IC) may include a plurality of steps S10, S30, S50, S70, and S90.

셀 라이브러리(또는 표준 셀 라이브러리)(D12)는 표준 셀들에 관한 정보, 예컨대 기능, 특성, 레이아웃 등에 대한 정보를 포함할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 입력 신호로부터 출력 신호를 생성하는 기능 셀들뿐만 아니라 탭 셀 및 더미 셀을 정의할 수 있다. 일부 실시예들에서, 셀 라이브러리(D12)는 동일한 풋프린트를 가지는 메모리 셀들 및 더미 셀들을 정의할 수 있다.The cell library (or standard cell library) D12 may include information about standard cells, such as information about functions, characteristics, layout, etc. In some embodiments, the cell library D12 may define tap cells and dummy cells as well as functional cells that generate an output signal from an input signal. In some embodiments, the cell library D12 may define memory cells and dummy cells having the same footprint.

설계 규칙(D14)은 집적 회로(IC)의 레이아웃이 준수해야 할 요건들을 포함할 수 있다. 예를 들면, 설계 규칙(D14)은 동일한 레이어에서 패턴들 사이 거리(space), 패턴의 최소 폭, 배선층의 라우팅 방향 등에 대한 요건들을 포함할 수 있다. 일부 실시예들에서, 설계 규칙(D14)은 배선층의 동일 트랙내 최소 이격 거리를 정의할 수 있다.Design rules (D14) may include requirements that the layout of an integrated circuit (IC) must comply with. For example, the design rule D14 may include requirements for the distance (space) between patterns in the same layer, the minimum width of the pattern, the routing direction of the wiring layer, etc. In some embodiments, design rule D14 may define a minimum separation distance within the same track of the wiring layer.

단계 S10에서, RTL 데이터(D11)로부터 네트리스트 데이터(D13)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, 논리 합성 툴)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로 작성된 RTL 데이터(D11)로부터 셀 라이브러리(D12)를 참조하여 논리 합성을 수행할 수 있고, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터(D13)를 생성할 수 있다. 네트리스트 데이터(D13)는 후술되는 배치 및 라우팅(place and routing)의 입력에 대응할 수 있다.In step S10, a logical synthesis operation may be performed to generate netlist data (D13) from RTL data (D11). For example, a semiconductor design tool (e.g., a logic synthesis tool) synthesizes logic by referencing a cell library (D12) from RTL data (D11) written in a Hardware Description Language (HDL) such as VHSIC Hardware Description Language (VHDL) and Verilog. can be performed, and netlist data D13 including a bitstream or netlist can be generated. Netlist data D13 may correspond to input of place and routing, which will be described later.

단계 S30에서, 표준 셀들이 배치될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, P&R 툴)은 네트리스트 데이터(D13)에서 사용된 표준 셀들을 셀 라이브러리(D12)를 참조하여 배치할 수 있다. 일부 실시예들에서, 반도체 설계 툴은 X축 방향 또는 Y축 방향으로 연장되는 행에 표준 셀을 배치할 수 있고, 배치된 표준 셀은 행의 경계들을 따라 연장되는 파워 레일로부터 전력을 공급받을 수 있다.In step S30, standard cells may be deployed. For example, a semiconductor design tool (eg, P&R tool) may place standard cells used in the netlist data D13 with reference to the cell library D12. In some embodiments, a semiconductor design tool may place standard cells in a row extending in an X-axis direction or a Y-axis direction, and the placed standard cells may receive power from a power rail extending along row boundaries. there is.

단계 S50에서, 표준 셀들의 핀(pin)들이 라우팅될 수 있다. 예를 들면, 반도체 설계 툴은 배치된 표준 셀들의 출력 핀들 및 입력 핀들을 전기적으로 연결하는 상호연결(interconnection)들을 생성할 수 있고, 배치된 표준 셀들 및 생성된 상호연결들을 정의하는 레이아웃 데이터(D15)를 생성할 수 있다. 상호연결은 비아 층의 비아 및/또는 배선층들의 패턴들을 포함할 수 있다. 배선층들은, 제1 배선층(M1)과 같이 게이트 전극 위에 위치하는 배선층뿐만 아니라 게이트 전극 아래에 위치하는 후면 배선층을 포함할 수 있다. 레이아웃 데이터(D15)는, 예컨대 GDSII와 같은 포맷을 가질 수 있고, 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. 반도체 설계 툴은 셀들의 핀들을 라우팅하는 동안 설계 규칙(D14)을 참조할 수 있다. 레이아웃 데이터(D15)는 배치 및 라우팅의 출력에 대응할 수 있다. 단계 S50의 예시가 도 11을 참조하여 후술될 것이다. 단계 S50 단독으로, 또는 단계 S30 및 단계 S50이 총괄적으로, 집적 회로를 설계하는 방법으로서 지칭될 수 있다.In step S50, pins of standard cells may be routed. For example, a semiconductor design tool can generate interconnections that electrically connect the output pins and input pins of placed standard cells, and layout data (D15) defining the placed standard cells and the created interconnections. ) can be created. The interconnections may include patterns of vias and/or interconnection layers of via layers. The wiring layers may include a rear wiring layer located below the gate electrode as well as a wiring layer located above the gate electrode, such as the first wiring layer M1. The layout data D15 may have a format, for example GDSII, and may include geometric information of cells and interconnections. The semiconductor design tool can refer to the design rule (D14) while routing the pins of the cells. Layout data D15 may correspond to the output of placement and routing. An example of step S50 will be described later with reference to FIG. 11. Step S50 alone, or steps S30 and S50 collectively, may be referred to as a method for designing an integrated circuit.

단계 S70에서, 마스크를 제작(fabricating)하는 동작이 수행될 수 있다. 예를 들면, 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정하기 위한 OPC(optical proximity correction)가 레이아웃 데이터(D15)에 이 적용될 수 있다. OPC가 적용된 데이터에 기초하여 복수의 층들에 배치되는 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다. 일부 실시예들에서, 집적 회로(IC)의 레이아웃은 단계 S70에서 제한적으로 변형될 수 있고, 단계 S70에서 집적 회로(IC)의 제한적으로 변형하는 것은 집적 회로(IC)의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design polishing)으로 지칭될 수 있다.In step S70, the operation of fabricating a mask can be performed. For example, in photolithography, optical proximity correction (OPC) to correct distortion such as refraction due to the characteristics of light may be applied to the layout data D15. Patterns on a mask may be defined to form patterns arranged in a plurality of layers based on OPC applied data, and at least one mask (or photomask) may be manufactured to form patterns in each of the plurality of layers. You can. In some embodiments, the layout of the integrated circuit (IC) may be limitedly modified in step S70, and the limited modification of the integrated circuit (IC) in step S70 may be performed after optimizing the structure of the integrated circuit (IC). As a treatment, it may be referred to as design polishing.

단계 S90에서, 집적 회로(IC)를 제조(manufacturing)하는 동작이 수행될 수 있다. 예를 들면, 단계 S70에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로(IC)가 제조될 수 있다. FEOL(front-end-of-line) 은, 예컨대 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치(trench)를 형성하는 단계, 웰을 형성하는 단계, 게이트 전극을 형성하는 단계, 소스 및 드레인을 형성하는 단계를 포함할 수 있다. FEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 기판에 형성될 수 있다. 또한, BEOL(back-end-of-line)은, 예컨대 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 금속층을 부가하는 단계, 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있다. BEOL에 의해서, 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등이 상호연결될 수 있다. 일부 실시예들에서, FEOL 및 BEOL 사이에 MOL(middle-of-line)이 수행될 수 있고, 개별 소자들 상에 컨택들이 형성될 수 있다. 그 다음에, 집적 회로(IC)는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션들의 부품으로서 사용될 수 있다.In step S90, an operation of manufacturing an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be manufactured by patterning a plurality of layers using at least one mask fabricated in step S70. Front-end-of-line (FEOL) includes, for example, planarizing and cleaning the wafer, forming a trench, forming a well, forming a gate electrode, source And it may include forming a drain. By FEOL, individual elements such as transistors, capacitors, resistors, etc. can be formed on the substrate. Additionally, back-end-of-line (BEOL) may include, for example, siliciding the gate, source, and drain regions, adding a dielectric, planarizing, forming holes, and adding a metal layer. , forming a via, forming a passivation layer, etc. By BEOL, individual elements such as transistors, capacitors, resistors, etc. can be interconnected. In some embodiments, middle-of-line (MOL) may be performed between FEOL and BEOL and contacts may be formed on the individual devices. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in a variety of applications.

도 11은 본 개시의 예시적 실시예에 따라 집적 회로를 설계하는 방법을 나타내는 순서도이다. 예를 들면, 도 11의 순서도는 도 10의 단계 S50의 예시를 나타낸다. 도 10을 참조하여 전술된 바와 같이, 도 11의 단계 S50'에서 핀들이 라우팅될 수 있다. 도 11에 도시된 바와 같이, 단계 S50'은 단계 S51 및 단계 S52를 포함할 수 있다. Figure 11 is a flowchart showing a method of designing an integrated circuit according to an example embodiment of the present disclosure. For example, the flow chart in FIG. 11 shows an example of step S50 in FIG. 10. As described above with reference to FIG. 10, pins may be routed in step S50' of FIG. 11. As shown in FIG. 11, step S50' may include steps S51 and S52.

도 11을 참조하면, 단계 S51에서 후면 배선층에서 패턴이 생성될 수 있다. 예를 들면, 반도체 설계 툴은 핀들을 라우팅하기 위한 라우팅 자원으로서 후면 배선층을 사용할 수 있다. 이에 따라, 후면 배선층은 표준 셀의 입력 핀 및 출력 핀뿐만 아니라 전력 공급을 위한 전력 핀의 라우팅에 사용될 수 있다. 증가된 라우팅 자원에 기인하여 라우팅 혼잡이 제거될 수 있고, 상호연결이 단순해질 수 있으며, 결과적으로 신호 경로가 단축될 수 있다.Referring to FIG. 11, a pattern may be created in the rear wiring layer in step S51. For example, a semiconductor design tool can use the backside wiring layer as a routing resource to route pins. Accordingly, the back wiring layer can be used for routing power pins for power supply as well as input pins and output pins of standard cells. Due to the increased routing resources, routing congestion can be eliminated, interconnections can be simplified, and signal paths can be shortened as a result.

단계 S52에서, 관통 실리콘 비아가 배치될 수 있다. 예를 들면, 반도체 설계 툴은 단계 S51에서 생성된 후면 배선층의 패턴을 제1 배선층(M1)의 패턴에 연결하기 위하여 관통 실리콘 비아를 배치할 수 있다. 일부 실시예들에서, 도 8a 내지 도 8e를 참조하여 전술된 바와 같이, 관통 실리콘 비아는 비트 셀 어레이 밖에 배치될 수 있다. 일부 실시예들에서, 관통 실리콘 비아는 더미 셀을 수직 방향으로 관통할 수 있다.In step S52, a through silicon via may be placed. For example, the semiconductor design tool may place a through silicon via to connect the pattern of the rear wiring layer created in step S51 to the pattern of the first wiring layer (M1). In some embodiments, as described above with reference to FIGS. 8A-8E, the through silicon via may be placed outside the bit cell array. In some embodiments, the through silicon via may penetrate the dummy cell in a vertical direction.

도 12는 본 개시의 예시적 실시예에 따른 시스템-온-칩(120)을 나타내는 블록도이다. 시스템-온-칩(System on Chip; SoC)(120)은 컴퓨팅 시스템이나 다른 전자 시스템의 부품들을 집적한 집적 회로를 지칭할 수 있다. 예를 들면, 시스템-온-칩(120)의 예시로서 어플리케이션 프로세서(application processor; AP)는 프로세서 및 다른 기능들을 위한 부품들을 포함할 수 있다. 도 12에 도시된 바와 같이, 시스템-온-칩(120)은 코어(121), DSP(Digital Signal Processor)(122), GPU(Graphic Processing Unit)(123), 내장 메모리(124), 통신 인터페이스(125) 및 메모리 인터페이스(126)를 포함할 수 있다. 시스템-온-칩(120)의 구성요소들은 버스(127)를 통해서 상호 통신할 수 있다.Figure 12 is a block diagram showing a system-on-chip 120 according to an exemplary embodiment of the present disclosure. System on Chip (SoC) 120 may refer to an integrated circuit that integrates components of a computing system or other electronic system. For example, an application processor (AP) as an example of system-on-chip 120 may include a processor and components for other functions. As shown in Figure 12, the system-on-chip 120 includes a core 121, a digital signal processor (DSP) 122, a graphics processing unit (GPU) 123, a built-in memory 124, and a communication interface. It may include 125 and a memory interface 126. Components of system-on-chip 120 may communicate with each other through bus 127.

코어(121)는 명령어들을 처리할 수 있고, 시스템-온-칩(120)에 포함된 구성요소들의 동작을 제어할 수 있다. 예를 들면, 코어(121)는 일련의 명령어들을 처리함으로써, 운영체제를 구동할 수 있고, 운영체제 상의 어플리케이션들을 실행할 수 있다. DSP(122)는 디지털 신호, 예컨대 통신 인터페이스(125)로부터 제공되는 디지털 신호를 처리함으로써 유용한 데이터를 생성할 수 있다. GPU(123)는 내장 메모리(124) 또는 메모리 인터페이스(126)로부터 제공되는 이미지 데이터로부터 디스플레이 장치를 통해서 출력되는 영상을 위한 데이터를 생성할 수도 있고, 이미지 데이터를 인코딩할 수도 있다. 일부 실시예들에서, 도면들을 참조하여 전술된 메모리 장치는 캐시(cache) 메모리 및/또는 버퍼로서 코어(121), DSP(122) 및/또는 GPU(123)에 포함될 수 있다. 이에 따라, 메모리 장치의 높은 신뢰도 및 효율성에 기인하여, 코어(121), DSP(122) 및/또는 GPU(123) 역시 높은 신뢰도 및 효율성을 가질 수 있다. The core 121 can process instructions and control the operation of components included in the system-on-chip 120. For example, the core 121 can drive an operating system and run applications on the operating system by processing a series of instructions. The DSP 122 may generate useful data by processing digital signals, for example, digital signals provided from the communication interface 125. The GPU 123 may generate data for an image output through a display device from image data provided from the built-in memory 124 or the memory interface 126, and may encode the image data. In some embodiments, the memory device described above with reference to the drawings may be included in the core 121, DSP 122, and/or GPU 123 as a cache memory and/or buffer. Accordingly, due to the high reliability and efficiency of the memory device, the core 121, DSP 122, and/or GPU 123 may also have high reliability and efficiency.

내장 메모리(124)는 코어(121), DSP(122) 및 GPU(123)가 동작하는데 필요한 데이터를 저장할 수 있다. 일부 실시예들에서, 내장 메모리(124)는 도면들을 참조하여 전술된 메모리 장치를 포함할 수 있다. 이에 따라, 내장 메모리(124)는 감소된 면적 및 높은 효율성을 가질 수 있고, 결과적으로, 시스템-온-칩(120)의 동작 신뢰도 및 효율성이 향상될 수 있다.The built-in memory 124 can store data necessary for the core 121, DSP 122, and GPU 123 to operate. In some embodiments, embedded memory 124 may include a memory device described above with reference to the figures. Accordingly, the built-in memory 124 can have a reduced area and high efficiency, and as a result, the operational reliability and efficiency of the system-on-chip 120 can be improved.

통신 인터페이스(125)는 통신 네트워크 또는 일대일 통신을 위한 인터페이스를 제공할 수 있다. 메모리 인터페이스(126)는 시스템-온-칩(120)의 외부 메모리, 예컨대 DRAM(Dynamic Random Access Memory), 플래시 메모리 등에 대한 인터페이스를 제공할 수 있다.The communication interface 125 may provide an interface for a communication network or one-to-one communication. The memory interface 126 may provide an interface to external memory of the system-on-chip 120, such as dynamic random access memory (DRAM) and flash memory.

도 13은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템(130)을 나타내는 블록도이다. 본 개시의 예시적 실시예들에 따른, 집적 회로를 설계하는 방법, 예컨대 전술된 순서도의 단계들 중 적어도 일부는 컴퓨팅 시스템(또는 컴퓨터)(130)에서 수행될 수 있다.FIG. 13 is a block diagram illustrating a computing system 130 including a memory for storing a program according to an exemplary embodiment of the present disclosure. A method of designing an integrated circuit, according to example embodiments of the present disclosure, such as at least some of the steps in the flowchart described above, may be performed on a computing system (or computer) 130.

컴퓨팅 시스템(130)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 도 13에 도시된 바와 같이, 컴퓨팅 시스템(130)은 프로세서(131), 입출력 장치들(132), 네트워크 인터페이스(133), RAM(random access memory)(134), ROM(read only memory)(135) 및 저장 장치(136)를 포함할 수 있다. 프로세서(131), 입출력 장치들(132), 네트워크 인터페이스(133), RAM(134), ROM(135) 및 저장 장치(136)는 버스(137)에 연결될 수 있고, 버스(137)를 통해서 서로 통신할 수 있다.Computing system 130 may be a stationary computing system, such as a desktop computer, workstation, server, etc., or a portable computing system, such as a laptop computer. As shown in FIG. 13, the computing system 130 includes a processor 131, input/output devices 132, a network interface 133, random access memory (RAM) 134, and read only memory (ROM) 135. ) and a storage device 136. The processor 131, input/output devices 132, network interface 133, RAM 134, ROM 135, and storage device 136 may be connected to the bus 137 and communicate with each other through the bus 137. Can communicate.

프로세서(131)는 프로세싱 유닛으로 지칭될 수 있고, 예컨대 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트(예컨대, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(131)는 버스(137)를 통해서 메모리, 즉 RAM(134) 또는 ROM(135)에 액세스할 수 있고, RAM(134) 또는 ROM(135)에 저장된 명령어들을 실행할 수 있다. The processor 131 may be referred to as a processing unit, for example, a microprocessor (micro-processor), an application processor (AP), a digital signal processor (DSP), or a graphic processing unit (GPU), such as any instruction set (e.g., It may include at least one core capable of executing IA-32 (Intel Architecture-32), 64-bit extensions IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64, etc.). For example, the processor 131 can access memory, that is, RAM 134 or ROM 135, through the bus 137 and execute instructions stored in RAM 134 or ROM 135.

RAM(134)은 본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법을 위한 프로그램(134_1) 또는 그것의 적어도 일부를 저장할 수 있고, 프로그램(134_1)은 프로세서(131)로 하여금, 집적 회로를 설계하는 방법, 예컨대 도 10의 방법들에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(134_1)은 프로세서(131)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(134_1)에 포함된 복수의 명령어들은 프로세서(131)로 하여금, 예컨대 전술된 순서도들에 포함된 단계들 중 적어도 일부를 수행하도록 할 수 있다.The RAM 134 may store a program 134_1 or at least a portion thereof for a method of designing an integrated circuit according to an exemplary embodiment of the present disclosure, and the program 134_1 allows the processor 131 to use the integrated circuit. It is possible to perform at least some of the steps included in the method of designing, for example, the methods of FIG. 10. That is, the program 134_1 may include a plurality of instructions executable by the processor 131, and the plurality of instructions included in the program 134_1 allow the processor 131 to execute, for example, the instructions included in the above-described flowcharts. You can have at least some of the steps performed.

저장 장치(136)는 컴퓨팅 시스템(130)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(136)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(136)는 컴퓨팅 시스템(130)으로부터 탈착 가능할 수도 있다. 저장 장치(136)는 본 개시의 예시적 실시예에 따른 프로그램(134_1)을 저장할 수도 있으며, 프로그램(134_1)이 프로세서(131)에 의해서 실행되기 이전에 저장 장치(136)로부터 프로그램(134_1) 또는 그것의 적어도 일부가 RAM(134)으로 로딩될 수 있다. 다르게는, 저장 장치(136)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(134_1) 또는 그것의 적어도 일부가 RAM(134)으로 로딩될 수 있다. 또한, 도 13에 도시된 바와 같이, 저장 장치(136)는 데이터베이스(136_1)를 저장할 수 있고, 데이터베이스(136_1)는 집적 회로를 설계하는데 필요한 정보, 예컨대 설계된 블록들에 대한 정보, 도 10의 셀 라이브러리(D12) 및/또는 설계 규칙(D14)을 포함할 수 있다.The storage device 136 may not lose stored data even if power supplied to the computing system 130 is cut off. For example, storage device 136 may include a non-volatile memory device or may include a storage medium such as magnetic tape, optical disk, or magnetic disk. Additionally, storage device 136 may be removable from computing system 130. The storage device 136 may store the program 134_1 according to an exemplary embodiment of the present disclosure, and the program 134_1 or At least part of it may be loaded into RAM 134. Alternatively, the storage device 136 may store a file written in a program language, and the program 134_1 or at least a portion thereof generated from the file by a compiler or the like may be loaded into the RAM 134. Additionally, as shown in FIG. 13, the storage device 136 may store a database 136_1, and the database 136_1 may store information necessary for designing an integrated circuit, such as information on designed blocks, the cell of FIG. 10 It may include a library (D12) and/or design rules (D14).

저장 장치(136)는 프로세서(131)에 의해서 처리될 데이터 또는 프로세서(131)에 의해서 처리된 데이터를 저장할 수도 있다. 즉, 프로세서(131)는 프로그램(134_1)에 따라, 저장 장치(136)에 저장된 데이터를 처리함으로써 데이터를 생성할 수 있고, 생성된 데이터를 저장 장치(136)에 저장할 수도 있다. 예를 들면, 저장 장치(136)는, 도 10의 RTL 데이터(D11), 네트리스트 데이터(D13) 및/또는 레이아웃 데이터(D15)를 저장할 수 있다.The storage device 136 may store data to be processed by the processor 131 or data processed by the processor 131. That is, the processor 131 may generate data by processing data stored in the storage device 136 according to the program 134_1, and may store the generated data in the storage device 136. For example, the storage device 136 may store RTL data D11, netlist data D13, and/or layout data D15 of FIG. 10 .

입출력 장치들(132)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 예를 들면, 사용자는 입출력 장치들(132)을 통해서, 프로세서(131)에 의해 프로그램(134_1)의 실행을 트리거할 수도 있고, 도 10의 RTL 데이터(D11) 및/또는 네트리스트 데이터(D13)를 입력할 수도 있으며, 도 10의 레이아웃 데이터(D15)를 확인할 수도 있다.The input/output devices 132 may include an input device such as a keyboard, a pointing device, etc., and may include an output device such as a display device, a printer, etc. For example, a user may trigger execution of program 134_1 by processor 131 through input/output devices 132, RTL data D11 and/or netlist data D13 of FIG. 10. You can also input and check the layout data (D15) of FIG. 10.

네트워크 인터페이스(133)는 컴퓨팅 시스템(130) 외부의 네트워크에 대한 액세스를 제공할 수 있다. 예를 들면, 네트워크는 다수의 컴퓨팅 시스템들 및 통신 링크들을 포함할 수 있고, 통신 링크들은 유선 링크들, 광학 링크들, 무선 링크들 또는 임의의 다른 형태의 링크들을 포함할 수 있다.Network interface 133 may provide access to a network external to computing system 130. For example, a network may include multiple computing systems and communication links, which may include wired links, optical links, wireless links, or any other type of links.

이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다.As above, exemplary embodiments have been disclosed in the drawings and specification. Although embodiments have been described in this specification using specific terminology, this is only used for the purpose of explaining the technical idea of the present disclosure and is not used to limit the meaning or scope of the present disclosure as set forth in the patent claims. . Therefore, those skilled in the art will understand that various modifications and other equivalent embodiments are possible therefrom.

Claims (20)

복수의 셀들이 배열되는 셀 영역; 및
상기 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함하고,
상기 셀 영역은,
기판 위에서 연장되는 복수의 제1 게이트 라인들;
상기 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들;
상기 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되는 복수의 제2 패턴들; 및
상기 기판을 각각 수직 방향으로 관통하는 복수의 제1 비아들을 포함하고,
상기 복수의 제1 비아들 각각은, 상기 복수의 제1 패턴들 중 하나에 연결된 상면 및 상기 복수의 제2 패턴들 중 하나에 연결된 하면을 가지는 것을 특징으로 하는 집적 회로.
A cell area where a plurality of cells are arranged; and
It includes a peripheral area where a circuit for controlling the plurality of cells is placed,
The cell area is,
a plurality of first gate lines extending over the substrate;
a plurality of first patterns extending from a first wiring layer over the plurality of first gate lines;
a plurality of second patterns extending in a first horizontal direction on a rear wiring layer below the substrate; and
Includes a plurality of first vias each penetrating the substrate in a vertical direction,
Each of the plurality of first vias has an upper surface connected to one of the plurality of first patterns and a lower surface connected to one of the plurality of second patterns.
청구항 1에 있어서,
상기 복수의 제2 패턴들은, 상기 복수의 셀들에 제공되는 제1 공급 전압을 수신하도록 구성된 적어도 하나의 제2 패턴을 포함하는 것을 특징으로 하는 집적 회로.
In claim 1,
The plurality of second patterns include at least one second pattern configured to receive a first supply voltage provided to the plurality of cells.
청구항 2에 있어서,
상기 적어도 하나의 제2 패턴은, 상기 제1 수평 방향으로 상기 주변 영역으로 연장되는 것을 특징으로 하는 집적 회로.
In claim 2,
The integrated circuit, wherein the at least one second pattern extends to the peripheral area in the first horizontal direction.
청구항 2에 있어서,
상기 셀 영역은, 상기 후면 배선층에서 상기 제1 수평 방향에 직교하는 제2 수평 방향으로 연장되는 복수의 제3 패턴들을 더 포함하고,
상기 복수의 제3 패턴들은, 상기 복수의 제2 패턴들과 연결되는 것을 특징으로 하는 집적 회로.
In claim 2,
The cell region further includes a plurality of third patterns extending in a second horizontal direction orthogonal to the first horizontal direction in the rear wiring layer,
An integrated circuit, wherein the plurality of third patterns are connected to the plurality of second patterns.
청구항 2에 있어서,
상기 복수의 제2 패턴들은, 상기 복수의 셀들에 제공되는 제2 공급 전압을 수신하도록 구성되고 상기 주변 영역으로 연장되는 적어도 하나의 제2 패턴을 더 포함하는 것을 특징으로 하는 집적 회로.
In claim 2,
The plurality of second patterns further include at least one second pattern configured to receive a second supply voltage provided to the plurality of cells and extending to the peripheral area.
청구항 5에 있어서,
상기 주변 영역은, 상기 주변 영역의 외부에서 제공되는 외부 공급 전압으로부터 상기 제2 공급 전압을 생성하도록 구성된 회로를 포함하는 것을 특징으로 하는 집적 회로.
In claim 5,
wherein the peripheral area includes circuitry configured to generate the second supply voltage from an external supply voltage provided outside the peripheral area.
청구항 1에 있어서,
상기 주변 영역은,
상기 기판 위에서 연장되는 복수의 제2 게이트 라인들;
상기 복수의 제2 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제4 패턴들;
상기 후면 배선층에서 상기 제1 수평 방향으로 연장되는 복수의 제5 패턴들; 및
상기 기판을 수직 방향으로 관통하는 복수의 제2 비아들을 포함하고,
상기 복수의 제2 비아들 각각은, 복수의 제4 패턴들 중 하나 및 상기 복수의 제5 패턴들 중 하나에 연결되는 것을 특징으로 하는 집적 회로.
In claim 1,
The surrounding area is,
a plurality of second gate lines extending over the substrate;
a plurality of fourth patterns extending from a first wiring layer over the plurality of second gate lines;
a plurality of fifth patterns extending from the rear wiring layer in the first horizontal direction; and
Includes a plurality of second vias penetrating the substrate in a vertical direction,
An integrated circuit, wherein each of the plurality of second vias is connected to one of the plurality of fourth patterns and one of the plurality of fifth patterns.
청구항 7에 있어서,
상기 복수의 제5 패턴들은, 상기 주변 영역의 외부에서 제공되는 외부 공급 전압을 수신하도록 구성된 적어도 하나의 제5 패턴을 포함하는 것을 특징으로 하는 집적 회로.
In claim 7,
The plurality of fifth patterns include at least one fifth pattern configured to receive an external supply voltage provided from outside the peripheral area.
청구항 1에 있어서,
상기 복수의 제2 패턴들 각각은, 상기 복수의 셀들 중 상기 제1 수평 방향으로 연장되는 행에 배열된 셀들에 공통으로 제공되는 제어 신호를 수신하도록 구성된 것을 특징으로 하는 집적 회로.
In claim 1,
Each of the plurality of second patterns is configured to receive a control signal commonly provided to cells arranged in a row extending in the first horizontal direction among the plurality of cells.
청구항 9에 있어서,
상기 복수의 제2 패턴들은, 상기 주변 영역으로 연장되고,
상기 주변 영역은, 상기 기판을 수직 방향으로 관통하고 상기 복수의 제2 패턴들에 연결되는 복수의 제3 비아들을 포함하는 것을 특징으로 하는 집적 회로.
In claim 9,
The plurality of second patterns extend to the peripheral area,
The peripheral area includes a plurality of third vias that penetrate the substrate in a vertical direction and are connected to the plurality of second patterns.
복수의 셀들이 배열되는 셀 영역; 및
상기 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함하고,
상기 셀 영역은,
기판 위에서 연장되는 복수의 제1 게이트 라인들;
상기 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들; 및
상기 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되는 복수의 제2 패턴들을 포함하고,
상기 복수의 제2 패턴들 각각은, 상기 복수의 셀들 중 상기 제1 수평 방향으로 연장되는 행에 배열된 셀들에 공통으로 제공되는 제어 신호를 수신하도록 구성된 것을 특징으로 하는 집적 회로.
A cell area where a plurality of cells are arranged; and
It includes a peripheral area where a circuit for controlling the plurality of cells is placed,
The cell area is,
a plurality of first gate lines extending over the substrate;
a plurality of first patterns extending from a first wiring layer over the plurality of first gate lines; and
A plurality of second patterns extending in a first horizontal direction in a rear wiring layer below the substrate,
Each of the plurality of second patterns is configured to receive a control signal commonly provided to cells arranged in a row extending in the first horizontal direction among the plurality of cells.
청구항 11에 있어서,
상기 셀 영역은, 상기 기판을 각각 수직 방향으로 관통하고 상기 복수의 제2 패턴들에 각각 연결되는, 복수의 비아들을 더 포함하는 것을 특징으로 하는 집적 회로.
In claim 11,
The cell region further includes a plurality of vias, each vertically penetrating the substrate and each connected to the plurality of second patterns.
청구항 12에 있어서,
상기 셀 영역 중 상기 복수의 셀들을 제외한 영역에서 상기 기판을 각각 수직 방향으로 관통하고, 상기 복수의 제2 패턴들에 각각 연결되는 복수의 비아들을 더 포함하는 집적 회로.
In claim 12,
The integrated circuit further includes a plurality of vias that penetrate the substrate in a vertical direction in a region of the cell region excluding the plurality of cells and are respectively connected to the plurality of second patterns.
청구항 13에 있어서,
상기 셀 영역은,
상기 복수의 셀들 중 비트 셀들이 배치되는 적어도 하나의 비트 셀 영역; 및
상기 복수의 셀들 중 더미 셀들이 배치되는 더미 영역을 포함하고,
상기 더미 영역은, 상기 복수의 비아들을 포함하는 것을 특징으로 하는 집적 회로.
In claim 13,
The cell area is,
at least one bit cell area in which bit cells among the plurality of cells are disposed; and
Includes a dummy area in which dummy cells are arranged among the plurality of cells,
The dummy area is an integrated circuit comprising the plurality of vias.
청구항 14에 있어서,
상기 적어도 하나의 비트 셀 영역은, 제1 비트 셀 영역 및 제2 비트 셀 영역을 포함하고,
상기 복수의 제2 패턴들은, 상기 제1 수평 방향으로 상기 제1 비트 셀 영역 및 상기 제2 비트 셀 영역을 관통하고,
상기 복수의 비아들은, 상기 더미 영역 중 상기 제1 비트 셀 영역 및 상기 제2 비트 셀 영역 사이에 배치되는 것을 특징으로 하는 집적 회로.
In claim 14,
The at least one bit cell area includes a first bit cell area and a second bit cell area,
The plurality of second patterns penetrate the first bit cell area and the second bit cell area in the first horizontal direction,
The plurality of vias are disposed between the first bit cell area and the second bit cell area in the dummy area.
청구항 14에 있어서,
상기 복수의 제2 패턴들은, 상기 제1 수평 방향으로 상기 주변 영역으로 연장되고,
상기 주변 영역은, 상기 기판을 각각 수직 방향으로 관통하고 상기 복수의 제2 패턴들에 각각 연결되는, 복수의 비아들을 더 포함하는 것을 특징으로 하는 집적 회로.
In claim 14,
The plurality of second patterns extend to the peripheral area in the first horizontal direction,
The peripheral area further includes a plurality of vias, each vertically penetrating the substrate and each connected to the plurality of second patterns.
복수의 셀들이 배열되는 셀 영역; 및
상기 셀 영역에 인접하고, 상기 복수의 셀들을 제어하는 회로가 배치되는 주변 영역을 포함하고,
상기 셀 영역은,
기판 위에서 연장되는 복수의 제1 게이트 라인들;
상기 복수의 제1 게이트 라인들 위 제1 배선층에서 연장되는 복수의 제1 패턴들;
상기 기판 아래 후면 배선층에서 제1 수평 방향으로 연장되고, 상기 복수의 셀들에 제공되는 제1 공급 전압을 수신하도록 구성된 복수의 제2 패턴들; 및
각각이 상기 기판을 수직 방향으로 관통하고 상기 복수의 제1 패턴들 중 하나 및 상기 복수의 제2 패턴들 중 하나에 연결된, 복수의 제1 비아들을 포함하는 것을 특징으로 하는 집적 회로.
A cell area where a plurality of cells are arranged; and
It is adjacent to the cell area and includes a peripheral area where a circuit for controlling the plurality of cells is disposed,
The cell area is,
a plurality of first gate lines extending over the substrate;
a plurality of first patterns extending from a first wiring layer over the plurality of first gate lines;
a plurality of second patterns extending in a first horizontal direction in a rear wiring layer under the substrate and configured to receive a first supply voltage provided to the plurality of cells; and
An integrated circuit comprising a plurality of first vias, each vertically penetrating the substrate and connected to one of the plurality of first patterns and one of the plurality of second patterns.
청구항 17에 있어서,
상기 복수의 제2 패턴들은, 상기 제1 수평 방향으로 상기 주변 영역으로 연장되는 것을 특징으로 하는 집적 회로.
In claim 17,
The plurality of second patterns extend to the peripheral area in the first horizontal direction.
청구항 17에 있어서,
상기 셀 영역은, 상기 후면 배선층에서 상기 제1 수평 방향에 직교하는 제2 수평 방향으로 연장되는 복수의 제3 패턴들을 더 포함하고,
상기 복수의 제3 패턴들은, 상기 복수의 제2 패턴들과 연결되는 것을 특징으로 하는 집적 회로.
In claim 17,
The cell region further includes a plurality of third patterns extending in a second horizontal direction orthogonal to the first horizontal direction in the rear wiring layer,
An integrated circuit, wherein the plurality of third patterns are connected to the plurality of second patterns.
청구항 17에 있어서,
상기 셀 영역은, 상기 후면 배선층에서 상기 제1 수평 방향으로 연장되고, 상기 복수의 셀들에 제공되는 제2 공급 전압을 수신하도록 구성된 복수의 제4 패턴들을 더 포함하는 것을 특징으로 하는 집적 회로.
In claim 17,
The cell region extends from the back wiring layer in the first horizontal direction and further includes a plurality of fourth patterns configured to receive a second supply voltage provided to the plurality of cells.
KR1020230004291A 2022-10-06 2023-01-11 Integrated circuit including backside wiring and method of designing the same KR20240048456A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202311210387.9A CN117855188A (en) 2022-10-06 2023-09-19 Integrated circuit
EP23199096.1A EP4350762A1 (en) 2022-10-06 2023-09-22 Integrated circuit including backside wiring and method of designing the same
US18/373,709 US20240120258A1 (en) 2022-10-06 2023-09-27 Integrated circuit including backside wiring and method of designing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20220128055 2022-10-06
KR1020220128055 2022-10-06

Publications (1)

Publication Number Publication Date
KR20240048456A true KR20240048456A (en) 2024-04-15

Family

ID=90715893

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230004291A KR20240048456A (en) 2022-10-06 2023-01-11 Integrated circuit including backside wiring and method of designing the same

Country Status (1)

Country Link
KR (1) KR20240048456A (en)

Similar Documents

Publication Publication Date Title
US11309307B2 (en) Integrated circuit filler and method thereof
US20180122793A1 (en) Memory cells including vertical nanowire transistors
US10832988B2 (en) Integrated circuit having contact jumper
US10445455B2 (en) Integrated circuit for multiple patterning lithography, a computing system and a computer-implemented method for designing an integrated circuit
US20240332390A1 (en) Integrated circuit including gate-all-around transistor
CN114823712A (en) Integrated circuit device and forming method
Liu et al. CFET SRAM With Double-Sided Interconnect Design and DTCO Benchmark
EP4350762A1 (en) Integrated circuit including backside wiring and method of designing the same
KR20240048456A (en) Integrated circuit including backside wiring and method of designing the same
US20240365528A1 (en) Integrated circuit including backside wires
TW202431931A (en) Integrated circuit including backside wiring
KR20220060974A (en) Integrated circuit including a gate-all-around transistor
CN117855188A (en) Integrated circuit
KR20240114240A (en) Integrated circuit including backside wiring and method of designing the same
KR20240158111A (en) Integrated circuit including backside wiring
US20240243038A1 (en) Integrated circuit including back-side wiring and a method of designing the same
US20240363531A1 (en) Integrated circuits including backside wiring
US20240128164A1 (en) Integrated circuit including through-silicon via and method of designing the integrated circuit
US20240312493A1 (en) Integrated circuit including backside wiring and method of designing the same
KR20240158110A (en) Integrated circuit including backside wiring and method of designing the same
EP4451332A2 (en) Integrated circuit including read only memory (rom) cell
US12008237B2 (en) Memory bit cell with homogeneous layout pattern of base layers for high density memory macros
CN217955446U (en) Memory device
US20230290784A1 (en) Integrated circuit including active pattern having variable width and method of designing the same
CN118870795A (en) Integrated circuit including backside routing