KR20240011571A - Multiple Patterning Method Of The Semiconductor Device - Google Patents

Multiple Patterning Method Of The Semiconductor Device Download PDF

Info

Publication number
KR20240011571A
KR20240011571A KR1020220089193A KR20220089193A KR20240011571A KR 20240011571 A KR20240011571 A KR 20240011571A KR 1020220089193 A KR1020220089193 A KR 1020220089193A KR 20220089193 A KR20220089193 A KR 20220089193A KR 20240011571 A KR20240011571 A KR 20240011571A
Authority
KR
South Korea
Prior art keywords
hard mask
mask patterns
patterns
layer
forming
Prior art date
Application number
KR1020220089193A
Other languages
Korean (ko)
Inventor
박민준
송정철
오재섭
조의제
Original Assignee
한국과학기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국과학기술원 filed Critical 한국과학기술원
Priority to KR1020220089193A priority Critical patent/KR20240011571A/en
Publication of KR20240011571A publication Critical patent/KR20240011571A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은, 기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이에 제1 스페이스를 형성하는 단계; 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 이방성 식각하여 제1 트렌치들을 형성하는 단계; 상기 제1 트렌치 및 상기 제1 스페이스를 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 높이를 가지는 제2 하드 마스크 패턴들을 형성하는 단계; 상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계; 상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 식각하여 제2 스페이스를 형성하고 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제3 하드 마스크 패턴들, 상기 제2 하드 마스크 패턴들, 및 분할된 제1 하드 마스크 패턴들 중에서 적어도 하나를 식각 마스크로 상기 기판을 식각하여 제2 트렌치를 형성하는 단계를 포함한다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming inclined first hard mask patterns on a substrate and forming a first space between the first hard mask patterns; forming first trenches by anisotropically etching the substrate using the first hard mask patterns as an etch mask; forming second hard mask patterns having a lower height than the upper surfaces of the first hard mask patterns by filling the first trench and the first space; forming third hard mask patterns by coating or depositing a third hard mask layer on the second hard mask patterns and removing the third hard mask layer to expose upper surfaces of the first hard mask patterns; etching the first hard mask patterns using the third hard mask patterns as an etch mask to form a second space and forming divided first hard mask patterns; and forming a second trench by etching the substrate using at least one of the third hard mask patterns, the second hard mask patterns, and the divided first hard mask patterns as an etch mask.

Description

반도체 소자의 다중 패터닝 방법{Multiple Patterning Method Of The Semiconductor Device}{Multiple Patterning Method Of The Semiconductor Device}

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더 구체적으로 반도체 소자의 다중 패터닝 방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more specifically, to a method of multiple patterning of a semiconductor device.

단일 패터닝의 미세공정 한계에 의해 패턴의 밀도를 높일 수 있는 다중 패터닝 기술이 요구된다. 더블 패터닝 방법은 노광을 두 번하는 LLE(Litho-Litho-Etch) 기법, 하드 마스크를 이용하여 노광과 식각을 두 번하는 LELE (Litho-Etch-Litho-Etch) 기법, 스페이서(spacer) 또는 이방성 식각에 의한 사이드월(sidewall)을 이용하는 SADP(Self-Aligned Double Patterning) 기법이 있다. SADP는 높은 패턴 정렬도를 가지나, 공정 단계(step)를 증가시킨다. 통상적인 SADP는 STI(Shallow Trench Isolation), FINFET(Fin Field Effect Transistor) 에서 FIN 형성, 라인 및 스페이스 형성, 메모리의 비트라인(bitline)과 워드라인(word line) 등의 형성에 사용될 수 있다. Due to the microprocessing limitations of single patterning, multiple patterning technology that can increase pattern density is required. Double patterning methods include the LLE (Litho-Litho-Etch) technique that exposes twice, the LELE (Litho-Etch-Litho-Etch) technique that exposes and etches twice using a hard mask, and spacer or anisotropic etching. There is a SADP (Self-Aligned Double Patterning) technique that uses a sidewall. SADP has high pattern alignment, but increases processing steps. Typical SADP can be used for Shallow Trench Isolation (STI), FIN formation in FINFET (Fin Field Effect Transistor), line and space formation, and formation of bitlines and word lines of memory.

하드 마스크는 주로 탄소가 혼합된 하드 마스크인 비정질 카본층(Armophous carbon layer, ACL)와 실리콘산화질확막(SiON)이 사용된다. 비정질 카본층(ACL)과 실리콘산화질확막(SiON)은 주로 화학기상증착(Chemical Vapor Deposition, CVD)로 증착한다. 따라서, CVD 방삭의 하드 마스크는 스핀 코팅 방식보다 속도가 느리고 비용이 더 많이 소모된다. 최근에는, 하드 마스크 공정이 CVD가 아닌 스핀 코팅 방식을 통하여 탄소함유 스핀코팅층 및 실리콘(Si) 함유 스핀코팅층을 형성하는 연구가 수행되고 있다. The hard mask is mainly made of amorphous carbon layer (Armophous carbon layer, ACL), which is a hard mask mixed with carbon, and silicon oxide vapor layer (SiON). Amorphous carbon layer (ACL) and silicon oxide vapor layer (SiON) are mainly deposited by chemical vapor deposition (CVD). Therefore, CVD spinning hard masks are slower and cost more than spin coating methods. Recently, research has been conducted to form a carbon-containing spin coating layer and a silicon (Si)-containing spin coating layer through a spin coating method rather than a hard mask process using CVD.

본 발명의 해결하고자 하는 일 기술적 과제는 새로운 다중 패터닝 방법을 제공하는 것이다.One technical problem to be solved by the present invention is to provide a new multiple patterning method.

본 발명의 해결하고자 하는 일 기술적 과제는 탄소함유 스핀코팅층 및 실리콘(Si) 함유 스핀코팅층을 사용하여 다중 패터닝을 제공할 수 있다.One technical problem to be solved by the present invention is to provide multiple patterning using a carbon-containing spin coating layer and a silicon (Si)-containing spin coating layer.

본 발명의 해결하고자 하는 일 기술적 과제는 탄소함유 스핀코팅층 및 실리콘(Si) 함유 스핀코팅층을 사용하여 다중 패터닝을 제공할 수 있다.One technical problem to be solved by the present invention is to provide multiple patterning using a carbon-containing spin coating layer and a silicon (Si)-containing spin coating layer.

본 발명의 해결하고자 하는 일 기술적 과제는 스핀코팅 방식으로 하드 마스크층을 단차를 가지도록 갭필(gap fill)하여 다중 패터닝을 제공할 수 있다.One technical problem to be solved by the present invention is to provide multiple patterning by gap filling the hard mask layer to have a step using a spin coating method.

본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은, 기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이에 제1 스페이스를 형성하는 단계; 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 이방성 식각하여 제1 트렌치들을 형성하는 단계; 상기 제1 트렌치 및 상기 제1 스페이스를 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 높이를 가지는 제2 하드 마스크 패턴들을 형성하는 단계; 상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계; 상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 식각하여 제2 스페이스를 형성하고 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제3 하드 마스크 패턴들, 상기 제2 하드 마스크 패턴들, 및 분할된 제1 하드 마스크 패턴들 중에서 적어도 하나를 식각 마스크로 상기 기판을 식각하여 제2 트렌치를 형성하는 단계를 포함한다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming inclined first hard mask patterns on a substrate and forming a first space between the first hard mask patterns; forming first trenches by anisotropically etching the substrate using the first hard mask patterns as an etch mask; forming second hard mask patterns having a lower height than the upper surfaces of the first hard mask patterns by filling the first trench and the first space; forming third hard mask patterns by coating or depositing a third hard mask layer on the second hard mask patterns and removing the third hard mask layer to expose upper surfaces of the first hard mask patterns; etching the first hard mask patterns using the third hard mask patterns as an etch mask to form a second space and forming divided first hard mask patterns; and forming a second trench by etching the substrate using at least one of the third hard mask patterns, the second hard mask patterns, and the divided first hard mask patterns as an etch mask.

본 발명의 일 실시예에 있어서, 상기 제1 하드 마스크 패턴들은 카본을 포함하는 물질이고, 스핀 코팅에 의하여 형성될 수 있다. In one embodiment of the present invention, the first hard mask patterns are made of a material containing carbon and may be formed by spin coating.

본 발명의 일 실시예에 있어서, 상기 제2 하드 마스크 패턴들은 카본을 포함하는 물질이고, 스핀 코팅에 의하여 형성될 수 있다. In one embodiment of the present invention, the second hard mask patterns are made of a material containing carbon and may be formed by spin coating.

본 발명의 일 실시예에 있어서, 상기 제3 하드 마스크 패턴들은 실리콘 계열이고, 스핀 코팅에 의하여 형성될 수 있다.In one embodiment of the present invention, the third hard mask patterns are silicon-based and may be formed by spin coating.

본 발명의 일 실시예에 있어서, 상기 제1 트렌치의 폭과 상기 제2 트렌치의 폭은 동일할 수 있다.In one embodiment of the present invention, the width of the first trench and the width of the second trench may be the same.

본 발명의 일 실시예에 있어서, 상기 제3 하드 마스크 패턴들의 두께는 상기 제1 하드 마스크 패턴의 두께의 1/10 이상일 수 있다.In one embodiment of the present invention, the thickness of the third hard mask patterns may be 1/10 or more of the thickness of the first hard mask pattern.

본 발명의 일 실시예에 있어서, 상기 제1 하드 마스크 패턴들의 경사각은 80 도 내지 87도 일 수 있다.In one embodiment of the present invention, the inclination angle of the first hard mask patterns may be 80 degrees to 87 degrees.

본 발명의 일 실시예에 있어서, 기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는: 상기 기판 상에 차례로 적층된 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하고, 상기 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계; 상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함할 수 있다.In one embodiment of the present invention, forming inclined first hard mask patterns on a substrate and a first space between the first hard mask patterns includes: first hard masks sequentially stacked on the substrate; forming a layer, a preliminary hard mask layer, and a photoresist pattern on the preliminary hard mask layer, and patterning the preliminary hard mask layer to form a preliminary hard mask pattern; forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and forming the first trench by etching the substrate using the first hard mask patterns as an etch mask.

본 발명의 일 실시예에 있어서, 상기 제1 트렌치 및 상기 제2 트렌치를 노출하도록 상기 제3 하드 마스크 패턴들 및 상기 제2 하드 마스크 패턴들을 제거하는 단계;를 더 포함할 수 있다.In one embodiment of the present invention, the method may further include removing the third hard mask patterns and the second hard mask patterns to expose the first trench and the second trench.

본 발명의 일 실시예에 있어서, 상기 제1 트렌치 및 상기 제2 트렌치를 채우는 도전층을 형성하는 단계; 상기 도전층을 화학 기계적 폴리싱하여 상기 기판을 노출하여 도전 패턴을 형성하는 단계를 더 포함할 수 있다.In one embodiment of the present invention, forming a conductive layer filling the first trench and the second trench; The method may further include chemically and mechanically polishing the conductive layer to expose the substrate to form a conductive pattern.

본 발명의 일 실시예에 있어서, 상기 기판은 반도체 기판 및 상기 반도체 기판 상에 하부 하드 마스크층을 포함하고, 상기 제1 트렌치 및 상기 제2 트렌치는 상기 하부 하드 마스크층에 형성되어 하부 하드 마스크 패턴을 형성할 수 있다.In one embodiment of the present invention, the substrate includes a semiconductor substrate and a lower hard mask layer on the semiconductor substrate, and the first trench and the second trench are formed in the lower hard mask layer to form a lower hard mask pattern. can be formed.

본 발명의 일 실시예에 있어서, 상기 하부 하드 마스크 패턴을 마스크로 상기 반도체 기판을 식각하여 소자 분리막용 트렌치를 형성하고 활성 영역을 형성하는 단계; 및 상기 소자 분리막용 트렌치에 실리콘 산화막을 채우는 단계를 더 포함할 수 있다.In one embodiment of the present invention, etching the semiconductor substrate using the lower hard mask pattern as a mask to form a trench for a device isolation layer and forming an active region; and filling the device isolation trench with a silicon oxide film.

본 발명의 일 실시예에 있어서, 기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는: 상기 기판 상에 차례로 적층된 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계; 상기 예비 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크층를 수직으로 식각하여 제1 하드 마스크 패턴들을 형성하는 단계; 상기 제1 하드 마스크 패턴들을 덮도록 컨포멀한 박막을 증착하고 박막을 이방성 식각하여 상기 제1 하드 마스크 패턴들의 측벽에 경사를 가지는 사이드월을 제공하여 경사진 제1 하드 마스크 패턴들을 형성는 단계; 및 경사진 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함할 수 있다.In one embodiment of the present invention, forming inclined first hard mask patterns on a substrate and a first space between the first hard mask patterns includes: first hard masks sequentially stacked on the substrate; forming a preliminary hard mask pattern by forming a layer, a preliminary hard mask layer, and a photoresist pattern on the preliminary hard mask layer to pattern the preliminary hard mask layer; forming first hard mask patterns by vertically etching the first hard mask layer using the preliminary hard mask patterns as an etch mask; depositing a conformal thin film to cover the first hard mask patterns and anisotropically etching the thin film to provide inclined sidewalls on sidewalls of the first hard mask patterns to form inclined first hard mask patterns; and forming the first trench by etching the substrate using the inclined first hard mask patterns as an etch mask.

본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은, 기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계; 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 하부 하드 마스크층을 이방성 식각하여 제1 트렌치들을 형성하는 단계; 상기 제1 트렌치 및 상기 제1 스페이스를 제2 하드 마스크층으로 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 제2 하드 마스크 패턴들을 형성하는 단계; 상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계; 상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 경사 식각하여 제2 스페이스를 형성하고 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 미 상기 제3 하드 마스크 패턴들, 상기 분할된 제1 하드 마스크 패턴들, 및 상기 제2 하드 마스크 패턴들 중에서 적어도 하나를 이용하여 상기 하부 하드 마스크층을 이방성 식각하여 제2 트렌치를 형성하고 상기 제1 트렌치 및 제2 트렌치를 포함하는 하부 하드 마스크 패턴들을 형성하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and forming a first space between the first hard mask patterns; forming first trenches by anisotropically etching the lower hard mask layer using the first hard mask patterns as an etch mask; forming second hard mask patterns lower than upper surfaces of the first hard mask patterns by filling the first trench and the first space with a second hard mask layer; forming third hard mask patterns by coating or depositing a third hard mask layer on the second hard mask patterns and removing the third hard mask layer to expose the first hard mask patterns; obliquely etching the first hard mask patterns using the third hard mask patterns as an etch mask to form a second space and forming divided first hard mask patterns; A second trench is formed by anisotropically etching the lower hard mask layer using at least one of the third hard mask patterns, the divided first hard mask patterns, and the second hard mask patterns. It may include forming lower hard mask patterns including a first trench and a second trench.

본 발명의 일 실시예에 있어서, 기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는: 상기 기판 상에 차례로 적층된 메인 하드 마스크층, 하부 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계; 상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함할 수 있다.In one embodiment of the present invention, forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns includes: forming a first space between the first hard mask patterns on the substrate in order: Forming a preliminary hard mask pattern by forming a stacked main hard mask layer, a lower hard mask layer, a preliminary hard mask layer, and a photoresist pattern on the preliminary hard mask layer to pattern the preliminary hard mask layer; forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and forming the first trench by etching the substrate using the first hard mask patterns as an etch mask.

본 발명의 일 실시예에 있어서, 상기 하부 하드 마스크 패턴들 사이의 제2 트렌치 및 제2 스페이스를 상기 제1 하드 마스크층과 동일한 재질의 갭필 물질로 채우는 단계; 및 상기 갭필 물질, 상기 제1 하드 마스크 패턴들, 및 상기 제2 하드 마스크 패턴들을 상기 하부 하드 마스크 패턴들을 노출하도록 식각하는 단계를 더 포함할 수 있다.In one embodiment of the present invention, filling a second trench and a second space between the lower hard mask patterns with a gap fill material of the same material as the first hard mask layer; and etching the gap fill material, the first hard mask patterns, and the second hard mask patterns to expose the lower hard mask patterns.

본 발명의 일 실시예에 있어서, 상기 하부 하드 마스크 패턴들을 식각 마스크로 상기 메인 하드 마스크층을 식각하여 경사진 제1 메인 하드 마스크 패턴들 및 상기 제1 메인 하드 마스크 패턴들 사이의 제1 메인 스페이스를 형성하는 단계; 상기 제1 메인 하드 마스크 패턴들을 식각 마스크로 상기 기판을 이방성 식각하여 제1 메인 트렌치들을 형성하는 단계; 상기 제1 메인 하드 마스크 패턴들의 상부면보다 낮도록 상기 제1 메인 트렌치 및 상기 제1 메인 스페이스를 제2 메인 하드 마스크층으로 채워 제2 메인 하드 마스크 패턴들을 형성하는 단계; 상기 제2 메인 하드 마스크 패턴들 상에 제3 메인 하드 마스크층을 코팅 또는 증착하고 상기 제3 메인 하드 마스크층을 상기 제1 메인 하드 마스크 패턴들이 노출되도록 제거하여 제3 메인 하드 마스크 패턴들을 형성하는 단계; 상기 제3 메인 하드 마스크 패턴들을 식각 마스크로 상기 제1 메인 하드 마스크 패턴들을 경사 식각하여 제2 메인 스페이스를 형성하고 분할된 제1 메인 하드 마스크 패턴들을 형성하는 단계; 상기 제3 하드 마스크 패턴들, 상기 분할된 제1 메인 하드 마스크 패턴들, 및 상기 제2 메인 하드 마스크 패턴들 중에서 적어도 하나를 이용하여 상기 기판을 이방성 식각하여 제2 메인 트렌치를 형성하는 단계를 더 포함할 수 있다.In one embodiment of the present invention, the main hard mask layer is etched using the lower hard mask patterns as an etch mask to create inclined first main hard mask patterns and a first main space between the first main hard mask patterns. forming a; forming first main trenches by anisotropically etching the substrate using the first main hard mask patterns as an etch mask; forming second main hard mask patterns by filling the first main trench and the first main space with a second main hard mask layer so that the upper surfaces of the first main hard mask patterns are lower than the upper surfaces of the first main hard mask patterns; Forming third main hard mask patterns by coating or depositing a third main hard mask layer on the second main hard mask patterns and removing the third main hard mask layer to expose the first main hard mask patterns. step; obliquely etching the first main hard mask patterns using the third main hard mask patterns as an etch mask to form a second main space and forming divided first main hard mask patterns; forming a second main trench by anisotropically etching the substrate using at least one of the third hard mask patterns, the divided first main hard mask patterns, and the second main hard mask patterns; It can be included.

본 발명의 일 실시예에 있어서, 기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는: 상기 기판 상에 차례로 적층된 실리콘 산화물층, 상기 하부 하드 마스크층, 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계; 상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 하부 하드 마스크층을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함할 수 있다.In one embodiment of the present invention, forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns includes: forming a first space between the first hard mask patterns on the substrate in order: A photoresist pattern is formed on the stacked silicon oxide layer, the lower hard mask layer, the first hard mask layer, the preliminary hard mask layer, and the preliminary hard mask layer, and the preliminary hard mask layer is patterned to form a preliminary hard mask pattern. steps; forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and forming the first trench by etching the lower hard mask layer using the first hard mask patterns as an etch mask.

본 발명의 일 실시예에 있어서, 기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는: 상기 기판 상에 차례로 적층된 도전층, 제1 하부 하드 마스크층, 제2 하부 하드 마스크층, 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계; 상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 제1 하부 하드 마스크층을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함할 수 있다.In one embodiment of the present invention, forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns includes: forming a first space between the first hard mask patterns on the substrate in order: Patterning the preliminary hard mask layer by forming a laminated conductive layer, a first lower hard mask layer, a second lower hard mask layer, a first hard mask layer, a preliminary hard mask layer, and a photoresist pattern on the preliminary hard mask layer. forming a preliminary hard mask pattern; forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and forming the first trench by etching the first lower hard mask layer using the first hard mask patterns as an etch mask.

본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은, 기판에 차례로 적층된 저유전막 및 상기 저유전막 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계; 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 저유전막을 이방성 식각하여 제1 트렌치들을 형성하는 단계; 상기 제1 트렌치 및 상기 제1 스페이스를 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 제2 하드 마스크 패턴들을 형성하는 단계; 상기 제1 하드 마스크 패턴들 및 상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계; 상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 경사 식각하여 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제3 하드 마스크 패턴, 상기 분할된 제2 하드 마스크 패턴들, 상기 제2 하드 마스크 패턴들 식각 마스크로 상기 저유전막을 식각하여 제2 트렌치를 가지는 저유전체 패턴들을 형성하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes low dielectric films sequentially stacked on a substrate, first hard mask patterns inclined on the low dielectric film, and a first space between the first hard mask patterns. forming step; forming first trenches by anisotropically etching the low dielectric layer using the first hard mask patterns as an etch mask; forming second hard mask patterns lower than upper surfaces of the first hard mask patterns by filling the first trench and the first space; A third hard mask layer is coated or deposited on the first hard mask patterns and the second hard mask patterns and the third hard mask layer is removed to expose the first hard mask patterns to form a third hard mask pattern. forming them; forming divided first hard mask patterns by obliquely etching the first hard mask patterns using the third hard mask patterns as an etch mask; and forming low-dielectric patterns having a second trench by etching the low-dielectric layer using an etch mask for the third hard mask pattern, the divided second hard mask patterns, and the second hard mask patterns. there is.

본 발명의 일 실시예에 있어서, 기판에 차례로 적층된 저유전막 및 상기 저유전막 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는: 상기 기판 상에 차례로 적층된 식각 정지막, 저유전막, 제1 하드 마스크층, 예비 하드 마스크층, 및 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계; 상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 저유전막을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함할 수 있다.In one embodiment of the present invention, forming a low dielectric film sequentially stacked on a substrate, first hard mask patterns inclined on the low dielectric film, and a first space between the first hard mask patterns include: Forming a preliminary hard mask pattern by forming an etch stop layer, a low dielectric layer, a first hard mask layer, a preliminary hard mask layer, and a photoresist pattern sequentially stacked on a substrate and patterning the preliminary hard mask layer; forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and forming the first trench by etching the low dielectric layer using the first hard mask patterns as an etch mask.

본 발명의 일 실시예에 있어서, 상기 저유전체 패턴들 사이의 제1 트렌치 및 상기 제2 트렌치를 도전층으로 채우는 단계; 및 상기 도전층를 화학 기계적 연마 공정을 사용하여 상기 저유전체 패턴들의 상부면을 노출하는 단계를 더 포함할 수 있다.In one embodiment of the present invention, filling the first trench and the second trench between the low dielectric patterns with a conductive layer; and exposing upper surfaces of the low dielectric patterns using the conductive layer using a chemical mechanical polishing process.

본 발명의 일 실시예에 있어서, 상기 저유전막의 하부에 식각 정지막을 더 포함하고, 상기 제1 트렌치를 형성한 후 상기 식각 정지막을 식각하여 제1 보조 트렌치를 형성하는 단계; 및 상기 제2 트렌치를 형성한 후 상기 식각 정지막을 식각하여 제2 보조 트렌치를 형성하는 단계를 더 포함할 수 있다.In one embodiment of the present invention, further comprising an etch stop layer below the low dielectric layer, forming a first auxiliary trench by etching the etch stop layer after forming the first trench; and forming a second auxiliary trench by etching the etch stop layer after forming the second trench.

본 발명의 일 실시예에 따른 다중 패터닝 방법은 높은 패턴 정렬도를 가지며, 단순한 공정으로 비용을 절감할 수 있다.The multiple patterning method according to an embodiment of the present invention has high pattern alignment and can reduce costs through a simple process.

도 1a 내지 도 1h는 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 2는 본 발명의 일 실시예에 따른 제1 트렌치를 설명하는 SEM 사진과 이에 대응하는 구조를 설명하는 단면도이다.
도 3은 본 발명의 일 실시예에 따른 제3 하드 마스크층을 설명하는 SEM 사진과 이에 대응하는 구조를 설명하는 단면도이다.
도 4는 본 발명의 일 실시예에 따른 분할된 제1 하드 마스크 패턴을 설명하는 SEM 사진과 이에 대응하는 구조를 설명하는 단면도이다.
도 5는 본 발명의 일 실시예에 따른 라인/스페이스 패턴의 평면 SEM과 이에 대응하는 구조를 설명하는 단면도이다.
도 6a 내지 도 6i은 본 발명의 다른 실시예에 따른 반도체 제조 방법을 나타내는 단면도들이다.
도 7a 내지 도 7i는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 설명하는 단면도들이다.
도 8a 내지 도 8i는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 설명하는 단면도들이다.
도 9a 내지 도 9j는 본 발명의 일 실시예에 따른 게이트 라인 형성 방법을 나타내는 도면들이다.
도 10a 내지 도 10j는 본 발명의 일 실시예에 따른 STI 형성 방법을 나타내는 도면들이다.
도 11a 내지 도 11j는 본 발명의 일 실시예에 따른 금속 라인을 위한 다마신 공정을 나타내는 도면들이다.
1A to 1H are cross-sectional views showing a method of manufacturing a semiconductor device according to an embodiment of the present invention.
Figure 2 is a SEM photograph illustrating a first trench according to an embodiment of the present invention and a cross-sectional view illustrating the corresponding structure.
Figure 3 is a SEM photograph illustrating a third hard mask layer according to an embodiment of the present invention and a cross-sectional view illustrating the corresponding structure.
FIG. 4 is a SEM photograph illustrating a divided first hard mask pattern according to an embodiment of the present invention and a cross-sectional view illustrating a corresponding structure.
Figure 5 is a cross-sectional view illustrating a planar SEM of a line/space pattern and the corresponding structure according to an embodiment of the present invention.
6A to 6I are cross-sectional views showing a semiconductor manufacturing method according to another embodiment of the present invention.
7A to 7I are cross-sectional views illustrating a method of manufacturing a semiconductor device according to another embodiment of the present invention.
8A to 8I are cross-sectional views illustrating a method of manufacturing a semiconductor device according to another embodiment of the present invention.
9A to 9J are diagrams showing a method of forming a gate line according to an embodiment of the present invention.
10A to 10J are diagrams showing a method of forming an STI according to an embodiment of the present invention.
11A to 11J are diagrams showing a damascene process for a metal line according to an embodiment of the present invention.

통상적인 스페이서를 사용하는 SADP 공정은 원자층 증착(atomic layer deposition, ALD) 또는 CVD를 사용하여 라인 패턴을 덮도록 박막을 형성한 후 이방성 식각에 의하여 형성되는 라인 패턴의 측벽에 스페이서를 형성한다.The SADP process using a typical spacer forms a thin film to cover the line pattern using atomic layer deposition (ALD) or CVD, and then forms a spacer on the sidewall of the line pattern formed by anisotropic etching.

포토리소그라피 공정에서, 감광제 도포 및 현상은 트랙(track) 장비에서 스핀 코팅 공정을 통하여 수행된다. 트랙 장비는 저렴하고 높은 생산성을 가진다. In the photolithography process, photoresist application and development are performed through a spin coating process on track equipment. Track equipment is inexpensive and highly productive.

본 발명의 다중 패터닝은 트랙 장비의 스핀 코팅 공정을 사용하여 저렴하고 높은 생산성을 제공할 수 있다.The multiple patterning of the present invention can provide low cost and high productivity using a spin coating process on track equipment.

현재 사용하는 SADP 공정의 경우, 공정의 진행에 따라 다소 차이는 있으나 4개의 박막 증착 공정을 진행하며, 스페이서(Spacer) 공정 진행을 위하여 원자층 증착(ALD) 등 10개 이상의 공정이 수행된다. 원자층 증착(ALD)은 많은 공정 시간을 요구한다.In the case of the currently used SADP process, there are some differences depending on the progress of the process, but four thin film deposition processes are performed, and more than 10 processes, including atomic layer deposition (ALD), are performed to proceed with the spacer process. Atomic layer deposition (ALD) requires a lot of processing time.

본 발명의 일 실시예에 따른 이중 패터닝 공정의 경우, Photo-Etch-Track(Pattern)-Etch를 통하여 4개 공정으로 단순화할 수 있다. 이에 따라, 공정 TaT(Turn Around Time)가 감소하고 공정 비용이 감소될 수 있다. 또한, 공정 정밀도는 통상적인 SADP의 정밀도 이상일 수 있다.In the case of the double patterning process according to an embodiment of the present invention, it can be simplified into four processes through Photo-Etch-Track(Pattern)-Etch. Accordingly, the process TaT (Turn Around Time) can be reduced and the process cost can be reduced. Additionally, the process precision may be greater than that of a typical SADP.

본 발명의 일 실시예에 따르면, 통상적인 SADP와 동일하게 포토리소그리파(Photolithography) 공정을 1번만 진행하여 오버레이(Overlay)에 대한 이슈 없이 자체 정렬(Self Aligned)시킬 수 있다. 또한, 동일 공정을 2번 진행하여 자체 정열 4중 패턴(Self-Aligned Quadruple Patterning; SAQP)의 효과도 기대할 수 있다.According to one embodiment of the present invention, like a typical SADP, the photolithography process can be performed only once and self-aligned without overlay issues. Additionally, by performing the same process twice, the effect of self-aligned quadruple patterning (SAQP) can be expected.

본 발명의 일 과제는 기판 상에 제1 하드 마스크층을 이용하여 경사진 제1 하드 마스크 패턴들 및 상기 기판에 제1 트렌치를 형성한다. 상기 제1 트렌치 및 제1 하드 마스크 패턴들 사이의 제1 스페이스에 스핀 코팅으로 제2 하드마스크 물질로 부분적으로 갭필(Gap-Fill)하여 제2 하드 마스크 패턴을 증착 또는 코팅한다. 이에 따라, 제2 하드 마스크 패턴과 제1 하드 마스크 패턴들은 단차를 가질 수 있다. 스핀 코팅법에 의한 제2 하드 마스크 패턴은 제1 하드 마스크 패턴들의 상부면을 노출시킨다. 이러한 단차는 제3 하드 마스크 패턴을 선택적으로 형성할 수 있다. 즉, 제2 하드 마스크 패턴 상에 제3 하드 마스크층을 형성한다. 제3 하드 마스크층은 스핀 코팅의 특성에 기인하여 평평한 상부면을 가진다. 제3 하드 마스크층의 상부면을 상기 제1 하드 마스크 패턴이 노출되도록 제거하거나 평탄화 공정을 통하여 제3 하드 마스크 패턴을 형성한다. One object of the present invention is to form inclined first hard mask patterns and a first trench on the substrate using a first hard mask layer. A second hard mask pattern is deposited or coated by partially gap-filling the first space between the first trench and the first hard mask patterns with a second hard mask material by spin coating. Accordingly, the second hard mask pattern and the first hard mask pattern may have a step difference. The second hard mask pattern using spin coating exposes the upper surfaces of the first hard mask patterns. These steps can selectively form a third hard mask pattern. That is, a third hard mask layer is formed on the second hard mask pattern. The third hard mask layer has a flat top surface due to the spin coating characteristics. The upper surface of the third hard mask layer is removed to expose the first hard mask pattern, or a third hard mask pattern is formed through a planarization process.

상기 제3 하드 마스크 패턴을 식각 마스크로 제1 하드 마스크 패턴을 식각하여 분할하고, 상기 제3 하드 마스크 패턴, 상기 제1 하드 마스크 패턴 및 제2 하드 마스크 패턴을 식각 마스크로 기판을 식각하여 제2 트렌치를 형성한다. 제1 트렌치와 제2 트렌치는 자체 정렬 이중 패터닝(self aligned double pattern)을 제공할 수 있다. The third hard mask pattern is divided by etching the first hard mask pattern using an etch mask, and the substrate is etched using the third hard mask pattern, the first hard mask pattern, and the second hard mask pattern as an etch mask to form a second hard mask pattern. Form a trench. The first trench and the second trench may provide self-aligned double patterning.

제2 하드 마스크층 및 제3 하드 마스크층은 트랙 장비에서 스핀 코팅(Spin Coating)에 의하여 수행될 수 있다. 상기 제1 및 제2 하드 마스크층은 Carbon 계열 스핀 코팅 물질(spin on carbon; SOC)일 수 있다. 상기 제3 하드 마스크층은 Si-계열 스핀 코팅 물질( 또는 다기능 하드 마스크)일 수 있다. 제3 하드 마스크와 제1 하드 마스크의 선택비는 1:10 이상일 수 있다. 이에 얇은 두꼐의 상기 제3 하드 마스크 패턴은 높은 식각 선택비에 의하여 상기 제1 하드 마스크 패턴을 분할할 수 있다. 제1 하드 마스층 및 제2 하드 마스크층은 기판에 대하여 높은 선택비를 제공할 수 있다. The second hard mask layer and the third hard mask layer may be formed by spin coating on track equipment. The first and second hard mask layers may be a carbon-based spin coating material (spin on carbon (SOC)). The third hard mask layer may be a Si-based spin coating material (or multi-functional hard mask). The selection ratio between the third hard mask and the first hard mask may be 1:10 or more. Accordingly, the thin third hard mask pattern can divide the first hard mask pattern by a high etch selectivity. The first hard mask layer and the second hard mask layer can provide high selectivity to the substrate.

본 발명은 포토리소그라피 공정의 선폭을 1/2의 선폭(critical dimension; CD)을 갖는 패턴으로 구현할 수 있다. 예를 들어, ArF 노광의 경우, 최소 선폭이 40nm인 경우, 본 발명을 사용하여, 최소 선폭은 20 nm로 감소할 수 있다. The present invention can be implemented as a pattern having a line width (critical dimension (CD)) of 1/2 the line width of the photolithography process. For example, for ArF exposure, if the minimum linewidth is 40 nm, using the present invention, the minimum linewidth can be reduced to 20 nm.

본 발명의 일 다른 과제는 노광 공정과 식각 공정을 통하여 기판에 제1 트렌치를 형성하는 1차 패터닝을 수행한다. 1차 패터닝을 통하여 제1 하드 마스크 패턴이 형성된다. 잔류하는(Remained) 제1 하드 마스크 패턴들 사이의 스페이스와 제1 트렌치에 새로운 제2 하드 마스크로 부분적으로 갭필(Gap Fill)하고, 잔류(Remain)하는 제1 하드 마스크 패턴와 새로운 제2 하드 마스크 사이의 단차를 이용하여 하부 패터닝에 활용함으로서 공정을 단순화 하는 것이다.Another object of the present invention is to perform primary patterning to form a first trench in a substrate through an exposure process and an etching process. A first hard mask pattern is formed through primary patterning. Partially gap fill the space between the remaining first hard mask patterns and the first trench with a new second hard mask, and between the remaining first hard mask pattern and the new second hard mask. The process is simplified by using the step difference for bottom patterning.

본 발명의 일 실시예에 따르면, 제1 하드 마스크는 스핀 코팅 하드 마스크, 박막 물질 하드 마스크이 모두 적용이 가능하다. 제2 하드 마스크의 경우에는 트랙 장비(또는 스핀 코팅 장비)에서 스핀 코팅(Spin Coating)을 제공하는 액상의 하드 마스크 물질을 사용할 수 있다. 또한, 제3 하드 마스크의 경우에는 스핀 코팅 장비에서 스핀 코팅을 제공하는 액상의 하드 마스크 물질을 사용할 수 있다. According to one embodiment of the present invention, the first hard mask can be either a spin coating hard mask or a thin film material hard mask. In the case of the second hard mask, a liquid hard mask material that provides spin coating in track equipment (or spin coating equipment) can be used. Additionally, in the case of the third hard mask, a liquid hard mask material that provides spin coating in spin coating equipment can be used.

본 발명의 일 실시예에 따르면, 카르보닐황화합물(CARBONYL SULFIDE, COS) 가스는 카본계(Carbon type) 하드 마스크층의 플라즈마(Plasma) 식각 특성을 변경할 수 있다. 통상적으로, 산소(O2)는 카본(Carbon)계 하드 마스크층의 플라즈마 에천트(Plasma Etchant)로 사용된다. 산소 플라즈마(O2 Plasma) 식각은 수직 프로파일(Vertical Profile)을 제공할 수 있다. 산소 플라즈마에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하는 경우, 식각 패턴 프로파일(Etching Pattern Profile)은 경사진 패턴을 형성할 수 있다. 따라서, 본 발명은 경사진 하드 마스크 패턴을 형성하기 위하여 카르보닐 황화물 (COS) 가스를 추가한 산소 플라즈마를 사용할 수 있다.According to one embodiment of the present invention, carbonyl sulfur compound (COS) gas can change the plasma etching characteristics of a carbon type hard mask layer. Typically, oxygen (O2) is used as a plasma etchant for a carbon-based hard mask layer. Oxygen plasma (O2 plasma) etching can provide a vertical profile. When carbonyl sulfide (COS) Gas, a new additive gas, is added to oxygen plasma, the etching pattern profile can form an inclined pattern. Therefore, the present invention can use oxygen plasma to which carbonyl sulfide (COS) gas is added to form an inclined hard mask pattern.

예를 들어, COS 가스를 활용하여 하드 마스크의 오픈 면적을 줄여가면서 플라즈마 식각을 진행한다. 하부막 식각 진행시에도 COS 가스를 활용하여 패턴을 줄여서 하부막 식각을 진행하여 노광공정 대비 절반의 패턴을 형성할 수 있다.For example, plasma etching is performed while reducing the open area of the hard mask using COS gas. Even when etching the lower film, COS gas can be used to reduce the pattern, thereby forming half the pattern compared to the exposure process.

본 발명은 설명의 편의상, 하부 막질을 주로 실리콘 산화막으로 표현하였으나, 게이트 라인(Gate Line)의 구현을 위한 도전성 물질(폴리실리콘, 금속, 금속 실리사이드), Shallow Trench Isolation (STI) 구현을 위한 실리콘 단결정(Si), 금속(Metal) 배선 구현을 위한 실리콘 산화막, 실리콘 질화막에 모두 적용될 수 있다.In the present invention, for convenience of explanation, the lower film material is mainly expressed as a silicon oxide film, but conductive materials (polysilicon, metal, metal silicide) for the implementation of the gate line, and silicon single crystal for the implementation of Shallow Trench Isolation (STI) It can be applied to both silicon oxide and silicon nitride films for implementing (Si) and metal wiring.

본 발명의 일 실시예에 따르면, 본 발명의 SADP을 N회 적용하면, 패턴을 지속적으로 감소시킬 수 있다.According to one embodiment of the present invention, if the SADP of the present invention is applied N times, the pattern can be continuously reduced.

본 발명의 일 실시예에 따르면, 제1 하드 마스크의 경사진 패턴은 컨퍼멀 증착 및 이방성 식각에 의한 사이드월(sidewall) 형성 기술에 의하여 제작될 수 있다. According to one embodiment of the present invention, the inclined pattern of the first hard mask may be manufactured using sidewall forming technology by conformal deposition and anisotropic etching.

본 발명의 일 실시예에 따르면, 공정 단순화 및 TaT(turnaround time)/비용 측면에서 최대이득을 보기 위하여 스핀(Spin Coating)이 가능한 물질로 하드 마스크(제1 하드 마스크, 제2 하드 마스크, 제3 하드 마스크)를 형성하는 것이 바람직할 수 있다. 그러나, 진공 증착 공정을 활용하고 화학기계적 폴리싱(chemical mechanical polishing; CMP) 등을 이용하여, 제2 하드 마스크 패턴이 형성될 수 있다.According to one embodiment of the present invention, in order to simplify the process and maximize the benefit in terms of TaT (turnaround time)/cost, a hard mask (first hard mask, second hard mask, third hard mask) is made of a material capable of spin coating. It may be desirable to form a hard mask). However, a second hard mask pattern may be formed using a vacuum deposition process and chemical mechanical polishing (CMP), etc.

본 발명의 일 실시예에 따른 패터닝 방법은 기존 SADP를 사용하는 공정에 모두 적용할 수 있다. The patterning method according to an embodiment of the present invention can be applied to all processes using existing SADP.

본 발명의 일 실시예에, 제3 하드 마스크는 Si 계열 스핀 코팅 물질, 제2 하드 마스크는 카본 계열 스핀 코팅 물질일 수 있다. 이 경우, 제2 하드 마스크와 제3 하드 마스크는 높은 식각 선택비를 가질 수 있다. 그러나, 높은 선택비를 제공하는 한, 다른 물질이 선택될 수 있다.In one embodiment of the present invention, the third hard mask may be a Si-based spin coating material, and the second hard mask may be a carbon-based spin coating material. In this case, the second hard mask and the third hard mask may have a high etch selectivity. However, other materials may be selected as long as they provide high selectivity.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 구성요소는 명확성을 기하기 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the attached drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided so that the disclosure will be thorough and complete and so that the spirit of the invention can be sufficiently conveyed to those skilled in the art. In the drawings, elements are exaggerated for clarity. Parts indicated with the same reference numerals throughout the specification represent the same elements.

도 1a 내지 도 1h는 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.1A to 1H are cross-sectional views showing a method of manufacturing a semiconductor device according to an embodiment of the present invention.

도 1a 내지 도 1h를 참조하면, 반도체 소자의 제조 방법은 나란히 연장되는 라인 패턴 또는 나란히 연장되는 아일랜드 패턴을 형성할 수 있다. Referring to FIGS. 1A to 1H , the method of manufacturing a semiconductor device may form a line pattern extending in parallel or an island pattern extending in parallel.

반도체 소자의 제조 방법은, 기판(110) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이에 제1 스페이스(122)를 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 기판(110)을 이방성 식각하여 제1 트렌치들(112)을 형성하는 단계; 상기 제1 트렌치(112) 및 상기 제1 스페이스(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성하는 단계; 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 식각하여 제2 스페이스를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성하는 단계; 및 상기 제3 하드 마스크 패턴들(162), 상기 제2 하드 마스크 패턴들(150), 및 분할된 제1 하드 마스크 패턴들(120b) 중에서 적어도 하나를 식각 마스크로 상기 기판(110)을 식각하여 제2 트렌치(114)를 형성하는 단계를 포함한다. A method of manufacturing a semiconductor device includes forming inclined first hard mask patterns 120a on a substrate 110 and forming a first space 122 between the first hard mask patterns 120a; forming first trenches 112 by anisotropically etching the substrate 110 using the first hard mask patterns 120a as an etch mask; forming second hard mask patterns 150 lower than the upper surfaces of the first hard mask patterns 120a by filling the first trench 112 and the first space 122; A third hard mask layer 160 is coated or deposited on the second hard mask patterns 150 and the third hard mask layer 160 is removed to expose the upper surfaces of the first hard mask patterns 150. forming three hard mask patterns 162; etching the first hard mask patterns 120a using the third hard mask patterns 162 as an etch mask to form a second space and forming divided first hard mask patterns 120b; and etching the substrate 110 using at least one of the third hard mask patterns 162, the second hard mask patterns 150, and the divided first hard mask patterns 120b as an etch mask. and forming a second trench 114.

도 1a를 참조하면, 상기 기판(110) 상에 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 상기 예비 하드 마스크층(130) 상에 포토레지스트 패턴(140)을 형성한다. 상기 포토레지스트 패턴(140)을 마스크로 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성한다. 상기 포토레지스트 패턴(140)의 선폭은 예비 하드 마스크 패턴(132)의 선폭과 동일할 수 있다.Referring to FIG. 1A, a first hard mask layer 120, a preliminary hard mask layer 130, and a photoresist pattern 140 are formed on the substrate 110. . A preliminary hard mask pattern 132 is formed by patterning the preliminary hard mask layer 130 using the photoresist pattern 140 as a mask. The line width of the photoresist pattern 140 may be the same as the line width of the preliminary hard mask pattern 132.

상기 기판(110)은 반도체 기판, 또는 반도체 기판 상에 형성된 박막을 포함할 수 있다. 상기 박막은 단층 또는 복층의 절연막, 또는 도전막일 수 있다. 상기 박막은 소자의 하부 구조를 형성할 수 있다. 소자는 반도체 소자 또는 광학 소자일 수 있다.The substrate 110 may include a semiconductor substrate or a thin film formed on a semiconductor substrate. The thin film may be a single-layer or multi-layer insulating film, or a conductive film. The thin film may form the underlying structure of the device. The device may be a semiconductor device or an optical device.

포토레지스트 패턴(140)은 포토 리소그라피 공정을 통하여 형성될 수 있다. 포토 리소그라피 공정은 KrF 장비, ArF 장비 또는 EUV 장비를 사용할 수 있다. 포토레지스트 패턴(140)의 선폭은 a일 수 있다. 포토레지스트 패턴(140)은 라인/스페이스 패턴일 수 있다.The photoresist pattern 140 may be formed through a photo lithography process. The photo lithography process can use KrF equipment, ArF equipment, or EUV equipment. The line width of the photoresist pattern 140 may be a. The photoresist pattern 140 may be a line/space pattern.

상기 제1 하드 마스크층(120)은 카본을 포함하는 스핀 코팅층 또는 비정질 카본층(armophous carbon layer, ACL), 또는 폴리이미드일 수 있다. 바람직하게는, 상기 제1 하드 마스크층(120)은 스핀 코팅 탄소계-하드마스크이고, 탄소 함량은 80퍼센트 이상일 수 있다.The first hard mask layer 120 may be a spin coating layer containing carbon, an amorphous carbon layer (armophous carbon layer, ACL), or polyimide. Preferably, the first hard mask layer 120 is a spin-coated carbon-based hard mask, and the carbon content may be 80 percent or more.

상기 예비 하드 마스크층(130)은 실리콘을 포함하는 스핀 코팅층 또는 SiON 물질일 수 있다. 상기 예비 하드 마스크층(130)은 플라스마 이방성 식각에 의하여 상기 예비 하드 마스크 패턴(132)을 형성할 수 있다. 바람직하게는, 상기 예비 하드 마스크층(130)은 실리콘을 포함하는 스핀 코팅층 또는 스핀 코팅 Si-하드마스크일 수 있다.The preliminary hard mask layer 130 may be a spin coating layer containing silicon or a SiON material. The preliminary hard mask layer 130 may form the preliminary hard mask pattern 132 by plasma anisotropic etching. Preferably, the preliminary hard mask layer 130 may be a spin coating layer containing silicon or a spin coating Si-hard mask.

도 1b를 참조하면, 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성한다. 상기 제1 하드 마스크 패턴들(120a)을 형성하면서, 상기 포토레지스트 패턴(140)은 동시에 제거될 수 있다. 상기 경사 식각은 카르보닐황화합물 (CARBONYL SULFIDE, COS)를 첨가한 산소 플라즈마에 의하여 수행될 수 있다. 상기 경사 식각에 의하여, 경사진 제1 하드 마스크 패턴들(120a) 및 제1 하드 마스크 패턴들 사이의 제1 스페이스(122)가 형성될 수 있다. 상기 예비 하드 마스크 패턴(132)의 선폭은 a이고, 제1 스페이스(122)의 상부 선폭이 a인 경우, 상기 제1 스페이스(122)의 하부면의 선폭(W1)은 a/2 일 수 있다.Referring to FIG. 1B, the first hard mask layer 120 is obliquely etched using the preliminary hard mask pattern 132 as a mask to form the first hard mask patterns 120a. While forming the first hard mask patterns 120a, the photoresist pattern 140 may be removed simultaneously. The inclined etching may be performed by oxygen plasma to which carbonyl sulfur compound (CARBONYL SULFIDE, COS) is added. By the inclined etching, the inclined first hard mask patterns 120a and the first space 122 between the first hard mask patterns may be formed. When the line width of the preliminary hard mask pattern 132 is a and the upper line width of the first space 122 is a, the line width W1 of the lower surface of the first space 122 may be a/2. .

도 1c를 참조하면, 기판(110) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성한다. 상기 예비 하드 마스크 패턴(132) 및 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 기판(110)을 이방성 식각하여 제1 트렌치들(112)을 형성한다. 제1 트렌치(112)는 수직으로 식각될 수 있다. 제1 트렌치들(112)를 형성하면서 상기 예비 하드 마스크 패턴(132)은 동시에 제거될 수 있다. 예를 들어, 상기 기판(110)이 실리콘 산화막을 포함하는 경우, 실리콘 산화막의 식각은 CxFy 계열의 가스를 사용한 플라즈마 식각을 사용할 수 있다. 상기 제1 트렌치(112)의 폭은 a/2일 수 있다.Referring to FIG. 1C, inclined first hard mask patterns 120a and a first space 122 between the first hard mask patterns 120a are formed on the substrate 110. First trenches 112 are formed by anisotropically etching the substrate 110 using the preliminary hard mask pattern 132 and the first hard mask patterns 120a as an etch mask. The first trench 112 may be etched vertically. The preliminary hard mask pattern 132 may be removed simultaneously while forming the first trenches 112 . For example, if the substrate 110 includes a silicon oxide film, plasma etching using a CxFy series gas may be used to etch the silicon oxide film. The width of the first trench 112 may be a/2.

도 1d를 참조하면, 상기 제1 트렌치(112) 및 상기 제1 스페이스(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성한다. 이에 따라, 상기 제2 하드 마스크 패턴들(150)의 상부면은 상기 제1 하드 마스크 패턴들(120)의 상부면보다 낮아 단차를 가진다. 이 단차는 수십 nm 이상일 수 있다. 제2 하드 마스크 패턴들(150)은 카본 계열의 스핀 코팅에 의하여 형성될 수 있다. 이에 따라, 상기 제2 하드 마스크 패턴들(150)의 상부면은 일정한 높이를 가지고 제1 스페이스(122)의 하부를 채울 수 있다. 또한, 상기 제2 하드 마스크 패턴들(150)의 재질은 상기 제1 하드 마스크 패턴(120a)의 재질과 동일할 수 있다.Referring to FIG. 1D , the first trench 112 and the first space 122 are filled to form second hard mask patterns 150 that are lower than the upper surfaces of the first hard mask patterns 120a. Accordingly, the upper surfaces of the second hard mask patterns 150 are lower than the upper surfaces of the first hard mask patterns 120 and have a step difference. This step may be tens of nm or more. The second hard mask patterns 150 may be formed by carbon-based spin coating. Accordingly, the upper surface of the second hard mask patterns 150 can fill the lower part of the first space 122 with a constant height. Additionally, the material of the second hard mask patterns 150 may be the same as the material of the first hard mask pattern 120a.

상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 증착 또는 코팅한다. 상기 제3 하드 마스크층(160)은 실리콘을 포함하는 물질의 스핀 코팅에 의하여 수행될 수 있다. 스핀 코팅에 의하여, 상기 제3 하드 마스크층(160)의 상부면은 평탄화될 수 있다. 제3 하드 마스크층(160)은 상기 단차를 채울 수 있다.A third hard mask layer 160 is deposited or coated on the second hard mask patterns 150. The third hard mask layer 160 may be formed by spin coating a material containing silicon. By spin coating, the upper surface of the third hard mask layer 160 can be flattened. The third hard mask layer 160 may fill the step.

도 1e를 참조하면, 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들(120a)의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성한다. 상기 제3 하드 마스크층(160)의 일부 제거는 에치백(etch back) 또는 CMP와 같은 평탄화 공정에 의하여 수행될 수 있다. 제3 하드 마스크 패턴들(162)의 상부면은 상기 제1 하드 마스크 패턴들(120)의 상부면과 실질적으로 동일할 수 있다.Referring to FIG. 1E, the third hard mask layer 160 is removed to expose the upper surfaces of the first hard mask patterns 120a to form third hard mask patterns 162. Partial removal of the third hard mask layer 160 may be performed by a planarization process such as etch back or CMP. The top surface of the third hard mask patterns 162 may be substantially the same as the top surface of the first hard mask patterns 120.

도 1f를 참조하면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120)을 경사 식각하여 제2 스페이스(124) 및 분할된 제1 하드 마스크 패턴들(120b)을 형성할 수 있다. 분할된 제1 하드 마스크 패턴들(120b)의 단면은 이등면 삼각형 형상일 수 있다. Referring to FIG. 1F, the first hard mask patterns 120 are obliquely etched using the third hard mask patterns 162 as an etch mask to create a second space 124 and divided first hard mask patterns ( 120b) can be formed. The cross-section of the divided first hard mask patterns 120b may have an isoplanar triangular shape.

경사 식각은 카르보닐황화합물 (CARBONYL SULFIDE, COS)를 첨가한 산소 플라즈마에 의하여 수행될 수 있다. 상기 경사 식각에 의하여, 분할된 제1 하드 마스크 패턴들(120b) 및 분할된 제1 하드 마스크 패턴들 사이의 제2 스페이스(124)가 형성될 수 있다. 상기 제2 스페이스(124)의 상부면의 선폭은 a이고, 상기 제2 스페이스(124)의 하부면의 선폭은 a/2 일 수 있다.Oblique etching can be performed by oxygen plasma to which carbonyl sulfur compound (CARBONYL SULFIDE, COS) is added. By the inclined etching, the divided first hard mask patterns 120b and the second space 124 between the divided first hard mask patterns may be formed. The line width of the upper surface of the second space 124 may be a, and the line width of the lower surface of the second space 124 may be a/2.

도 1g를 참조하면, 상기 제3 하드 마스크 패턴들(162), 상기 제2 하드 마스크 패턴들(150), 및 상기 분할된 제1 하드 마스크 패턴들(120a) 중에서 적어도 하나를 식각 마스크로 상기 기판(110)을 식각하여 제2 트렌치(114)를 형성할 수 있다.Referring to FIG. 1G, at least one of the third hard mask patterns 162, the second hard mask patterns 150, and the divided first hard mask patterns 120a is used as an etch mask on the substrate. The second trench 114 may be formed by etching 110 .

예를 들어, 상기 제3 하드 마스크 패턴들(162)은 제2 트렌치(114)를 형성하면서 동시에 제거될 수 있다. 제2 트렌치를 형성하는 식각 공정에서, 상기 제3 하드 마스크 패턴들(162)이 제거된 후, 상기 제2 하드 마스크 패턴들(150) 및 상기 분할된 제1 하드 마스크 패턴들(120b)을 식각 마스크로 상기 기판(110)을 연속적으로 식각하여 제2 트렌치(114)를 형성할 수 있다. 상기 제2 트렌치(114)는 수직 식각에 의하여 형성될 수 있다. 상기 제2 트렌치(114)의 선폭은 a/2일 수 있다. 상기 제1 트렌치의 깊이는 상기 제2 트렌치의 깊이와 동일할 수 있다. 이에 따라, 기판은 a/2 선폭의 제1 트렌치(112)와 a/2 선폭의 제2 트렌치(114)를 포함할 수 있다. 제1 트렌치(112)의 깊이는 상기 제2 트렌치(114)의 깊이와 동일할 수 있다. 이에 따라, 주기적인 라인 패턴이 기판에 형성될 수 있다. 기판은 a/2의 선폭의 라인과 a/2 선폭의 스페이스를 제공할 수 있다. For example, the third hard mask patterns 162 may be removed while forming the second trench 114 . In the etching process for forming the second trench, after the third hard mask patterns 162 are removed, the second hard mask patterns 150 and the divided first hard mask patterns 120b are etched. The second trench 114 may be formed by continuously etching the substrate 110 using a mask. The second trench 114 may be formed by vertical etching. The line width of the second trench 114 may be a/2. The depth of the first trench may be the same as the depth of the second trench. Accordingly, the substrate may include a first trench 112 with a line width of a/2 and a second trench 114 with a line width of a/2. The depth of the first trench 112 may be the same as the depth of the second trench 114. Accordingly, a periodic line pattern may be formed on the substrate. The substrate can provide lines with a line width of a/2 and spaces with a line width of a/2.

도 1h를 참조하면, 상기 제1 트렌치(112) 및 상기 제2 트렌치(114)를 노출하도록 상기 분할된 제1 하드 마스크 패턴들(120b) 및 상기 제2 하드 마스크 패턴들(150)을 제거할 수 있다. 상기 분할된 제1 하드 마스크 패턴들(120b) 및 상기 제2 하드 마스크 패턴(150)은 탄소를 포함하는 스핀 코팅층인 경우, 이들은 산소 플라즈마에 의하여 제거될 수 있다.Referring to FIG. 1H, the divided first hard mask patterns 120b and the second hard mask patterns 150 are removed to expose the first trench 112 and the second trench 114. You can. If the divided first hard mask patterns 120b and the second hard mask pattern 150 are spin coating layers containing carbon, they may be removed by oxygen plasma.

기판(110)은 a/2 선폭의 제1 트렌치(112)와 a/2 선폭의 제2 트렌치(114)를 포함할 수 있다. 또는 기판은 a/2의 선폭의 라인과 a/2 선폭의 스페이스를 제공할 수 있다. 상기 기판의 라인이 도전체인 경우에는, 도전 라인의 패턴으로 사용될 수 있다. 한편, 상기 라인이 유전체인 경우에는 상기 트렌치(112,114)를 도전체로 채운 후 CMP를 사용하여 평탄화하는 다마신(damascene) 공정을 위하여 사용될 수 있다. The substrate 110 may include a first trench 112 with a line width of a/2 and a second trench 114 with a line width of a/2. Alternatively, the substrate may provide a line with a line width of a/2 and a space with a line width of a/2. When the lines of the substrate are conductors, they can be used as patterns for conductive lines. Meanwhile, if the line is a dielectric, it can be used for a damascene process in which the trenches 112 and 114 are filled with a conductor and then planarized using CMP.

상기 도전 라인은 반도체로 구성된 활성 영역, 도전체를 포함하는 게이트 라인, 도전체를 포함하는 비트라인, 및 도전체를 포함하는 배선 라인 중에서 적어도 하나를 포함할 수 있다. 또한, 이러한 라인 구조는 격자(grating)로 광학 소자로 사용될 수 있다.The conductive line may include at least one of an active region made of a semiconductor, a gate line including a conductor, a bit line including a conductor, and a wiring line including a conductor. Additionally, this line structure can be used as an optical element as a grating.

도 2는 본 발명의 일 실시예에 따른 제1 트렌치를 설명하는 SEM 사진과 이에 대응하는 구조를 설명하는 단면도이다.Figure 2 is a SEM photograph illustrating a first trench according to an embodiment of the present invention and a cross-sectional view illustrating the corresponding structure.

도 2를 참조하면, 제1 하드 마스크 패턴(120a)의 상부면의 선폭은 a(40nm)이고, 제1 스페이스(122)의 상부면의 선폭은 a이고, 제1 스페이스(122)의 하주면의 선폭은 a/2이다. 따라서, 상기 제1 하드 마스크 패턴(120a)의 높이는 a/4 tan(θ)로 주어진다. 경사 각도(θ)는 80도 이상일 수 있다. 바람직하게는, 경사 각도(θ)는 83 도 내지 86도 일 수 있다. 상기 제1 하드 마스크 패턴의 높이(t)는 상기 제1 하드 마스크 패턴의 상부면의 선폭(a)보다 크고, 130nm일 수 있다. 더 바람직하게는, 경사 각도(θ)는 84 도 내지 85도 일 수 있다. Referring to FIG. 2, the line width of the upper surface of the first hard mask pattern 120a is a (40 nm), the line width of the upper surface of the first space 122 is a, and the lower main surface of the first space 122 is a. The line width is a/2. Accordingly, the height of the first hard mask pattern 120a is given as a/4 tan(θ). The inclination angle (θ) may be 80 degrees or more. Preferably, the tilt angle (θ) may be 83 degrees to 86 degrees. The height (t) of the first hard mask pattern is greater than the line width (a) of the upper surface of the first hard mask pattern and may be 130 nm. More preferably, the tilt angle (θ) may be 84 degrees to 85 degrees.

도 3은 본 발명의 일 실시예에 따른 제3 하드 마스크층을 설명하는 SEM 사진과 이에 대응하는 구조를 설명하는 단면도이다.Figure 3 is a SEM photograph illustrating a third hard mask layer according to an embodiment of the present invention and a cross-sectional view illustrating the corresponding structure.

도 4는 본 발명의 일 실시예에 따른 분할된 제1 하드 마스크 패턴을 설명하는 SEM 사진과 이에 대응하는 구조를 설명하는 단면도이다.FIG. 4 is a SEM photograph illustrating a divided first hard mask pattern according to an embodiment of the present invention and a cross-sectional view illustrating a corresponding structure.

도 3 및 4을 참조하면, 실리콘을 함유한 스핀 코팅으로 형성된 제3 하드 마스크 패턴(162)와 카본을 함유한 제1 하드 마스크 패턴(120a)의 식각 선택비는 10:1 이상일 수 있다. 바람직하게는, 식각 선택비는 30: 1 이상일 수 있다. 따라서, 제1 하드 마스크 패턴(120a)과 제2 하드 마스크 패턴(150)의 단차(d) 또는 제3 하드 마스크 패턴(162)의 높이는 제1 하드 마스크 패턴(120a)의 높이에 대하여 1/10 배 수준일 수 있다. 예를 들어, 제1 하드 마스크 패턴(120a)의 높이(t)가 130nm 인 경우, 상기 단차(d)는 13nm일 수 있다.Referring to FIGS. 3 and 4 , the etch selectivity of the third hard mask pattern 162 formed by spin coating containing silicon and the first hard mask pattern 120a containing carbon may be 10:1 or more. Preferably, the etch selectivity may be 30:1 or more. Accordingly, the step difference d between the first hard mask pattern 120a and the second hard mask pattern 150 or the height of the third hard mask pattern 162 is 1/10 of the height of the first hard mask pattern 120a. It could be at the ship level. For example, when the height (t) of the first hard mask pattern 120a is 130 nm, the step (d) may be 13 nm.

상기 제1 하드 마스크 패턴(120a)의 높이(t)가 1a ~ 3a 수준인 경우, 제3 하드 마스크 패턴의 높이(d)는 상기 제1 하드 마스크 패턴의 높이(t)의 1/10 수준일 수 있다.When the height (t) of the first hard mask pattern 120a is 1a to 3a, the height (d) of the third hard mask pattern is 1/10 of the height (t) of the first hard mask pattern. You can.

분할된 제1 하드 마스크 패턴(120b)의 경사각(θ’)은 분할되기 전의 제1 하드 마스크 패턴의 경사각(θ)과 동일할 수 있다. 이에 따라, 제2 트렌치(114)의 폭은 a/2 일 수 있다.The inclination angle (θ’) of the divided first hard mask pattern 120b may be the same as the inclination angle (θ) of the first hard mask pattern before division. Accordingly, the width of the second trench 114 may be a/2.

도 5는 본 발명의 일 실시예에 따른 라인/스페이스 패턴의 평면 SEM과 이에 대응하는 구조를 설명하는 단면도이다.Figure 5 is a cross-sectional view illustrating a planar SEM of a line/space pattern and the corresponding structure according to an embodiment of the present invention.

도 5를 참조하면, 라인/스페이스 패턴의 선폭(a)는 40nm 패턴으로, ArF Immersion을 통하여, 40nm PR 패턴에 기반하여 1/2로 선폭을 감소시키어 라인 기준으로 18nm의 CD를 구현하였다. 기판은 실리콘 산화막이다.Referring to FIG. 5, the line width (a) of the line/space pattern is a 40 nm pattern, and through ArF Immersion, the line width was reduced by half based on the 40 nm PR pattern to realize a CD of 18 nm per line. The substrate is a silicon oxide film.

도 6a 내지 도 6i은 본 발명의 다른 실시예에 따른 반도체 제조 방법을 나타내는 단면도들이다.6A to 6I are cross-sectional views showing a semiconductor manufacturing method according to another embodiment of the present invention.

도 6a 내지 도 6i를 참조하면, 반도체 소자의 제조 방법은, 기판(110) 상에 경사진 제1 하드 마스크 패턴들(220a) 및 상기 제1 하드 마스크 패턴들(220a) 사이에 제1 스페이스(222)를 형성하는 단계; 상기 제1 하드 마스크 패턴들(220a)을 식각 마스크로 상기 기판(110)을 이방성 식각하여 제1 트렌치들(112)을 형성하는 단계; 상기 제1 트렌치(112) 및 상기 제1 스페이스(222)를 채워 상기 제1 하드 마스크 패턴들(220a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성하는 단계; 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들(220a)의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(220a)을 경사 식각하여 제2 스페이스(224)를 형성하고 분할된 제1 하드 마스크 패턴들(220b)을 형성하는 단계; 및 상기 제3 하드 마스크 패턴들(162), 상기 제2 하드 마스크 패턴들(150), 및 분할된 제1 하드 마스크 패턴들(220b) 중에서 적어도 하나를 식각 마스크로 상기 기판을 식각하여 제2 트렌치(114)를 형성하는 단계를 포함한다. 6A to 6I, the method of manufacturing a semiconductor device includes first hard mask patterns 220a inclined on a substrate 110 and a first space between the first hard mask patterns 220a. Forming 222); forming first trenches 112 by anisotropically etching the substrate 110 using the first hard mask patterns 220a as an etch mask; forming second hard mask patterns 150 lower than the upper surfaces of the first hard mask patterns 220a by filling the first trench 112 and the first space 222; A third hard mask layer 160 is coated or deposited on the second hard mask patterns 150, and the upper surfaces of the first hard mask patterns 220a are exposed to the third hard mask layer 160. forming third hard mask patterns 162 by removing them as much as possible; The first hard mask patterns 220a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 224 and form divided first hard mask patterns 220b. steps; and etching the substrate using at least one of the third hard mask patterns 162, the second hard mask patterns 150, and the divided first hard mask patterns 220b as an etch mask to form a second trench. It includes forming (114).

도 6a 및 도 6b를 참조하면, 기판(110) 상에 경사진 제1 하드 마스크 패턴들(220a) 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스(222)를 형성하는 단계는, 상기 기판(110) 상에 차례로 적층된 제1 하드 마스크층(220), 예비 하드 마스크층(130), 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴(140)을 형성하여 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성하는 단계; 상기 예비 하드 마스크 패턴들(132)를 식각 마스크로 상기 제1 하드 마스크층(120)를 수직으로 식각하여 제1 하드 마스크 패턴들(221)을 형성하는 단계; 상기 제1 하드 마스크 패턴들(221)을 덮도록 컨포멀한 박막을 증착하고 박막을 이방성 식각하여 상기 제1 하드 마스크 패턴들의 측벽에 경사를 가지는 사이드월(221a)을 제공하여 경사진 제1 하드 마스크 패턴들(220a)을 형성는 단계; 및 경사진 제1 하드 마스크 패턴들(220a)을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치(112)를 형성하는 단계를 포함할 수 있다.6A and 6B, forming inclined first hard mask patterns 220a on a substrate 110 and a first space 222 between the first hard mask patterns includes forming the first hard mask patterns 220a on the substrate 110. The preliminary hard mask layer 130 is formed by forming a first hard mask layer 220, a preliminary hard mask layer 130, and a photoresist pattern 140 on the preliminary hard mask layer, which are sequentially stacked on (110). patterning to form a preliminary hard mask pattern 132; forming first hard mask patterns 221 by vertically etching the first hard mask layer 120 using the preliminary hard mask patterns 132 as an etch mask; A conformal thin film is deposited to cover the first hard mask patterns 221 and the thin film is anisotropically etched to provide an inclined sidewall 221a on the sidewall of the first hard mask patterns 221 to form an inclined first hard mask. forming mask patterns 220a; and forming the first trench 112 by etching the substrate using the inclined first hard mask patterns 220a as an etch mask.

상기 기판(110)은 반도체 기판, 또는 반도체 기판 상에 형성된 박막을 포함할 수 있다. 상기 박막은 절연막, 또는 도전막일 수 있다. 포토레지스트 패턴(140)은 포토 리소그라피 공정을 통하여 형성될 수 있다. 예비 하드 마스크층(130)은 실리콘을 포함하는 스핀 코팅층일 수 있다. 상기 제1 하드 마스크층(120)은 카본을 포함하는 스핀 코팅층일 수 있다.The substrate 110 may include a semiconductor substrate or a thin film formed on a semiconductor substrate. The thin film may be an insulating film or a conductive film. The photoresist pattern 140 may be formed through a photo lithography process. The preliminary hard mask layer 130 may be a spin coating layer containing silicon. The first hard mask layer 120 may be a spin coating layer containing carbon.

상기 기판(110) 상에 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)을 형성한다. 상기 포토레지스트 패턴(140)을 마스크로 예비 하드 마스크층(130)을 식각하여 예비 하드 마스크 패턴(132)을 형성한다. 상기 예비 하드 마스크 패턴(132)을 식각 마스크로 제1 하드 마스크층(120)을 수직 식각하여 제1 하드 마스크 패턴(221)을 형성한다.A first hard mask layer 120, a preliminary hard mask layer 130, and a photoresist pattern 140 are formed on the substrate 110. The preliminary hard mask layer 130 is etched using the photoresist pattern 140 as a mask to form a preliminary hard mask pattern 132. The first hard mask layer 120 is vertically etched using the preliminary hard mask pattern 132 as an etch mask to form the first hard mask pattern 221.

도 6b를 참조하면, 상기 포토레지스트 패턴(140)을 마스크로 상기 예비 하드 마스크층을 식각하여 상기 예비 하드 마스크 패턴들(132)을 형성한다. 상기 예비 하드 마스크 패턴들(132)를 식각 마스크로 상기 제1 하드 마스크층(120)를 수직으로 식각하여 제1 하드 마스크 패턴들(221)을 형성할 수 있다. Referring to FIG. 6B, the preliminary hard mask layer is etched using the photoresist pattern 140 as a mask to form the preliminary hard mask patterns 132. First hard mask patterns 221 may be formed by vertically etching the first hard mask layer 120 using the preliminary hard mask patterns 132 as an etch mask.

도 6c를 참조하면, 상기 제1 하드 마스크 패턴들(221)을 덮도록 컨포멀한 박막을 증착하고 상기 박막을 이방성 식각하여 상기 제1 하드 마스크 패턴들(221)의 측벽에 경사를 가지는 사이드월(221a)을 제공하여 경사진 제1 하드 마스크 패턴들(220a)을 형성할 수 있다. 컨포멀한 박막의 재질은 비정질 카본층일 수 있다. 이에 따라, 상기 사이드월(221a)의 재질은 상기 제1 하드 마스크 패턴들(221)의 재질과 실질적으로 동일할 수 있다.Referring to FIG. 6C, a conformal thin film is deposited to cover the first hard mask patterns 221 and the thin film is anisotropically etched to form a side wall having an inclination on the side wall of the first hard mask patterns 221. By providing 221a, inclined first hard mask patterns 220a can be formed. The material of the conformal thin film may be an amorphous carbon layer. Accordingly, the material of the sidewall 221a may be substantially the same as the material of the first hard mask patterns 221.

도 6d를 참조하면, 경사진 제1 하드 마스크 패턴들(220a)을 식각 마스크로 상기 기판을 식각하여 제1 트렌치(112)를 형성할 수 있다.Referring to FIG. 6D , the first trench 112 may be formed by etching the substrate using the inclined first hard mask patterns 220a as an etch mask.

도 6e를 참조하면, 상기 제1 트렌치(112) 및 상기 제1 스페이스(222)를 채워 상기 제1 하드 마스크 패턴들(220a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성할 수 있다. 제2 하드 마스크 패턴들(150)은 카본을 포함하는 스핀 코딩층일 수 있다.Referring to FIG. 6E, the first trench 112 and the first space 222 may be filled to form second hard mask patterns 150 that are lower than the upper surfaces of the first hard mask patterns 220a. there is. The second hard mask patterns 150 may be a spin coding layer containing carbon.

도 6f를 참조하면, 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층을 증착 또는 코팅하고 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들(220a)의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성할 수 있다. 상기 제3 하드 마스크층은 실리콘을 포함하는 스핀 코팅층일 수 있다.Referring to FIG. 6F, a third hard mask layer is deposited or coated on the second hard mask patterns 150 and the third hard mask layer 160 is applied to the upper part of the first hard mask patterns 220a. Third hard mask patterns 162 can be formed by removing the surface to expose it. The third hard mask layer may be a spin coating layer containing silicon.

도 6g를 참조하면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(220a)을 경사 식각하여 제2 스페이스(224)를 형성하고 분할된 제1 하드 마스크 패턴들(220b)을 형성할 수 있다. Referring to FIG. 6G, the first hard mask patterns 220a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 224, and the first hard mask pattern is divided. Fields 220b may be formed.

변형된 실시예에 따르면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(220a)을 수직 식각하여 제2 스페이스(224)를 형성하고 분할된 제1 하드 마스크 패턴들(220b)을 형성할 수 있다. 이어서, 상기 제1 하드 마스크 패턴들(220b)에 사이드월을 형성하여 경사진 제1 하드 마스크 패턴들(220b)을 형성할 수 있다.According to a modified embodiment, the first hard mask patterns 220a are vertically etched using the third hard mask patterns 162 as an etch mask to form a second space 224 and the divided first hard mask is formed. Patterns 220b may be formed. Next, sidewalls may be formed on the first hard mask patterns 220b to form inclined first hard mask patterns 220b.

도 6h 및 도 6i를 참조하면, 상기 제3 하드 마스크 패턴들(162), 상기 제2 하드 마스크 패턴들(150), 및 분할된 제1 하드 마스크 패턴들(220b) 중에서 적어도 하나를 식각 마스크로 상기 기판을 식각하여 제2 트렌치(114)를 형성할 수 있다.Referring to FIGS. 6H and 6I, at least one of the third hard mask patterns 162, the second hard mask patterns 150, and the divided first hard mask patterns 220b is used as an etch mask. The second trench 114 may be formed by etching the substrate.

이어서, 산소 플라즈마를 사용하여, 상기 제3 하드 마스크 패턴들, 상기 제2 하드 마스크 패턴들, 및 분할된 제1 하드 마스크 패턴들을 제거할 수 있다.Subsequently, the third hard mask patterns, the second hard mask patterns, and the divided first hard mask patterns may be removed using oxygen plasma.

도 7a 내지 도 7i는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 설명하는 단면도들이다.7A to 7I are cross-sectional views illustrating a method of manufacturing a semiconductor device according to another embodiment of the present invention.

도 7a 내지 도 7i를 참조하면, 반도체 소자의 제조 방법은, 기판(310)에 형성된 하부 하드 마스크층(330) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 하부 하드 마스크층(330)을 이방성 식각하여 제1 트렌치들(332)을 형성하는 단계; 상기 제1 트렌치(332) 및 상기 제1 스페이스(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성하는 단계; 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성하는 단계; 및 상기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150) 중에서 적어도 하나를 이용하여 상기 하부 하드 마스크층(330)을 이방성 식각하여 제2 트렌치(334)를 형성하고 상기 제1 트렌치(332) 및 제2 트렌치(334)를 포함하는 하부 하드 마스크 패턴들(330b)을 형성하는 단계를 포함한다.Referring to FIGS. 7A to 7I , the method of manufacturing a semiconductor device includes forming inclined first hard mask patterns 120a on a lower hard mask layer 330 formed on a substrate 310 and the first hard mask patterns. forming a first space 122 between (120a); forming first trenches 332 by anisotropically etching the lower hard mask layer 330 using the first hard mask patterns 120a as an etch mask; forming second hard mask patterns 150 lower than the upper surfaces of the first hard mask patterns 120a by filling the first trench 332 and the first space 122; A third hard mask layer 160 is coated or deposited on the second hard mask patterns 150 and the third hard mask layer 160 is removed to expose the first hard mask patterns 120a. forming third hard mask patterns 162; The first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124 and form divided first hard mask patterns 120b. steps; and the lower hard mask layer 330 using at least one of the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150. Anisotropic etching to form a second trench 334 and forming lower hard mask patterns 330b including the first trench 332 and the second trench 334.

상기 하부 하드 마스크층(330)은 이중 패터닝되어 소자의 일부로 사용되거나 하드 마스크로써 상기 하부 하드 마스크층(330)의 하부에 구조를 형성하기 위하여 사용될 수 있다. 상기 하부 하드 마스크층(330)을 사용하는 경우, 소자 분리막 공정, FINFET의 FIN 형성 공정, 워드 라인, 비트 라인, 금속 라인 공정 등에 사용될 수 있다. 또는, 상기 하부 하드 마스크층은 4중 패터닝 공정에 사용될 수 있다.The lower hard mask layer 330 may be double patterned and used as part of a device, or may be used as a hard mask to form a structure under the lower hard mask layer 330. When the lower hard mask layer 330 is used, it can be used in a device isolation film process, a FINFET FIN formation process, a word line, a bit line, and a metal line process. Alternatively, the lower hard mask layer can be used in a quadruple patterning process.

4중 패터닝 공정을 위한 공정이 설명된다. A process for a quadruple patterning process is described.

도 7a를 참조하면, 상기 기판(310) 상에 차례로 적층된 메인 하드 마스크층(320), 하부 하드 마스크층(330), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)이 형성될 수 있다.Referring to FIG. 7A, a main hard mask layer 320, a lower hard mask layer 330, a first hard mask layer 120, a preliminary hard mask layer 130, and A photoresist pattern 140 may be formed.

메인 하드 마스크층(320)은 카본을 포함하는 스핀 코팅층일 수 있다. 하부 하드 마스크층(330)는 실리콘을 포함하는 스핀 코팅층일 수 있다. 제1 하드 마스크층(120)은 카본을 포함하는 스핀 코팅층일 수 있다.예비 하드 마스크층(130)은 실리콘을 포함하는 스핀 코팅층일 수 있다. The main hard mask layer 320 may be a spin coating layer containing carbon. The lower hard mask layer 330 may be a spin coating layer containing silicon. The first hard mask layer 120 may be a spin coating layer containing carbon. The preliminary hard mask layer 130 may be a spin coating layer containing silicon.

이중 패터닝의 2회 반복을 위하여, 기판(310) 상에 카본을 포함하는 스핀 코팅층/실리콘을 포함하는 스핀 코팅층이 교번하여 적층될 수 있다. 메인 하드 마스크층(320)과 제1 하드 마스크층(120)은 카본을 포함하는 스핀 코팅층으로 동일한 재질이고, 서로 다른 두께를 가질 수 있다. 하부 하드 마스크층(330)과 예비 하드 마스크층(130)은 실리콘을 포함하는 스핀 코팅층으로 동일한 재질이고 동일한 두께를 가질 수 있다.To repeat double patterning twice, spin coating layers containing carbon/spin coating layers containing silicon may be alternately stacked on the substrate 310. The main hard mask layer 320 and the first hard mask layer 120 are spin coating layers containing carbon and are made of the same material and may have different thicknesses. The lower hard mask layer 330 and the preliminary hard mask layer 130 are spin coating layers containing silicon and may be made of the same material and have the same thickness.

도 7b 및 도 7c를 참조하면, 기판(310)에 형성된 하부 하드 마스크층(330) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계는, 상기 기판(310) 상에 차례로 적층된 메인 하드 마스크층(320), 하부 하드 마스크층(330), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)을 형성하고 상기 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성하는 단계; 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 하부 하드 마스크층(330)을 식각하여 상기 제1 트렌치(332)를 형성하는 단계를 포함할 수 있다.Referring to FIGS. 7B and 7C , first hard mask patterns 120a inclined on the lower hard mask layer 330 formed on the substrate 310 and the first hard mask patterns 120a between the first hard mask patterns 120a. The step of forming the space 122 includes the main hard mask layer 320, the lower hard mask layer 330, the first hard mask layer 120, and the preliminary hard mask layer ( 130), and forming a photoresist pattern 140 and patterning the preliminary hard mask layer 130 to form a preliminary hard mask pattern 132; forming the first hard mask patterns 120a by obliquely etching the first hard mask layer 120 using the preliminary hard mask pattern 132 as a mask; and forming the first trench 332 by etching the lower hard mask layer 330 using the first hard mask patterns 120a as an etch mask.

도 7d를 참조하면, 상기 제1 트렌치(332) 및 상기 제1 스페이스(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성할 수 있다.Referring to FIG. 7D, the first trench 332 and the first space 122 may be filled to form second hard mask patterns 150 that are lower than the upper surfaces of the first hard mask patterns 120a. there is.

도 7d 및 도 7e를 참조하면, 상기 제2 하드 마스크 패턴들(120a) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성할 수 있다.Referring to FIGS. 7D and 7E, a third hard mask layer 160 is coated or deposited on the second hard mask patterns 120a, and the third hard mask layer 160 is applied to the first hard mask pattern. The third hard mask patterns 162 may be formed by removing the areas 120a to expose them.

도 7f를 참조하면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성할 수 있다.Referring to FIG. 7F, the first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124, and the first hard mask pattern is divided. Fields 120b may be formed.

도 7g를 참조하면, 상기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150) 중에서 적어도 하나를 이용하여 상기 하부 하드 마스크층(330a)을 이방성 식각하여 제2 트렌치(334)를 형성하고 상기 제1 트렌치 및 제2 트렌치를 포함하는 하부 하드 마스크 패턴들(330b)을 형성할 수 있다.Referring to FIG. 7G, the lower hard mask pattern is formed using at least one of the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150. The mask layer 330a may be anisotropically etched to form a second trench 334 and lower hard mask patterns 330b including the first trench and the second trench.

하부 하드 마스크 패턴들(330b)은 상기 하부 하드 마스크 패턴들(330b) 하부에 배치된 박막 또는 기판을 패터닝하기 위하여 사용될 수 있다.The lower hard mask patterns 330b may be used to pattern a thin film or substrate disposed below the lower hard mask patterns 330b.

도 7h를 참조하면, 상기 하부 하드 마스크 패턴들(330b) 사이의 제2 트렌치(334) 및 제2 스페이스(124)를 상기 제1 하드 마스크층(120)과 동일한 재질의 갭필 물질(390)로 채울 수 있다. 상기 갭필 물질(390)은 카본을 포함하는 스핀 코팅층일 수 있다. 상기 갭필 물질(390)은 상기 제1 하드 마스크층의 재질과 동일할 수 있다.Referring to FIG. 7H, the second trench 334 and the second space 124 between the lower hard mask patterns 330b are formed with a gap fill material 390 made of the same material as the first hard mask layer 120. It can be filled. The gap fill material 390 may be a spin coating layer containing carbon. The gap fill material 390 may be the same as the material of the first hard mask layer.

도 7i를 참조하면, 상기 갭필 물질(390), 상기 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150)을 상기 하부 하드 마스크 패턴들(330b)을 노출하도록 식각할 수 있다. 상기 갭필 물질(390), 상기 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150)은 동일한 카본을 포함하는 스핀 코팅 물질일 수 있다. 이에 따라, 에치백 공정은 상기 하부 하드 마스크 패턴들(330b)을 노출시킨다. 이에 따라, 상기 갭필 물질(390a)은 상기 메인 하드 마스크층(320)의 손상을 방지할 수 있다. 이에 따라, 상기 하부 하드 마스크 패턴(330b)은 이중 패터닝 공정에 따라, 선폭이 반으로 감소한다.Referring to FIG. 7I, the gap fill material 390, the first hard mask patterns 120b, and the second hard mask patterns 150 are etched to expose the lower hard mask patterns 330b. You can. The gap fill material 390, the first hard mask patterns 120b, and the second hard mask patterns 150 may be a spin coating material containing the same carbon. Accordingly, the etch-back process exposes the lower hard mask patterns 330b. Accordingly, the gap fill material 390a can prevent damage to the main hard mask layer 320. Accordingly, the line width of the lower hard mask pattern 330b is reduced by half according to the double patterning process.

상기 하부 하드 마스크 패턴(330b)은 그 하부의 메인 하드 마스크층을 이중 패터닝 공정을 다시 적용하여 4중 패터닝 공정에 사용될 수 있다.The lower hard mask pattern 330b can be used in a quadruple patterning process by reapplying the double patterning process to the main hard mask layer below it.

도 8a 내지 도 8i는 본 발명의 다른 실시예에 따른 반도체 소자의 제조 방법을 설명하는 단면도들이다.8A to 8I are cross-sectional views illustrating a method of manufacturing a semiconductor device according to another embodiment of the present invention.

도 7i 및 도 8a 내지 도 8i를 참조하면, 상기 하부 하드 마스크 패턴들(330b)을 식각 마스크로 상기 메인 하드 마스크층(320)을 식각하여 경사진 제1 메인 하드 마스크 패턴들(320a) 및 상기 제1 메인 하드 마스크 패턴들(320a) 사이의 제1 메인 스페이스(322)를 형성하는 단계; 상기 제1 메인 하드 마스크 패턴들(320a)을 식각 마스크로 상기 기판(310)을 이방성 식각하여 제1 메인 트렌치들(312)을 형성하는 단계; 상기 제1 메인 트렌치(312) 및 상기 제1 메인 스페이스(322)를 채워 상기 제1 메인 하드 마스크 패턴들(320a)의 상부면보다 낮은제2 메인 하드 마스크 패턴들(350)을 형성하는 단계; 상기 제2 메인 하드 마스크 패턴들(350) 상에 제3 메인 하드 마스크층(360)을 증착하고 상기 제3 메인 하드 마스크층(360)을 상기 제1 메인 하드 마스크 패턴들(320a)이 노출되도록 제거하여 제3 메인 하드 마스크 패턴들(362)을 형성하는 단계; 상기 제3 메인 하드 마스크 패턴들(362)을 식각 마스크로 상기 제1 메인 하드 마스크 패턴들(320a)을 경사 식각하여 제2 메인 스페이스(324)를 형성하고 분할된 제1 메인 하드 마스크 패턴들(320b)을 형성하는 단계; 및 상기 제3 메인 하드 마스크 패턴들(362), 상기 분할된 제1 메인 하드 마스크 패턴들(320b), 및 상기 제2 메인 하드 마스크 패턴들(350) 중에서 적어도 하나를 이용하여 상기 기판(310)을 이방성 식각하여 제2 메인 트렌치(314)를 형성하는 단계를 더 포함할 수 있다.Referring to FIGS. 7I and 8A to 8I , the main hard mask layer 320 is etched using the lower hard mask patterns 330b as an etch mask to form inclined first main hard mask patterns 320a and the forming a first main space 322 between first main hard mask patterns 320a; forming first main trenches 312 by anisotropically etching the substrate 310 using the first main hard mask patterns 320a as an etch mask; forming second main hard mask patterns 350 lower than the upper surfaces of the first main hard mask patterns 320a by filling the first main trench 312 and the first main space 322; A third main hard mask layer 360 is deposited on the second main hard mask patterns 350 and the third main hard mask layer 360 is exposed so that the first main hard mask patterns 320a are exposed. forming third main hard mask patterns 362 by removing them; The first main hard mask patterns 320a are obliquely etched using the third main hard mask patterns 362 as an etch mask to form a second main space 324 and divide the first main hard mask patterns ( Forming 320b); and the substrate 310 using at least one of the third main hard mask patterns 362, the divided first main hard mask patterns 320b, and the second main hard mask patterns 350. The step of forming the second main trench 314 by anisotropically etching may be further included.

도 7i 및 도 8a를 참조하면, 기판(310) 상에 메인 하드 마스크층(320), 상기 하부 하드 마스크 패턴들(330b), 및 상기 하부 하드 마스크 패턴들(330b) 사이의 제1 트렌치(332)와 제2 트렌치(334)를 채우는 갭필 물질(390a)이 배치된다. Referring to FIGS. 7I and 8A , the main hard mask layer 320, the lower hard mask patterns 330b, and the first trench 332 between the lower hard mask patterns 330b are formed on the substrate 310. ) and a gap fill material 390a that fills the second trench 334 is disposed.

도 8b 및 도 8c를 참조하면, 상기 하부 하드 마스크 패턴들(330b)을 식각 마스크로 상기 메인 하드 마스크층(320)을 식각하여 경사진 제1 메인 하드 마스크 패턴들(320a) 및 상기 제1 메인 하드 마스크 패턴들 사이의 제1 메인 스페이스(322)를 형성한다. 제1 메인 하드 마스크 패턴들(320a)의 경사각(θ')은 84도일 수 있다. 상기 제1 메인 하드 마스크 패턴들의 높이(t')는 상기 제1 하드 마스크 패턴들(120b)의 높이(t)의 1/2일 수 있다. Referring to FIGS. 8B and 8C, the main hard mask layer 320 is etched using the lower hard mask patterns 330b as an etch mask to form inclined first main hard mask patterns 320a and the first main hard mask pattern 320b. A first main space 322 is formed between the hard mask patterns. The inclination angle θ' of the first main hard mask patterns 320a may be 84 degrees. The height (t') of the first main hard mask patterns may be 1/2 of the height (t) of the first hard mask patterns 120b.

변형될 실시예에 따르면, 경사각(θ')이 주어진 경우, 상기 제1 메인 하드 마스크 패턴들의 높이(t')는 다음과 같이 주어진다. a는 최초의 PR 패턴의 선폭이다.According to a modified embodiment, when the inclination angle (θ') is given, the height (t') of the first main hard mask patterns is given as follows. a is the line width of the first PR pattern.

[수학식 1][Equation 1]

t'= a/8 tan(θ')t'=a/8 tan(θ')

도 8c를 참조하면, 상기 제1 메인 하드 마스크 패턴들(320a)을 식각 마스크로 상기 기판(310)을 식각하여 제1 메인 트렌치(312)를 형성한다. 제1 메인 트렌치(312)의 폭은 a/4이다. Referring to FIG. 8C, the substrate 310 is etched using the first main hard mask patterns 320a as an etch mask to form a first main trench 312. The width of the first main trench 312 is a/4.

도 8d를 참조하면, 상기 제1 메인 트렌치(312) 및 상기 제1 메인 스페이스(322)를 채워 상기 제1 메인 하드 마스크 패턴들(320a)의 상부면보다 낮은 제2 메인 하드 마스크 패턴들(350)을 형성할 수 있다. 제2 메인 하드 마스크 패턴들(350)은 카본 함유 스핀 코팅층일 수 있다.Referring to FIG. 8D, second main hard mask patterns 350 fill the first main trench 312 and the first main space 322 and are lower than the upper surfaces of the first main hard mask patterns 320a. can be formed. The second main hard mask patterns 350 may be a carbon-containing spin coating layer.

도 8e 및 도 8f를 참조하면, 상기 제2 메인 하드 마스크 패턴들(320a) 상에 제3 메인 하드 마스크층(360)을 코팅 또는 증착하고 상기 제3 메인 하드 마스크층을 상기 제1 메인 하드 마스크 패턴들(320a)이 노출되도록 제거하여 제3 메인 하드 마스크 패턴들(362)을 형성할 수 있다. 제3 메인 하드 마스크층(360)은 실리콘 함유 스핀 코팅층일 수 있다.Referring to FIGS. 8E and 8F, a third main hard mask layer 360 is coated or deposited on the second main hard mask patterns 320a, and the third main hard mask layer is applied to the first main hard mask. Third main hard mask patterns 362 may be formed by removing the patterns 320a to expose them. The third main hard mask layer 360 may be a silicon-containing spin coating layer.

도 8g를 참조하면, 상기 제3 메인 하드 마스크 패턴들(362)을 식각 마스크로 상기 제1 메인 하드 마스크 패턴들(320a)을 경사 식각하여 제2 메인 스페이스(324)를 형성하고 분할된 제1 메인 하드 마스크 패턴들(320b)을 형성할 수 있다.Referring to FIG. 8G, the first main hard mask patterns 320a are obliquely etched using the third main hard mask patterns 362 as an etch mask to form a second main space 324 and divide the first main space 324. Main hard mask patterns 320b may be formed.

도 8h를 참조하면, 상기 제3 메인 하드 마스크 패턴들(362), 상기 분할된 제1 메인 하드 마스크 패턴들(320b), 및 상기 제2 메인 하드 마스크 패턴들(350) 중에서 적어도 하나를 이용하여 상기 기판(310)을 이방성 식각하여 제2 메인 트렌치(314)를 형성하고 상기 제1 메인 트렌치 및 제2 메인 트렌치를 포함하는 메인 하드 마스크 패턴들을 형성한다. 상기 제1 메인 트렌치 및 제2 메인 트렌치의 폭은 a/4일 수 있다.Referring to FIG. 8H, using at least one of the third main hard mask patterns 362, the divided first main hard mask patterns 320b, and the second main hard mask patterns 350. The substrate 310 is anisotropically etched to form a second main trench 314 and main hard mask patterns including the first main trench and the second main trench. The width of the first main trench and the second main trench may be a/4.

도 8i를 참조하면, 상기 제3 메인 하드 마스크 패턴들(362), 상기 분할된 제1 메인 하드 마스크 패턴들(320b), 및 상기 제2 메인 하드 마스크 패턴들(350)을 제거하여, 제1 메인 트렌치(312) 및 제2 메인 트렌치(314)를 노출한다. 이에 따라, 라인의 선폭은 a/4일 수 있다. 4중 패턴이 수행된다.Referring to FIG. 8I, the third main hard mask patterns 362, the divided first main hard mask patterns 320b, and the second main hard mask patterns 350 are removed to create a first The main trench 312 and the second main trench 314 are exposed. Accordingly, the line width of the line may be a/4. A quadruple pattern is performed.

4중 패턴은 이중 패턴과 동일하게 반도체 소자 및 광학 소자에 사용할 수 있다.The quadruple pattern can be used in semiconductor devices and optical devices in the same way as the double pattern.

도 9a 내지 도 9j는 본 발명의 일 실시예에 따른 게이트 라인 형성 방법을 나타내는 도면들이다.9A to 9J are diagrams showing a method of forming a gate line according to an embodiment of the present invention.

도 9a 내지 도 9j를 참조하면, 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법은, Referring to FIGS. 9A to 9J, the method for manufacturing a semiconductor device according to an embodiment of the present invention is:

기판(410)에 형성된 하부 하드 마스크층(440) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 하부 하드 마스크층(440)을 이방성 식각하여 제1 트렌치들(442)을 형성하는 단계; 상기 제1 트렌치(442) 및 상기 제1 스페이스(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성하는 단계; 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150) 중에서 적어도 하나를 이용하여 상기 하부 하드 마스크층(440a)을 이방성 식각하여 제2 트렌치(444)를 형성하고 상기 제1 트렌치 및 제2 트렌치를 포함하는 하부 하드 마스크 패턴들(440b)을 형성하는 단계를 포함한다.forming inclined first hard mask patterns 120a on the lower hard mask layer 440 formed on the substrate 410 and a first space 122 between the first hard mask patterns 120a; forming first trenches 442 by anisotropically etching the lower hard mask layer 440 using the first hard mask patterns 120a as an etch mask; forming second hard mask patterns 150 lower than the upper surfaces of the first hard mask patterns 120a by filling the first trench 442 and the first space 122; A third hard mask layer 160 is coated or deposited on the second hard mask patterns 150 and the third hard mask layer is removed to expose the first hard mask patterns 120a. forming mask patterns 162; The first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124 and form divided first hard mask patterns 120b. steps; The lower hard mask layer 440a is formed using at least one of the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150. It includes forming a second trench 444 by anisotropic etching and forming lower hard mask patterns 440b including the first trench and the second trench.

도 9a를 참조하면, 기판(410) 상에 차례로 적층된 도전층(420), 제1 하부 하드 마스크층(430), 제2 하부 하드 마스크층(440), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)이 형성될 수 있다. 상기 도전층은 도핑된 폴리 실리콘, 금속일 수 있다.Referring to FIG. 9A, a conductive layer 420, a first lower hard mask layer 430, a second lower hard mask layer 440, a first hard mask layer 120, are sequentially stacked on a substrate 410. A preliminary hard mask layer 130 and a photoresist pattern 140 may be formed. The conductive layer may be doped polysilicon or metal.

도전층(420)은 도핑된 폴리실리콘층, 및 금속층 중에서 적어도 하나를 포함할 수 있다. 상기 도전층은 패터닝되어 게이트 라인, 워드 라인, 또는 비트 라인으로 사용될 수 있다.The conductive layer 420 may include at least one of a doped polysilicon layer and a metal layer. The conductive layer can be patterned and used as a gate line, word line, or bit line.

상기 제1 하부 하드 마스크층(430)은 실리콘 질화막, 또는 실리콘산화질화막일 수 있다. 제2 하부 하드 마스크층(440)는 폴리실리콘 또는 실리콘 산화막일 수 있다. 제1 하드 마스크층(120)는 카본 함유 스핀코팅층일 수 있다. 예비 하드 마스크층(130)는 실리콘 함유 스핀 코팅층일 수 있다.The first lower hard mask layer 430 may be a silicon nitride film or a silicon oxynitride film. The second lower hard mask layer 440 may be a polysilicon or silicon oxide film. The first hard mask layer 120 may be a carbon-containing spin coating layer. The preliminary hard mask layer 130 may be a silicon-containing spin coating layer.

기판(410)에 형성된 하부 하드 마스크층(440) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계는, 상기 기판(410) 상에 차례로 적층된 도전층(420), 제1 하부 하드 마스크층(430), 제2 하부 하드 마스크층(440), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)을 형성하여 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성하는 단계; 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 제2 하부 마스크층(440)을 식각하여 상기 제1 트렌치(442)를 형성하는 단계를 포함할 수 있다.The step of forming inclined first hard mask patterns 120a on the lower hard mask layer 440 formed on the substrate 410 and a first space 122 between the first hard mask patterns 120a includes: , a conductive layer 420, a first lower hard mask layer 430, a second lower hard mask layer 440, a first hard mask layer 120, and a preliminary hard mask layer sequentially stacked on the substrate 410. (130), and forming a photoresist pattern 140 to pattern the preliminary hard mask layer 130 to form a preliminary hard mask pattern 132; forming the first hard mask patterns 120a by obliquely etching the first hard mask layer 120 using the preliminary hard mask pattern 132 as a mask; and forming the first trench 442 by etching the second lower mask layer 440 using the first hard mask patterns 120a as an etch mask.

도 9b를 참조하면, 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성할 수 있다. 이어서, 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성할 수 있다.Referring to FIG. 9B, the preliminary hard mask layer 130 may be patterned to form the preliminary hard mask pattern 132. Subsequently, the first hard mask layer 120 may be obliquely etched using the preliminary hard mask pattern 132 as a mask to form the first hard mask patterns 120a.

도 9c를 참조하면, 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 제2 하부 마스크층(440)을 식각하여 상기 제1 트렌치(442)를 형성할 수 있다.Referring to FIG. 9C, the first trench 442 may be formed by etching the second lower mask layer 440 using the first hard mask patterns 120a as an etch mask.

도 9d를 참조하면, 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮도록 상기 제1 트렌치(442) 및 상기 제1 스페이스(122)를 채워 제2 하드 마스크 패턴들(150)을 형성할 수 있다.Referring to FIG. 9D, second hard mask patterns 150 are formed by filling the first trench 442 and the first space 122 to be lower than the upper surfaces of the first hard mask patterns 120a. You can.

도 9e를 참조하면, 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 증착 또는 코팅하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성할 수 있다.Referring to FIG. 9E, a third hard mask layer 160 is deposited or coated on the second hard mask patterns 150, and the first hard mask patterns 120a are exposed to the third hard mask layer. Third hard mask patterns 162 can be formed by removing as much as possible.

도 9f를 참조하면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성할 수 있다.Referring to FIG. 9F, the first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124 and the divided first hard mask pattern. Fields 120b may be formed.

도 9g를 참조하면, 상기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150) 중에서 적어도 하나를 이용하여 상기 제2 하부 하드 마스크층(440a)을 이방성 식각하여 제2 트렌치(444)를 형성하고 상기 제1 트렌치(442) 및 제2 트렌치(444)를 포함하는 제2 하부 하드 마스크 패턴들(440b)을 형성할 수 있다.Referring to FIG. 9G, the second hard mask pattern is formed using at least one of the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150. The lower hard mask layer 440a is anisotropically etched to form a second trench 444 and second lower hard mask patterns 440b including the first trench 442 and the second trench 444 are formed. You can.

도 9h를 참조하면, 제2 하부 하드 마스크 패턴들(440b)을 식각 마스크로 상기 제1 하부 마스크층(430) 및 상기 도전층(420)을 식각하여 제1 하부 마스크 패턴(430a) 및 도전 라인 패턴(420a)을 형성할 수 있다. 제2 하부 하드 마스크 패턴들(440b)은 상기 도전층(420)의 식각에서 동시에 제거될 수 있다. Referring to FIG. 9H, the first lower mask layer 430 and the conductive layer 420 are etched using the second lower hard mask patterns 440b as an etch mask to form the first lower mask pattern 430a and the conductive line. A pattern 420a may be formed. The second lower hard mask patterns 440b may be removed simultaneously when the conductive layer 420 is etched.

도 9i 및 도 9j를 참조하면, 제1 하부 마스크 패턴(430a) 및 도전 라인 패턴(420)의 측벽에 사이드월(422)이 형성될 수 있다. 이어서, 제1 하부 마스크 패턴(430a) 및 도전 라인 패턴(420)의 공간을 절연체로 채운 후, 상기 자체 정렬 콘택(self-aligned contact) 공정이 수행될 수 있다.Referring to FIGS. 9I and 9J , sidewalls 422 may be formed on sidewalls of the first lower mask pattern 430a and the conductive line pattern 420. Subsequently, after filling the space of the first lower mask pattern 430a and the conductive line pattern 420 with an insulator, the self-aligned contact process may be performed.

도 10a 내지 도 10j는 본 발명의 일 실시예에 따른 STI 형성 방법을 나타내는 도면들이다.10A to 10J are diagrams showing a method of forming an STI according to an embodiment of the present invention.

도 10a 내지 도 10j를 참조하면, 본 발명의 일 실시예에 따른 기판 처리 방법은, 기판(510)에 형성된 하부 하드 마스크층(540) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 하부 하드 마스크층(540)을 이방성 식각하여 제1 트렌치들(542)을 형성하는 단계; 상기 제1 트렌치(542) 및 상기 제1 스페이스를(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성하는 단계; 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층(160)을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성하는 단계; 상기 제3 하드 마스크 패턴들, 상기 분할된 제1 하드 마스크 패턴들, 및 상기 제2 하드 마스크 패턴들 중에서 적어도 하나를 이용하여 상기 하부 하드 마스크층(540a)을 이방성 식각하여 제2 트렌치(544)를 형성하고 상기 제1 트렌치 및 제2 트렌치를 포함하는 하부 하드 마스크 패턴들(540b)을 형성하는 단계를 포함한다.10A to 10J, the substrate processing method according to an embodiment of the present invention includes first hard mask patterns 120a inclined on the lower hard mask layer 540 formed on the substrate 510, and the forming a first space 122 between first hard mask patterns 120a; forming first trenches 542 by anisotropically etching the lower hard mask layer 540 using the first hard mask patterns 120a as an etch mask; forming second hard mask patterns 150 lower than the upper surfaces of the first hard mask patterns 120a by filling the first trench 542 and the first space 122; A third hard mask layer 160 is coated or deposited on the second hard mask patterns 150 and the third hard mask layer 160 is removed to expose the first hard mask patterns 120a. forming third hard mask patterns 162; The first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124 and form divided first hard mask patterns 120b. steps; A second trench 544 is formed by anisotropically etching the lower hard mask layer 540a using at least one of the third hard mask patterns, the divided first hard mask patterns, and the second hard mask patterns. and forming lower hard mask patterns 540b including the first trench and the second trench.

도 10a를 참조하면, 상기 기판(510) 상에 차례로 적층된 실리콘 산화물층(530), 하부 하드 마스크층(540), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)을 형성한다.Referring to FIG. 10A, a silicon oxide layer 530, a lower hard mask layer 540, a first hard mask layer 120, a preliminary hard mask layer 130, and a photo layer are sequentially stacked on the substrate 510. A resist pattern 140 is formed.

상기 하부 하드 마스크층(540)은 실리콘 질화막, 또는 폴리실리콘막일 수 있다. 제1 하드 마스크층(120)은 카본 함유 스핀코팅층일 수 있다. 상기 예비 하드 마스크층(130)은 실리콘 함유 스핀 코팅층일 수 있다.The lower hard mask layer 540 may be a silicon nitride film or a polysilicon film. The first hard mask layer 120 may be a carbon-containing spin coating layer. The preliminary hard mask layer 130 may be a silicon-containing spin coating layer.

기판(510)에 형성된 하부 하드 마스크층(540) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계는, 상기 기판(510) 상에 차례로 적층된 실리콘 산화물층(530), 하부 하드 마스크층(540), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)을 형성하여 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성하는 단계; 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 하부 하드 마스크층(540)을 식각하여 상기 제1 트렌치(542)를 형성하는 단계를 포함한다.Forming inclined first hard mask patterns 120a on the lower hard mask layer 540 formed on the substrate 510 and a first space 122 between the first hard mask patterns 120a includes: , a silicon oxide layer 530, a lower hard mask layer 540, a first hard mask layer 120, a preliminary hard mask layer 130, and a photoresist pattern 140 sequentially stacked on the substrate 510. forming a preliminary hard mask pattern 132 by patterning the preliminary hard mask layer 130; forming the first hard mask patterns 120a by obliquely etching the first hard mask layer 120 using the preliminary hard mask pattern 132 as a mask; and forming the first trench 542 by etching the lower hard mask layer 540 using the first hard mask patterns 120a as an etch mask.

도 10b를 참조하면, 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성할 수 있다. 이어서, 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성할 수 있다. Referring to FIG. 10B, the preliminary hard mask layer 130 may be patterned to form the preliminary hard mask pattern 132. Subsequently, the first hard mask layer 120 may be obliquely etched using the preliminary hard mask pattern 132 as a mask to form the first hard mask patterns 120a.

도 10c를 참조하면, 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 하부 마스크 마스크층(540)을 식각하여 상기 제1 트렌치(542)를 형성할 수 있다.Referring to FIG. 10C, the lower mask layer 540 may be etched using the first hard mask patterns 120a as an etch mask to form the first trench 542.

도 10d를 참조하면, 상기 제1 트렌치(542) 및 상기 제1 스페이스(122)를 채워 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮은 제2 하드 마스크 패턴들(150)을 형성할 수 있다.Referring to FIG. 10D, second hard mask patterns 150 that are lower than the upper surfaces of the first hard mask patterns 120a may be formed by filling the first trench 542 and the first space 122. there is.

도 10e를 참조하면, 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 증착 또는 코팅하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성할 수 있다.Referring to FIG. 10E, a third hard mask layer 160 is deposited or coated on the second hard mask patterns 150 and the first hard mask patterns 120a are exposed to the third hard mask layer. Third hard mask patterns 162 can be formed by removing as much as possible.

도 10f를 참조하면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성할 수 있다.Referring to FIG. 10F, the first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124 and the divided first hard mask pattern. Fields 120b may be formed.

도 10g를 참조하면, 상기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150) 중에서 적어도 하나를 이용하여 상기 제2 하부 하드 마스크층(540a)을 이방성 식각하여 제2 트렌치(544)를 형성하고 상기 제1 트렌치(542) 및 제2 트렌치(544)를 포함하는 하부 하드 마스크 패턴들(540b)을 형성할 수 있다.Referring to FIG. 10G, the second hard mask pattern is formed using at least one of the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150. The lower hard mask layer 540a may be anisotropically etched to form a second trench 544 and lower hard mask patterns 540b including the first trench 542 and the second trench 544. .

도 10i를 참조하면, 하부 하드 마스크 패턴들(540b)을 식각 마스크로 실리콘 산화물층(530), 및 기판(510)을 식각할 수 있다. 이에 따라, 실리콘 산화물층 패턴(530a), 및 기판 트렌치(512)가 각각 형성될 수 있다. Referring to FIG. 10I , the silicon oxide layer 530 and the substrate 510 may be etched using the lower hard mask patterns 540b as an etch mask. Accordingly, the silicon oxide layer pattern 530a and the substrate trench 512 may be formed, respectively.

도 10j를 참조하면, 상기 기판 트렌치(512) 및 그 상부의 공간에 실리콘 산화물(501)을 채운 후, 상기 하부 하드 마스크 패턴(540b)이 노출되도록 화학기기계적 연마 공정을 수행할 수 있다. 이어서, 하부 하드 마스크 패턴(540b)을 제거하여, 소자 분리막이 형성될 수 있다. 상기 기판 트렌치 외측의 영역은 활성 영역을 제공할 수 있다.Referring to FIG. 10J, after filling the substrate trench 512 and the space above it with silicon oxide 501, a chemical-mechanical polishing process may be performed to expose the lower hard mask pattern 540b. Subsequently, the lower hard mask pattern 540b may be removed to form a device isolation layer. The area outside the substrate trench may provide an active area.

도 11a 내지 도 11j는 본 발명의 일 실시예에 따른 금속 라인을 위한 다마신 공정을 나타내는 도면들이다.11A to 11J are diagrams showing a damascene process for a metal line according to an embodiment of the present invention.

도 11a 내지 도 11j을 참조하면, 본 발명의 일 실시예에 따른 기판 처리 방법은, 기판(610)에 차례로 적층된 저유전막(640) 및 상기 저유전막(640) 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 저유전막(640)을 이방성 식각하여 제1 트렌치들(642)을 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮도록 상기 제1 트렌치(642) 및 상기 제1 스페이스(122)를 채워 제2 하드 마스크 패턴들(150)을 형성하는 단계; 상기 제1 하드 마스크 패턴들(120a) 및 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성하는 단계; 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 분할된 제1 하드 마스크 패턴들(120b)을 형성하는 단계; 및 상기 제3 하드 마스크 패턴(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 상기 제2 하드 마스크 패턴들(150) 식각 마스크로 상기 저유전막(642a)을 식각하여 제2 트렌치(644)를 가지는 저유전체 패턴들(640b)을 형성하는 단계를 포함한다. Referring to FIGS. 11A to 11J, the substrate processing method according to an embodiment of the present invention includes a low-k dielectric film 640 sequentially stacked on a substrate 610 and a first hard mask inclined on the low-k dielectric film 640. forming a first space 122 between patterns 120a and the first hard mask patterns 120a; forming first trenches 642 by anisotropically etching the low dielectric layer 640 using the first hard mask patterns 120a as an etch mask; forming second hard mask patterns 150 by filling the first trench 642 and the first space 122 to be lower than the upper surfaces of the first hard mask patterns 120a; A third hard mask layer 160 is coated or deposited on the first hard mask patterns 120a and the second hard mask patterns 150, and the third hard mask layer is applied to the first hard mask patterns 120a. forming third hard mask patterns 162 by removing (120a) to expose; forming divided first hard mask patterns 120b by obliquely etching the first hard mask patterns 120a using the third hard mask patterns 162 as an etch mask; and etching the low-k dielectric layer 642a using an etch mask for the third hard mask pattern 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150 to form a second trench ( It includes forming low dielectric patterns 640b having 644).

도 11a를 참조하면, 기판(610) 상에 차례로 층간 절연막(620), 식각 정지막(630), 저유전막(640), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)이 형성된다. Referring to FIG. 11A, on the substrate 610, an interlayer insulating film 620, an etch stop film 630, a low dielectric film 640, a first hard mask layer 120, a preliminary hard mask layer 130, and A photoresist pattern 140 is formed.

상기 층간 절연막(620)은 실리콘 산화막일 수 있다. 상기 식각 정지막(630)은 실리콘 질화막일 수 있다. 상기 저유전막(640)은 실리콘산화막, 또는 실리콘산화질화막과 같은 저유전체막일 수 있다. 상기 제1 하드 마스크층(120)은 카본 함유 스핀코팅층일 수 있다. 상기 예비 하드 마스크층(130)은 실리콘 함유 스핀 코팅층일 수 있다. The interlayer insulating film 620 may be a silicon oxide film. The etch stop layer 630 may be a silicon nitride layer. The low dielectric film 640 may be a low dielectric film such as a silicon oxide film or a silicon oxynitride film. The first hard mask layer 120 may be a carbon-containing spin coating layer. The preliminary hard mask layer 130 may be a silicon-containing spin coating layer.

기판(610)에 차례로 적층된 저유전막(640) 및 상기 저유전막 상에 경사진 제1 하드 마스크 패턴들(120a) 및 상기 제1 하드 마스크 패턴들(120a) 사이의 제1 스페이스(122)를 형성하는 단계는, 상기 기판(610) 상에 차례로 적층된 식각 정지막(630), 저유전막(640), 제1 하드 마스크층(120), 예비 하드 마스크층(130), 및 포토레지스트 패턴(140)을 형성하여 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성하는 단계; 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성하는 단계; 및 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 저유전막(640)을 식각하여 상기 제1 트렌치(642)를 형성하는 단계를 포함할 수 있다. A low dielectric film 640 sequentially stacked on the substrate 610, first hard mask patterns 120a inclined on the low dielectric film, and a first space 122 between the first hard mask patterns 120a. The forming step includes an etch stop film 630, a low dielectric film 640, a first hard mask layer 120, a preliminary hard mask layer 130, and a photoresist pattern ( 140) to form a preliminary hard mask pattern 132 by patterning the preliminary hard mask layer 130; forming the first hard mask patterns 120a by obliquely etching the first hard mask layer 120 using the preliminary hard mask pattern 132 as a mask; and The method may include forming the first trench 642 by etching the low dielectric layer 640 using the first hard mask patterns 120a as an etch mask.

도 11b를 참조하면, 예비 하드 마스크층(130)을 패터닝하여 예비 하드 마스크 패턴(132)을 형성할 수 있다. 이어서, 상기 예비 하드 마스크 패턴(132)을 마스크로 상기 제1 하드 마스크층(120)을 경사 식각하여 상기 제1 하드 마스크 패턴들(120a)을 형성할 수 있다. Referring to FIG. 11B, the preliminary hard mask layer 130 may be patterned to form the preliminary hard mask pattern 132. Subsequently, the first hard mask layer 120 may be obliquely etched using the preliminary hard mask pattern 132 as a mask to form the first hard mask patterns 120a.

도 11c 및 도 11d를 참조하면, 상기 제1 하드 마스크 패턴들(120a)을 식각 마스크로 상기 저유전층(640)을 식각하여 상기 제1 트렌치(642)를 형성할 수 있다. 이에 따라, 상기 저유전체 패턴(640a)이 형성될 수 있다. Referring to FIGS. 11C and 11D , the low dielectric layer 640 may be etched using the first hard mask patterns 120a as an etch mask to form the first trench 642. Accordingly, the low dielectric pattern 640a can be formed.

상기 저유전막(640)의 하부에 식각 정지막(630)이 배치될 수 있다. 상기 제1 트렌치(642)를 형성한 후 상기 식각 정지막(630)을 식각하여 제1 보조 트렌치(632)를 형성할 수 있다. An etch stop layer 630 may be disposed below the low dielectric layer 640. After forming the first trench 642, the etch stop layer 630 may be etched to form a first auxiliary trench 632.

도 11e를 참조하면, 상기 제1 하드 마스크 패턴들(120a)의 상부면보다 낮도록 상기 제1 트렌치(642), 상기 제1 보조 트렌치(632) 및 상기 제1 스페이스(122)를 채워 제2 하드 마스크 패턴들(150)을 형성할 수 있다. Referring to FIG. 11E, the first trench 642, the first auxiliary trench 632, and the first space 122 are filled to be lower than the upper surfaces of the first hard mask patterns 120a to form a second hard mask. Mask patterns 150 may be formed.

도 11f를 참조하면, 상기 제2 하드 마스크 패턴들(150) 상에 제3 하드 마스크층(160)을 증착 또는 코팅하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들(120a)이 노출되도록 제거하여 제3 하드 마스크 패턴들(162)을 형성할 수 있다. Referring to FIG. 11F, a third hard mask layer 160 is deposited or coated on the second hard mask patterns 150, and the first hard mask patterns 120a are exposed through the third hard mask layer. Third hard mask patterns 162 can be formed by removing as much as possible.

도 11g를 참조하면, 상기 제3 하드 마스크 패턴들(162)을 식각 마스크로 상기 제1 하드 마스크 패턴들(120a)을 경사 식각하여 제2 스페이스(124)를 형성하고 분할된 제1 하드 마스크 패턴들(120b)을 형성할 수 있다. Referring to FIG. 11g, the first hard mask patterns 120a are obliquely etched using the third hard mask patterns 162 as an etch mask to form a second space 124 and the divided first hard mask pattern. Fields 120b may be formed.

도 11h를 참조하면, 상기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150) 중에서 적어도 하나를 이용하여 상기 저유전층(640a)을 이방성 식각하여 제2 트렌치(644)를 형성하고 상기 제1 트렌치(642) 및 제2 트렌치(644)를 포함하는 저유전체 패턴들(640b)을 형성할 수 있다. 상기 제2 트렌치(644)를 형성한 후 상기 식각 정지막(630a)을 식각하여 제2 보조 트렌치(634)를 형성하는 단계를 더 포함할 수 있다. Referring to FIG. 11H, the low dielectric layer is formed using at least one of the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150. 640a may be anisotropically etched to form a second trench 644 and low dielectric patterns 640b including the first trench 642 and the second trench 644 may be formed. After forming the second trench 644, the step of forming a second auxiliary trench 634 by etching the etch stop layer 630a may be further included.

도 11i를 참조하면, 기 제3 하드 마스크 패턴들(162), 상기 분할된 제1 하드 마스크 패턴들(120b), 및 상기 제2 하드 마스크 패턴들(150)이 산소 플라즈마에 의하여 제거될 수 있다. Referring to FIG. 11I, the third hard mask patterns 162, the divided first hard mask patterns 120b, and the second hard mask patterns 150 may be removed by oxygen plasma. .

도 11j를 참조하면, 상기 저유전체 패턴들(640b) 사이의 제1 트렌치(642) 및 상기 제2 트렌치(644)를 도전층(690)으로 채우는 단계; 및 상기 도전층(690)를 화학 기계적 연마 공정을 사용하여 상기 저유전체 패턴들의 상부면을 노출하는 단계를 더 포함할 수 있다. Referring to FIG. 11J, filling the first trench 642 and the second trench 644 between the low dielectric patterns 640b with a conductive layer 690; and exposing upper surfaces of the low dielectric patterns of the conductive layer 690 using a chemical mechanical polishing process.

본 발명을 특정의 바람직한 실시예에 대하여 도시하고 설명하였으나, 본 발명은 이러한 실시예에 한정되지 않으며, 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 특허청구범위에서 청구하는 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 실시할 수 있는 다양한 형태의 실시예들을 모두 포함한다. Although the present invention has been shown and described with respect to specific preferred embodiments, the present invention is not limited to these embodiments, and the technical idea of the present invention as claimed in the claims by a person skilled in the art to which the invention pertains It includes various types of embodiments that can be implemented without departing from the scope.

110: 기판
112: 제1 트렌치
114: 제2 트렌치
120a: 제1 하드 마스크 패턴들
122: 제1 스페이스
150: 제2 하드 마스크 패턴들
162: 제3 하드 마스크 패턴들
110: substrate
112: first trench
114: second trench
120a: first hard mask patterns
122: First space
150: Second hard mask patterns
162: Third hard mask patterns

Claims (24)

기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이에 제1 스페이스를 형성하는 단계;
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 이방성 식각하여 제1 트렌치들을 형성하는 단계;
상기 제1 트렌치 및 상기 제1 스페이스를 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 높이를 가지는 제2 하드 마스크 패턴들을 형성하는 단계;
상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들의 상부면이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계;
상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 식각하여 제2 스페이스를 형성하고 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제3 하드 마스크 패턴들, 상기 제2 하드 마스크 패턴들, 및 분할된 제1 하드 마스크 패턴들 중에서 적어도 하나를 식각 마스크로 상기 기판을 식각하여 제2 트렌치를 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
forming inclined first hard mask patterns on a substrate and a first space between the first hard mask patterns;
forming first trenches by anisotropically etching the substrate using the first hard mask patterns as an etch mask;
forming second hard mask patterns having a lower height than the upper surfaces of the first hard mask patterns by filling the first trench and the first space;
forming third hard mask patterns by coating or depositing a third hard mask layer on the second hard mask patterns and removing the third hard mask layer to expose upper surfaces of the first hard mask patterns;
etching the first hard mask patterns using the third hard mask patterns as an etch mask to form a second space and forming divided first hard mask patterns; and
forming a second trench by etching the substrate using at least one of the third hard mask patterns, the second hard mask patterns, and the divided first hard mask patterns as an etch mask. Manufacturing method.
제1 항에 있어서,
상기 제1 하드 마스크 패턴들은 카본을 포함하는 물질이고, 스핀 코팅에 의하여 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, wherein the first hard mask patterns are made of a material containing carbon and are formed by spin coating.
제1 항에 있어서,
상기 제2 하드 마스크 패턴들은 카본을 포함하는 물질이고, 스핀 코팅에 의하여 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, wherein the second hard mask patterns are made of a material containing carbon and are formed by spin coating.
제1 항에 있어서,
상기 제3 하드 마스크 패턴들은 실리콘 계열이고, 스핀 코팅에 의하여 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, wherein the third hard mask patterns are silicon-based and formed by spin coating.
제1 항에 있어서,
상기 제1 트렌치의 폭과 상기 제2 트렌치의 폭은 동일한 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, wherein the width of the first trench and the width of the second trench are the same.
제1 항에 있어서,
상기 제3 하드 마스크 패턴들의 두께는 상기 제1 하드 마스크 패턴의 두께의 1/10 이상인 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, characterized in that the thickness of the third hard mask patterns is 1/10 or more of the thickness of the first hard mask pattern.
제1 항에 있어서,
상기 제1 하드 마스크 패턴들의 경사각은 80 도 내지 87도 인 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device, characterized in that the inclination angle of the first hard mask patterns is 80 degrees to 87 degrees.
제1 항에 있어서,
기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는:
상기 기판 상에 차례로 적층된 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하고, 상기 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계;
상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
Forming inclined first hard mask patterns on a substrate and a first space between the first hard mask patterns includes:
forming a photoresist pattern on a first hard mask layer, a preliminary hard mask layer, and the preliminary hard mask layer sequentially stacked on the substrate, and patterning the preliminary hard mask layer to form a preliminary hard mask pattern;
forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and
A method of manufacturing a semiconductor device, comprising etching the substrate using the first hard mask patterns as an etch mask to form the first trench.
제1 항에 있어서,
상기 제1 트렌치 및 상기 제2 트렌치를 노출하도록 상기 제3 하드 마스크 패턴들 및 상기 제2 하드 마스크 패턴들을 제거하는 단계;를 더 포함하는 반도체 소자의 제조 방법.
According to claim 1,
The method of manufacturing a semiconductor device further comprising removing the third hard mask patterns and the second hard mask patterns to expose the first trench and the second trench.
제8 항에 있어서,
상기 제1 트렌치 및 상기 제2 트렌치를 채우는 도전층을 형성하는 단계;
상기 도전층을 화학 기계적 폴리싱하여 상기 기판을 노출하여 도전 패턴을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to clause 8,
forming a conductive layer filling the first trench and the second trench;
A method of manufacturing a semiconductor device, further comprising chemically mechanically polishing the conductive layer to expose the substrate to form a conductive pattern.
제1 항에 있어서,
상기 기판은 반도체 기판 및 상기 반도체 기판 상에 하부 하드 마스크층을 포함하고,
상기 제1 트렌치 및 상기 제2 트렌치는 상기 하부 하드 마스크층에 형성되어 하부 하드 마스크 패턴을 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
The substrate includes a semiconductor substrate and a lower hard mask layer on the semiconductor substrate,
The first trench and the second trench are formed in the lower hard mask layer to form a lower hard mask pattern.
제11 항에 있어서,
상기 하부 하드 마스크 패턴을 마스크로 상기 반도체 기판을 식각하여 소자 분리막용 트렌치를 형성하고 활성 영역을 형성하는 단계; 및
상기 소자 분리막용 트렌치에 실리콘 산화막을 채우는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 11,
etching the semiconductor substrate using the lower hard mask pattern as a mask to form a trench for a device isolation layer and forming an active region; and
A method of manufacturing a semiconductor device, further comprising filling the device isolation trench with a silicon oxide film.
제1 항에 있어서,
기판 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는:
상기 기판 상에 차례로 적층된 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계;
상기 예비 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크층를 수직으로 식각하여 제1 하드 마스크 패턴들을 형성하는 단계;
상기 제1 하드 마스크 패턴들을 덮도록 컨포멀한 박막을 증착하고 박막을 이방성 식각하여 상기 제1 하드 마스크 패턴들의 측벽에 경사를 가지는 사이드월을 제공하여 경사진 제1 하드 마스크 패턴들을 형성는 단계;
경사진 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 1,
Forming inclined first hard mask patterns on a substrate and a first space between the first hard mask patterns includes:
forming a first hard mask layer, a preliminary hard mask layer, and a photoresist pattern on the preliminary hard mask layer, which are sequentially stacked on the substrate, and patterning the preliminary hard mask layer to form a preliminary hard mask pattern;
forming first hard mask patterns by vertically etching the first hard mask layer using the preliminary hard mask patterns as an etch mask;
depositing a conformal thin film to cover the first hard mask patterns and anisotropically etching the thin film to provide inclined sidewalls on sidewalls of the first hard mask patterns to form inclined first hard mask patterns;
A method of manufacturing a semiconductor device, comprising forming the first trench by etching the substrate using inclined first hard mask patterns as an etch mask.
기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계;
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 하부 하드 마스크층을 이방성 식각하여 제1 트렌치들을 형성하는 단계;
상기 제1 트렌치 및 상기 제1 스페이스를 제2 하드 마스크층으로 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 제2 하드 마스크 패턴들을 형성하는 단계;
상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계;
상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 경사 식각하여 제2 스페이스를 형성하고 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제3 하드 마스크 패턴들, 상기 분할된 제1 하드 마스크 패턴들, 및 상기 제2 하드 마스크 패턴들 중에서 적어도 하나를 이용하여 상기 하부 하드 마스크층을 이방성 식각하여 제2 트렌치를 형성하고 상기 제1 트렌치 및 제2 트렌치를 포함하는 하부 하드 마스크 패턴들을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns;
forming first trenches by anisotropically etching the lower hard mask layer using the first hard mask patterns as an etch mask;
forming second hard mask patterns lower than upper surfaces of the first hard mask patterns by filling the first trench and the first space with a second hard mask layer;
forming third hard mask patterns by coating or depositing a third hard mask layer on the second hard mask patterns and removing the third hard mask layer to expose the first hard mask patterns;
obliquely etching the first hard mask patterns using the third hard mask patterns as an etch mask to form a second space and forming divided first hard mask patterns; and
The lower hard mask layer is anisotropically etched using at least one of the third hard mask patterns, the divided first hard mask patterns, and the second hard mask patterns to form a second trench, and the first trench is anisotropically etched. A method of manufacturing a semiconductor device, comprising forming lower hard mask patterns including a trench and a second trench.
제14 항에 있어서,
기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는:
상기 기판 상에 차례로 적층된 메인 하드 마스크층, 하부 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계;
상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 기판을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 14,
The step of forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns:
A main hard mask layer, a lower hard mask layer, a preliminary hard mask layer, and a photoresist pattern are formed on the preliminary hard mask layer sequentially stacked on the substrate to pattern the preliminary hard mask layer to form a preliminary hard mask pattern. step;
forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and
A method of manufacturing a semiconductor device, comprising etching the substrate using the first hard mask patterns as an etch mask to form the first trench.
제14 항에 있어서,
상기 하부 하드 마스크 패턴들 사이의 제2 트렌치 및 제2 스페이스를 상기 제1 하드 마스크층과 동일한 재질의 갭필 물질로 채우는 단계; 및
상기 갭필 물질, 상기 제1 하드 마스크 패턴들, 및 상기 제2 하드 마스크 패턴들을 상기 하부 하드 마스크 패턴들을 노출하도록 식각하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 14,
filling a second trench and a second space between the lower hard mask patterns with a gap fill material made of the same material as the first hard mask layer; and
A method of manufacturing a semiconductor device, further comprising etching the gap fill material, the first hard mask patterns, and the second hard mask patterns to expose the lower hard mask patterns.
제15 항에 있어서,
상기 하부 하드 마스크 패턴들을 식각 마스크로 상기 메인 하드 마스크층을 식각하여 경사진 제1 메인 하드 마스크 패턴들 및 상기 제1 메인 하드 마스크 패턴들 사이의 제1 메인 스페이스를 형성하는 단계;
상기 제1 메인 하드 마스크 패턴들을 식각 마스크로 상기 기판을 이방성 식각하여 제1 메인 트렌치들을 형성하는 단계;
상기 제1 메인 하드 마스크 패턴들의 상부면보다 낮도록 상기 제1 메인 트렌치 및 상기 제1 메인 스페이스를 제2 메인 하드 마스크층으로 채워 제2 메인 하드 마스크 패턴들을 형성하는 단계;
상기 제2 메인 하드 마스크 패턴들 상에 제3 메인 하드 마스크층을 코팅 또는 증착하고 상기 제3 메인 하드 마스크층을 상기 제1 메인 하드 마스크 패턴들이 노출되도록 제거하여 제3 메인 하드 마스크 패턴들을 형성하는 단계;
상기 제3 메인 하드 마스크 패턴들을 식각 마스크로 상기 제1 메인 하드 마스크 패턴들을 경사 식각하여 제2 메인 스페이스를 형성하고 분할된 제1 메인 하드 마스크 패턴들을 형성하는 단계; 및
상기 제3 하드 마스크 패턴들, 상기 분할된 제1 메인 하드 마스크 패턴들, 및 상기 제2 메인 하드 마스크 패턴들 중에서 적어도 하나를 이용하여 상기 기판을 이방성 식각하여 제2 메인 트렌치를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 15,
etching the main hard mask layer using the lower hard mask patterns as an etch mask to form inclined first main hard mask patterns and a first main space between the first main hard mask patterns;
forming first main trenches by anisotropically etching the substrate using the first main hard mask patterns as an etch mask;
forming second main hard mask patterns by filling the first main trench and the first main space with a second main hard mask layer so that the upper surfaces of the first main hard mask patterns are lower than the upper surfaces of the first main hard mask patterns;
Forming third main hard mask patterns by coating or depositing a third main hard mask layer on the second main hard mask patterns and removing the third main hard mask layer to expose the first main hard mask patterns. step;
obliquely etching the first main hard mask patterns using the third main hard mask patterns as an etch mask to form a second main space and forming divided first main hard mask patterns; and
forming a second main trench by anisotropically etching the substrate using at least one of the third hard mask patterns, the divided first main hard mask patterns, and the second main hard mask patterns; A method of manufacturing a semiconductor device comprising:
제14 항에 있어서,
기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는:
상기 기판 상에 차례로 적층된 실리콘 산화물층, 상기 하부 하드 마스크층, 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계;
상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 하부 하드 마스크층을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 14,
The step of forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns:
A silicon oxide layer sequentially stacked on the substrate, the lower hard mask layer, the first hard mask layer, the preliminary hard mask layer, and a photoresist pattern are formed on the preliminary hard mask layer to pattern the preliminary hard mask layer. forming a hard mask pattern;
forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and
A method of manufacturing a semiconductor device, comprising forming the first trench by etching the lower hard mask layer using the first hard mask patterns as an etch mask.
제14 항에 있어서,
기판에 형성된 하부 하드 마스크층 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는:
상기 기판 상에 차례로 적층된 도전층, 제1 하부 하드 마스크층, 제2 하부 하드 마스크층, 제1 하드 마스크층, 예비 하드 마스크층, 및 상기 예비 하드 마스크층 상에 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계;
상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 제1 하부 하드 마스크층을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 14,
The step of forming inclined first hard mask patterns on a lower hard mask layer formed on a substrate and a first space between the first hard mask patterns:
A conductive layer, a first lower hard mask layer, a second lower hard mask layer, a first hard mask layer, a preliminary hard mask layer, and a photoresist pattern are formed on the preliminary hard mask layer to form a preliminary hard mask layer sequentially laminated on the substrate. Patterning a hard mask layer to form a preliminary hard mask pattern;
forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and
A method of manufacturing a semiconductor device comprising forming the first trench by etching the first lower hard mask layer using the first hard mask patterns as an etch mask.
기판에 차례로 적층된 저유전막 및 상기 저유전막 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계;
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 저유전막을 이방성 식각하여 제1 트렌치들을 형성하는 단계;
상기 제1 트렌치 및 상기 제1 스페이스를 채워 상기 제1 하드 마스크 패턴들의 상부면보다 낮은 제2 하드 마스크 패턴들을 형성하는 단계;
상기 제1 하드 마스크 패턴들 및 상기 제2 하드 마스크 패턴들 상에 제3 하드 마스크층을 코팅 또는 증착하고 상기 제3 하드 마스크층을 상기 제1 하드 마스크 패턴들이 노출되도록 제거하여 제3 하드 마스크 패턴들을 형성하는 단계;
상기 제3 하드 마스크 패턴들을 식각 마스크로 상기 제1 하드 마스크 패턴들을 경사 식각하여 분할된 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제3 하드 마스크 패턴, 상기 분할된 제2 하드 마스크 패턴들, 상기 제2 하드 마스크 패턴들 식각 마스크로 상기 저유전막을 식각하여 제2 트렌치를 가지는 저유전체 패턴들을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
forming a low dielectric layer sequentially stacked on a substrate, first hard mask patterns inclined on the low dielectric layer, and a first space between the first hard mask patterns;
forming first trenches by anisotropically etching the low dielectric layer using the first hard mask patterns as an etch mask;
forming second hard mask patterns lower than upper surfaces of the first hard mask patterns by filling the first trench and the first space;
A third hard mask layer is coated or deposited on the first hard mask patterns and the second hard mask patterns and the third hard mask layer is removed to expose the first hard mask patterns to form a third hard mask pattern. forming them;
forming divided first hard mask patterns by obliquely etching the first hard mask patterns using the third hard mask patterns as an etch mask; and
A semiconductor device comprising forming low dielectric patterns having a second trench by etching the low dielectric layer using an etch mask for the third hard mask pattern, the divided second hard mask patterns, and the second hard mask patterns. Manufacturing method.
제20 항에 있어서,
기판에 차례로 적층된 저유전막 및 상기 저유전막 상에 경사진 제1 하드 마스크 패턴들 및 상기 제1 하드 마스크 패턴들 사이의 제1 스페이스를 형성하는 단계는:
상기 기판 상에 차례로 적층된 식각 정지막, 저유전막, 제1 하드 마스크층, 예비 하드 마스크층, 및 포토레지스트 패턴을 형성하여 예비 하드 마스크층을 패터닝하여 예비 하드 마스크 패턴을 형성하는 단계;
상기 예비 하드 마스크 패턴을 마스크로 상기 제1 하드 마스크층을 경사 식각하여 상기 제1 하드 마스크 패턴들을 형성하는 단계; 및
상기 제1 하드 마스크 패턴들을 식각 마스크로 상기 저유전막을 식각하여 상기 제1 트렌치를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 20,
The steps of forming a low dielectric film sequentially stacked on a substrate, first hard mask patterns inclined on the low dielectric film, and a first space between the first hard mask patterns include:
forming a preliminary hard mask pattern by forming an etch stop layer, a low dielectric layer, a first hard mask layer, a preliminary hard mask layer, and a photoresist pattern sequentially stacked on the substrate and patterning the preliminary hard mask layer;
forming the first hard mask patterns by obliquely etching the first hard mask layer using the preliminary hard mask pattern as a mask; and
A method of manufacturing a semiconductor device, comprising forming the first trench by etching the low dielectric layer using the first hard mask patterns as an etch mask.
제20 항에 있어서,
상기 저유전체 패턴들 사이의 제1 트렌치 및 상기 제2 트렌치를 도전층으로 채우는 단계; 및
상기 도전층를 화학 기계적 연마 공정을 사용하여 상기 저유전체 패턴들의 상부면을 노출하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 20,
filling the first trench and the second trench between the low dielectric patterns with a conductive layer; and
A method of manufacturing a semiconductor device, further comprising exposing upper surfaces of the low dielectric patterns using the conductive layer using a chemical mechanical polishing process.
제20 항에 있어서,
상기 저유전막의 하부에 식각 정지막을 더 포함하고,
상기 제1 트렌치를 형성한 후 상기 식각 정지막을 식각하여 제1 보조 트렌치를 형성하는 단계; 및
상기 제2 트렌치를 형성한 후 상기 식각 정지막을 식각하여 제2 보조 트렌치를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
According to claim 20,
Further comprising an etch stop layer below the low dielectric layer,
forming a first auxiliary trench by etching the etch stop layer after forming the first trench; and
A method of manufacturing a semiconductor device, further comprising forming a second auxiliary trench by etching the etch stop layer after forming the second trench.
제1항 내지 제23항 중에서 어느 한 항에 의하여 제조된 반도체 소자.
A semiconductor device manufactured according to any one of claims 1 to 23.
KR1020220089193A 2022-07-19 2022-07-19 Multiple Patterning Method Of The Semiconductor Device KR20240011571A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220089193A KR20240011571A (en) 2022-07-19 2022-07-19 Multiple Patterning Method Of The Semiconductor Device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220089193A KR20240011571A (en) 2022-07-19 2022-07-19 Multiple Patterning Method Of The Semiconductor Device

Publications (1)

Publication Number Publication Date
KR20240011571A true KR20240011571A (en) 2024-01-26

Family

ID=89714556

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220089193A KR20240011571A (en) 2022-07-19 2022-07-19 Multiple Patterning Method Of The Semiconductor Device

Country Status (1)

Country Link
KR (1) KR20240011571A (en)

Similar Documents

Publication Publication Date Title
US11348788B2 (en) Methods for device fabrication using pitch reduction
US8003543B2 (en) Method of forming a hard mask and method of forming a fine pattern of semiconductor device using the same
US8836083B2 (en) Methods to reduce the critical dimension of semiconductor devices and related semiconductor devices
US9012326B2 (en) Methods for patterning microelectronic devices using two sacrificial layers
US8334211B2 (en) Process for improving critical dimension uniformity of integrated circuit arrays
US8435876B2 (en) Method of manufacturing semiconductor device
JP2010536176A (en) Semiconductor structure including close-pitch contacts and method for forming the same
US6709972B2 (en) Methods for fabricating semiconductor devices by forming grooves across alternating elongated regions
TWI792360B (en) Methods for forming semiconductor devices and method for manufacturing an integrated circuit using the same
JP5492384B2 (en) Semiconductor device and manufacturing method thereof
US6372575B1 (en) Method for fabricating capacitor of dram using self-aligned contact etching technology
US20110254142A1 (en) Stacked structure
KR100724630B1 (en) Method for manufacturing semiconductor device
KR20100052462A (en) Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
KR20240011571A (en) Multiple Patterning Method Of The Semiconductor Device
CN111128862A (en) Method for manufacturing integrated circuit
WO2023092827A1 (en) Semiconductor structure and manufacturing method therefor
KR100739917B1 (en) Method of manufacturing a flash memory device
KR100764452B1 (en) Semiconductor device and method of manufacturing the semiconductor device
KR100772077B1 (en) A method for forming contact hole of semiconductor device