KR20240008929A - Stable bis(alkyl-arene) transition metal complex and film deposition method using the same - Google Patents

Stable bis(alkyl-arene) transition metal complex and film deposition method using the same Download PDF

Info

Publication number
KR20240008929A
KR20240008929A KR1020237043405A KR20237043405A KR20240008929A KR 20240008929 A KR20240008929 A KR 20240008929A KR 1020237043405 A KR1020237043405 A KR 1020237043405A KR 20237043405 A KR20237043405 A KR 20237043405A KR 20240008929 A KR20240008929 A KR 20240008929A
Authority
KR
South Korea
Prior art keywords
benzene
metal
alkyl
precursor
xylene
Prior art date
Application number
KR1020237043405A
Other languages
Korean (ko)
Inventor
로시오 알레한드라 아르테아가 뮬러
라파엘 로챗
줄리앙 가인토
테루오 벳푸
보 펑
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20240008929A publication Critical patent/KR20240008929A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Abstract

기판 상에 금속-함유 필름을 형성하는 방법이 개시되며, 이 방법은 상기 기판을 금속-함유 전구체를 함유하는 필름 형성 조성물의 증기에 노출시키는 단계; 및 기상 증착 공정을 통해 상기 금속-함유 전구체의 적어도 일부를 상기 기판 상으로 증착하여 상기 기판 상에 상기 금속-함유 필름을 형성하는 단계를 포함하며, 상기 금속-함유 전구체는 순수한 M(알킬-아렌)2이며, 여기서 M은 Cr, Mo 또는 W이고; 아렌에서, R1, R2, R3, R4, R5 및 R6 각각은 H, C1-C6 알킬, C1-C6 알케닐, C1-C6 알킬페닐, C1-C6 알케닐페닐, 또는 -SiXR7R8로부터 독립적으로 선택되고, 여기서 X는 F, Cl, Br, I로부터 선택되고, R7, R8 각각은 H, C1-C6 알킬, C1-C6 알케닐로부터 선택된다.A method of forming a metal-containing film on a substrate is disclosed, comprising exposing the substrate to a vapor of a film forming composition containing a metal-containing precursor; and depositing at least a portion of the metal-containing precursor onto the substrate through a vapor deposition process to form the metal-containing film on the substrate, wherein the metal-containing precursor is pure M(alkyl-arene ) 2 , where M is Cr, Mo or W; In arenes, R 1 , R 2 , R 3 , R 4 , R 5 and R 6 are each selected from H, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkylphenyl, C 1 - independently selected from C 6 alkenylphenyl , or -SiXR 7 R 8 , wherein -C 6 alkenyl.

Description

안정한 비스(알킬-아렌) 전이 금속 착물 및 이를 사용한 필름 증착 방법Stable bis(alkyl-arene) transition metal complex and film deposition method using the same

관련 출원의 상호 참조Cross-reference to related applications

본 출원은, 2021년 5월 21일자로 출원되고, 그 전체 내용이 본원에 참조로 포함되는, 미국 특허 출원 제17/327,045호에 대한 35 U.S.C. § 119 (a) 및 (b) 하의 우선권의 이익을 주장한다.This application is filed under 35 U.S.C. for U.S. patent application Ser. No. 17/327,045, filed May 21, 2021, the entire contents of which are incorporated herein by reference. Claims the benefit of priority under § 119 (a) and (b).

기술분야Technology field

본 발명은 전이 금속-함유 착물 및 이를 사용하여 기상 증착 공정에 의해 기판 상에 전이 금속-함유 필름을 형성하는 방법, 구체적으로는 비스(알킬-아렌) 전이 금속 착물 및 이를 사용하여 전이 금속-함유 필름을 형성하는 방법에 관한 것이다.The present invention relates to a transition metal-containing complex and a method of using the same to form a transition metal-containing film on a substrate by a vapor deposition process, specifically to a bis(alkyl-arene) transition metal complex and a transition metal-containing film using the same. It relates to a method of forming a film.

몰리브덴은, 예를 들어 텅스텐에 대한 대안으로서, 마이크로전자 디바이스에서 사용되는 저-저항률 내화성 금속이다. 몰리브덴은 높은 융점, 높은 열 전도도, 낮은 열 팽창 계수 및 낮은 전기 저항률을 갖는다. 몰리브덴 또는 몰리브덴-함유 필름은 확산 장벽, 전극, 광마스크, 상호연결로서, 또는 저-저항률 게이트 구조로서 사용되었거나, 사용하도록 제안되었다. 몰리브덴은 메모리 칩, 논리칩, 및 폴리규소-금속 게이트 전극 구조를 포함하는 다른 디바이스에서 사용되는 텅스텐을 대체할 후보이다. 몰리브덴을 함유하는 박막은 일부 유기 발광 다이오드, 액정 디스플레이, 및 박막 태양 전지 및 광전지에서 사용될 수도 있다.Molybdenum is a low-resistivity refractory metal used in microelectronic devices, for example as an alternative to tungsten. Molybdenum has a high melting point, high thermal conductivity, low coefficient of thermal expansion and low electrical resistivity. Molybdenum or molybdenum-containing films have been used, or have been proposed for use, as diffusion barriers, electrodes, photomasks, interconnects, or as low-resistivity gate structures. Molybdenum is a candidate to replace tungsten used in memory chips, logic chips, and other devices containing polysilicon-metal gate electrode structures. Thin films containing molybdenum may be used in some organic light-emitting diodes, liquid crystal displays, and thin-film solar cells and photovoltaic cells.

Gribov 등(문헌[Doklady Akademii Nauk SSSR, Volume 194, Issue 3, Pages 580-582,1970])은 M(아렌)2을 사용하여 고온에서 열분해 방식으로 수득되는 필름을 기재하였는데, 필름은 그 안에 약간의 탄소를 가지므로, 순수한 Mo 필름은 고온에서 조차도 수득되지 않았다. 기재된 필름은 예열된 샘플 상에서 Cr(C6H6)2, Cr(MePh)2, Cr(EtPh)2, Cr(Me2C6H4)2, 비스(메시틸렌)크롬, 비스-(비페닐)크롬 및 이들의 요오드화물로부터, (아닐린)-, (디메틸아닐린)- 및 (메시틸렌)트리카보닐크롬, (메시틸렌) 트리카보닐몰리브덴 및 비스(에틸벤젠)몰리브덴으로부터 10-2 Torr 및 400 내지 700℃에서 증착된다.Gribov et al. (Doklady Akademii Nauk SSSR, Volume 194, Issue 3, Pages 580-582, 1970) described a film obtained by thermal decomposition at high temperature using M(arene) 2 , in which the film contained some of carbon, pure Mo films were not obtained even at high temperatures. The films described were Cr(C 6 H 6 ) 2 , Cr(MePh) 2 , Cr(EtPh) 2 , Cr(Me 2 C 6 H 4 ) 2 , bis(mesitylene)chromium, bis-(bis) on preheated samples. 10 -2 Torr from phenyl)chromium and their iodides, (aniline)-, (dimethylaniline)- and (mesitylene)tricarbonylchromium, (mesitylene)tricarbonylmolybdenum and bis(ethylbenzene)molybdenum. and deposited at 400 to 700°C.

반도체 산업에서는 순수한 Mo 필름이 바람직하다. 그러나, 순수한 Mo 필름을 형성하는 데 이용가능한 극소수의 유기금속성 Mo 함유 착물이 저 불순물 수준을 갖는다. 예를 들어, 시판되는 제품인, Mo(Et-벤젠)2(US2019/0226086A)는 혼합물로만 이용가능하다. 반도체 산업은 고 순도(적어도 >99% 이상)로 착물 생성물을 사용할 필요가 있다. US2019/0226086A에서는 기판 상에 Mo 함유 필름을 증착하기 위한 비스(알킬-아렌) 몰리브덴 분자의 용도를 주장하고 있으며, 오직 몰리브덴 탄화물 필름의 증착을 위한 Mo(Et-벤젠)2의 용도를 기재하고 있다. 순수한 Mo 필름은 화합물의 열등한 안정성으로 인해 수득될 수 없다. 상업적으로 입수가능한 화합물은 일반적으로 이성질체의 혼합물로서 공급된다.Pure Mo films are desirable in the semiconductor industry. However, very few organometallic Mo containing complexes are available to form pure Mo films and have low impurity levels. For example, the commercial product Mo(Et-benzene) 2 (US2019/0226086A) is available only as a mixture. The semiconductor industry needs to use complex products with high purity (at least >99%). US2019/0226086A claims the use of bis(alkyl-arene) molybdenum molecules for depositing Mo-containing films on substrates and only describes the use of Mo(Et-benzene) 2 for depositing molybdenum carbide films. . Pure Mo films cannot be obtained due to the poor stability of the compound. Commercially available compounds are generally supplied as mixtures of isomers.

순수한 금속성 필름의 증착을 위한 공급원으로서 금속 아렌 착물이 연구되어 왔다. 예컨대, US2019/0226086, US20200115798 및 US20190390340에서는 몰리브덴의 기상 증착에 적합한 착물로서 비스(알킬-아렌) 몰리브덴 착물이 개시되어 있다.Metal arene complexes have been investigated as a source for the deposition of pure metallic films. For example, US2019/0226086, US20200115798 and US20190390340 disclose bis(alkyl-arene) molybdenum complex as a complex suitable for vapor phase deposition of molybdenum.

Yu 등의 US 2019/0390340에는 기판을 금속 전구체 및 알킬 할라이드에 순차적으로 노출시켜 금속 필름을 형성하는 단계를 포함하는 금속 증착 방법이 개시되어 있고, 상기 금속 전구체는 증착 온도보다 높은 분해 온도를 가지며, 상기 알킬 할라이드는 탄소 및 할로겐을 포함하고, 상기 할로겐은 브롬 또는 요오드를 포함하고, 상기 금속은 몰리브덴, 루테늄, 코발트, 구리, 백금, 니켈 또는 텅스텐으로부터 선택된다.US 2019/0390340 by Yu et al. discloses a metal deposition method comprising forming a metal film by sequentially exposing a substrate to a metal precursor and an alkyl halide, wherein the metal precursor has a decomposition temperature higher than the deposition temperature, The alkyl halide comprises carbon and a halogen, the halogen comprises bromine or iodine, and the metal is selected from molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten.

반도체 전구체로서 사용하기 적합한 생성물을 수득하기 위해, 원하는 사용 조건 하에서 고 순도와 충분한 열 안정성이 요구된다.To obtain a product suitable for use as a semiconductor precursor, high purity and sufficient thermal stability are required under the desired conditions of use.

기판 상에 금속-함유 필름을 형성하는 방법이 개시되며, 이 방법은A method of forming a metal-containing film on a substrate is disclosed, comprising:

상기 기판을 금속-함유 전구체를 함유하는 필름 형성 조성물의 증기에 노출시키는 단계; 및exposing the substrate to a vapor of a film forming composition containing a metal-containing precursor; and

기상 증착 공정을 통해 상기 금속-함유 전구체의 적어도 일부를 상기 기판 상으로 증착하여 상기 기판 상에 상기 금속-함유 필름을 형성하는 단계Depositing at least a portion of the metal-containing precursor onto the substrate through a vapor deposition process to form the metal-containing film on the substrate.

를 포함하며,Includes,

상기 금속-함유 전구체는 순수한 M(알킬-아렌)2이며, 여기서 M은 Cr, Mo 또는 W이고;The metal-containing precursor is pure M(alkyl-arene) 2 , where M is Cr, Mo or W;

아렌은Aren is

이고, ego,

식 중, R1, R2, R3, R4, R5 및 R6 각각은 H, C1-C6 알킬, C1-C6 알케닐, C1-C6 알킬페닐, C1-C6 알케닐페닐, 또는 -SiXR7R8로부터 독립적으로 선택되고, 여기서 X는 F, Cl, Br, I로부터 선택되고, R7, R8 각각은 H, C1-C6 알킬, C1-C6 알케닐로부터 선택된다.In the formula, R 1 , R 2 , R 3 , R 4 , R 5 and R 6 each represent H, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkylphenyl, C 1 - independently selected from C 6 alkenylphenyl , or -SiXR 7 R 8 , wherein -C 6 alkenyl.

개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:The disclosed method may include one or more of the following aspects:

● 순수한 M(알킬-아렌)2 전구체는 Mo(톨루엔)2, Mo(Et-벤젠)2, Mo(o-자일렌)2, Mo(m-자일렌)2, Mo(p-자일렌)2, Mo(메시틸렌)2, Mo(알릴-벤젠)2, Mo(1,3,5-Et3-벤젠)2, Mo[(Me2Si-Cl)-벤젠]2, Mo(스티렌)2, Mo(테트라메틸실란-벤젠)2, Mo[(4-비닐페닐)벤젠]2, Mo(벤젠)(Et-벤젠), Mo(듀렌)2, Mo(C6H5-2H)2로부터 선택되는 양태;● Pure M(alkyl-arene) 2 precursors are Mo(toluene) 2 , Mo(Et-benzene) 2 , Mo( o -xylene) 2 , Mo( m -xylene) 2 , Mo( p -xylene) 2 , Mo(mesitylene) 2 , Mo(allyl-benzene) 2 , Mo(1,3,5-Et 3 -benzene) 2 , Mo[(Me 2 Si-Cl)-benzene] 2 , Mo(styrene) 2 , Mo(tetramethylsilane-benzene) 2 , Mo[(4-vinylphenyl)benzene] 2 , Mo(benzene)(Et-benzene), Mo(durene) 2 , Mo(C 6 H 5-2 H ) An aspect selected from 2 ;

● 순수한 M(알킬-아렌)2 전구체는 Cr(톨루엔)2, Cr(Et-벤젠)2, Cr(o-자일렌)2, Cr(m-자일렌)2, Cr(p-자일렌)2, Cr(메시틸렌)2, Cr(알릴-벤젠)2, Cr(1,3,5-Et3-벤젠)2, Cr[(Me2Si-Cl)-벤젠]2, Cr(스티렌)2, Cr(테트라메틸실란-벤젠)2, Cr[(4-비닐페닐)벤젠]2, Cr(벤젠)(Et-벤젠), Cr(듀렌)2, Cr(C6H5-2H)2로부터 선택되는 양태;● Pure M(alkyl-arene) 2 precursors are Cr(toluene) 2 , Cr(Et-benzene) 2 , Cr( o -xylene) 2 , Cr( m -xylene) 2 , Cr( p- xylene) 2 , Cr (mesitylene) 2 , Cr (allyl-benzene) 2 , Cr (1,3,5-Et 3 -benzene) 2 , Cr[(Me 2 Si-Cl)-benzene] 2 , Cr (styrene) 2 , Cr(tetramethylsilane-benzene) 2 , Cr[(4-vinylphenyl)benzene] 2 , Cr(benzene)(Et-benzene), Cr(durene) 2 , Cr(C 6 H 5 - 2 H) An aspect selected from 2 ;

● 순수한 M(알킬-아렌)2 전구체는 W(톨루엔)2, W(Et-벤젠)2, W(o-자일렌)2, W(m-자일렌)2, W(p-자일렌)2, W(메시틸렌)2, W(알릴-벤젠)2, W(1,3,5-Et3-벤젠)2, W[(Me2Si-Cl)-벤젠]2, W(스티렌)2, W(테트라메틸실란-벤젠)2, W[(4-비닐페닐)벤젠]2, W(벤젠)(Et-벤젠), W(듀렌)2, 또는 W(C6H5-2H)2로부터 선택되는 양태;● Pure M(alkyl-arene) 2 precursors are W(toluene) 2 , W(Et-benzene) 2 , W( o -xylene) 2 , W( m -xylene) 2 , W( p -xylene) 2 , W (mesitylene) 2 , W (allyl-benzene) 2 , W (1,3,5-Et 3 -benzene) 2 , W[(Me 2 Si-Cl)-benzene] 2 , W (styrene) 2 , W(tetramethylsilane-benzene) 2 , W[(4-vinylphenyl)benzene] 2 , W(benzene)(Et-benzene), W(durene) 2 , or W(C 6 H 5 - 2 H ) an aspect selected from 2 ;

● 순수한 M(알킬-아렌)2 전구체는 Mo(m-자일렌)2인 양태;● Pure M(alkyl-arene) 2 precursor is Mo( m -xylene) 2 ;

● 순수한 M(알킬-아렌)2 전구체는 Mo(톨루엔)2인 양태;● Pure M(alkyl-arene) 2 precursor is Mo(toluene) 2 ;

● 순수한 M(알킬-아렌)2 전구체는 Mo(1,3,5-Et3-벤젠)2인 양태;● Pure M(alkyl-arene) 2 precursor is Mo(1,3,5-Et 3 -benzene) 2 ;

● 순수한 M(알킬-아렌)2 전구체는 Mo(메시틸렌)2인 양태;● Pure M(alkyl-arene) 2 precursor is Mo(mesitylene) 2 ;

● 순수한 M(알킬-아렌)2 전구체가 M(알킬-아렌)2를 나타내는 양태에서, 그 이성질체 각각 또는 임의의 다른 불순물의 농도는 약 15% 미만, 바람직하게는 약 10% 미만, 보다 바람직하게는 약 5% 미만, 훨씬 더 바람직하게는 약 1% 미만인 양태;● In embodiments where the pure M(alkyl-arene) 2 precursor represents M(alkyl-arene) 2 , the concentration of each of its isomers or any other impurity is less than about 15%, preferably less than about 10%, more preferably is less than about 5%, even more preferably less than about 1%;

● 필름 형성 조성물은 대략 85% w/w 내지 대략 100% w/w 범위의 순도를 갖는 양태;● the film forming composition has a purity ranging from approximately 85% w/w to approximately 100% w/w;

● 필름 형성 조성물은 대략 95% w/w 내지 대략 100% w/w 범위의 순도를 갖는 양태;● the film forming composition has a purity ranging from approximately 95% w/w to approximately 100% w/w;

● 필름 형성 조성물은 대략 99% w/w 내지 대략 99.999% w/w 범위의 순도를 갖는 양태;● the film forming composition has a purity ranging from approximately 99% w/w to approximately 99.999% w/w;

● 순수한 M(알킬-아렌)2 전구체의 순도는 대략 85% w/w 내지 대략 100% w/w 범위인 양태;● an embodiment in which the purity of the pure M(alkyl-arene) 2 precursor ranges from approximately 85% w/w to approximately 100% w/w;

● 순수한 M(알킬-아렌)2 전구체의 순도는 대략 95% w/w 내지 대략 100% w/w 범위인 양태;● an embodiment in which the purity of the pure M(alkyl-arene) 2 precursor ranges from approximately 95% w/w to approximately 100% w/w;

● 순수한 M(알킬-아렌)2 전구체의 순도는 대략 99% w/w 내지 대략 99.999% w/w 범위인 양태;● an embodiment in which the purity of the pure M(alkyl-arene) 2 precursor ranges from approximately 99% w/w to approximately 99.999% w/w;

● 순수한 M(알킬-아렌)2 전구체의 순도는 85% w/w 초과인 양태;● an embodiment in which the purity of the pure M(alkyl-arene) 2 precursor is greater than 85% w/w;

● 순수한 M(알킬-아렌)2 전구체는 높은 열 안정성을 갖는 양태;● The pure M(alkyl-arene) 2 precursor has high thermal stability;

● 순수한 M(알킬-아렌)2의 분해 온도는 대략 235℃ 초과인 양태;● The decomposition temperature of pure M(alkyl-arene) 2 is approximately greater than 235°C;

● 순수한 M(알킬-아렌)2의 분해 온도는 대략 240℃ 초과인 양태;● The decomposition temperature of pure M(alkyl-arene) 2 is approximately greater than 240°C;

● 증착 온도는 대략 20℃ 내지 대략 600℃ 범위인 양태;● the deposition temperature ranges from approximately 20° C. to approximately 600° C.;

● 증착 온도는 대략 20℃ 내지 대략 550℃ 범위인 양태;● the deposition temperature ranges from approximately 20° C. to approximately 550° C.;

● 증착 온도는 대략 200℃ 내지 대략 600℃ 범위인 양태;● the deposition temperature ranges from approximately 200° C. to approximately 600° C.;

● 증착 압력은 진공 내지 주변 압력의 범위인 양태; ● The deposition pressure ranges from vacuum to ambient pressure;

● 증착 압력은 약 0.001 mTorr 내지 약 760 Torr 범위인 양태;● The deposition pressure ranges from about 0.001 mTorr to about 760 Torr;

● 금속-함유 필름은 순수한 금속, 금속 탄화물, 금속 산화물, 금속 질화물, 금속 규화물 필름 또는 이들의 조합인 양태;● The metal-containing film may be a pure metal, metal carbide, metal oxide, metal nitride, metal silicide film, or a combination thereof;

● 금속-함유 필름은 순수한 금속 필름인 양태;● An embodiment in which the metal-containing film is a pure metal film;

● 금속-함유 필름은 금속 탄화물 필름인 양태;● An embodiment in which the metal-containing film is a metal carbide film;

● 금속-함유 필름은 금속 산화물 필름인 양태;● An embodiment in which the metal-containing film is a metal oxide film;

● 금속-함유 필름은 금속 질화물 필름인 양태;● An embodiment in which the metal-containing film is a metal nitride film;

● 금속-함유 필름은 금속 규화물 필름인 양태;● An embodiment in which the metal-containing film is a metal silicide film;

● 금속-함유 필름은 몰리브덴 필름인 양태;● An embodiment in which the metal-containing film is a molybdenum film;

● 금속-함유 필름은 몰리브덴 탄화물 필름인 양태;● An embodiment in which the metal-containing film is a molybdenum carbide film;

● 금속-함유 필름은 몰리브덴 산화물 필름인 양태;● An embodiment in which the metal-containing film is a molybdenum oxide film;

● 금속-함유 필름은 몰리브덴 질화물 필름인 양태;● An embodiment in which the metal-containing film is a molybdenum nitride film;

● 금속-함유 필름은 몰리브덴 규화물 필름인 양태;● An embodiment in which the metal-containing film is a molybdenum silicide film;

● 필름 형성 조성물은 불활성 캐리어 가스를 포함하는 양태;● wherein the film forming composition comprises an inert carrier gas;

● 불활성 캐리어 가스는 N2, He, Ne, Ar, Kr, Xe 또는 이들의 조합으로부터 선택되는 양태;● The inert carrier gas is selected from N 2 , He, Ne, Ar, Kr, Xe, or combinations thereof;

● 불활성 캐리어 가스는 N2 또는 Ar인 양태;● The inert carrier gas is N 2 or Ar;

● 기판을 공반응물에 노출시키는 단계를 추가로 포함하는 양태;● An aspect further comprising exposing the substrate to a co-reactant;

● 공반응물을 플라즈마 처리하는 단계를 추가로 포함하는 양태;● An aspect further comprising the step of plasma treating the co-reactant;

● 공반응물은 SiH2Cl2, SiH2I2, SiHCl3, SiCl4, SiBr4, Si2Cl6, Si2Br6, Si2HCl5, Si3Cl8, CH2I2, CH3I, C2H5I, C4H9I, 또는 C6H5I로부터 선택되는 할로실란, 폴리할로디실란(할로 = F, Cl, Br, I), 유기할라이드인 양태;● Co-reactants are SiH 2 Cl 2 , SiH 2 I 2 , SiHCl 3 , SiCl 4 , SiBr 4 , Si 2 Cl 6 , Si 2 Br 6 , Si 2 HCl 5 , Si 3 Cl 8 , CH 2 I 2 , CH 3 I, C 2 H 5 I, C 4 H 9 I, or C 6 H 5 I, a halosilane, a polyhalodisilane (halo = F, Cl, Br, I), an organohalide;

● 공반응물은 O2, O3, H2O, H2O2, N2O, NO, NO2, O· 또는 OH· 라디칼, 또는 이들의 혼합물로부터 선택되는 양태;● Co-reactants are selected from O 2 , O 3 , H 2 O, H 2 O 2 , N 2 O, NO, NO 2 , O· or OH· radicals, or mixtures thereof;

● 공반응물은 H2, NH3, N2H4, Me-N2H4, Me2N2H2, SiH4, Si2H6, Si3H8, Si4H10, SiH2Me2, SiH2Et2, N(SiH3)3, NH3 라디칼, H2 라디칼, 또는 이들의 조합으로부터 선택되는 양태;● Co-reactants are H 2 , NH 3 , N 2 H 4 , Me-N 2 H 4 , Me 2 N 2 H 2 , SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , NH 3 radical, H 2 radical, or combinations thereof;

● 공반응물은 NH3, NO, N2O, 히드라진, N2 플라즈마, N2/H2 플라즈마, NH3 플라즈마, 아민 및 이들의 조합으로부터 선택되는 양태;● Co-reactants are selected from NH 3 , NO, N 2 O, hydrazine, N 2 plasma, N 2 /H 2 plasma, NH 3 plasma, amines, and combinations thereof;

● 공반응물은 O2인 양태;● The co-reactant is O 2 ;

● 공반응물은 NH3인 양태;● The co-reactant is NH 3 ;

● 공반응물은 H2인 양태;● The co-reactant is H 2 ;

● 기상 증착 공정은 ALD 공정, CVD 공정 또는 이들의 조합인 양태;● The vapor deposition process may be an ALD process, a CVD process, or a combination thereof;

● 기상 증착 공정은 ALD 공정인 양태;● The vapor deposition process is an ALD process;

● 기상 증착 공정은 CVD 공정인 양태;● The vapor deposition process is a CVD process;

● 기상 증착 공정은 PEALD 공정인 양태;● The vapor deposition process is a PEALD process;

● 기판은 Si-함유 기판, 금속 기판, 금속-함유 기판 또는 분말 기판으로부터 선택되는 양태;● The substrate is selected from Si-containing substrates, metal substrates, metal-containing substrates or powder substrates;

● 기판은 Si-함유 기판인 양태;● The substrate is a Si-containing substrate;

● 기판은 금속 기판인 양태;● The substrate is a metal substrate;

● 기판은 금속-함유 기판인 양태;● The substrate is a metal-containing substrate;

● 기판은 분말 기판인 양태;● The substrate is a powder substrate;

● 상기 분말 기판은 NMC(리튬 니켈 망간 코발트 산화물), LCO(리튬 코발트 산화물), LFP(리튬 철 인산염) 및 다른 배터리 캐소드 재료를 포함한 비제한적인 수의 분말 재료를 포함하는 양태; 및● embodiments where the powder substrate comprises a non-limiting number of powder materials including NMC (lithium nickel manganese cobalt oxide), LCO (lithium cobalt oxide), LFP (lithium iron phosphate) and other battery cathode materials; and

● 분말 기판은 활성탄인 양태.● The powder substrate is activated carbon.

표기법 및 명명법Notation and nomenclature

다음의 상세한 설명 및 청구범위는 일반적으로 이 기술분야에 잘 알려진 다수의 약어, 기호 및 용어를 사용한다. 소정 약어, 기호 및 용어가 다음의 설명 및 청구범위 전체에 걸쳐 사용되며 다음을 포함한다:The following detailed description and claims generally use a number of abbreviations, symbols, and terms that are well known in the art. Certain abbreviations, symbols and terms are used throughout the following description and claims, including:

본원에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.As used herein, singular refers to one or more.

본원에서 사용되는 바와 같이, 본문에서 또는 청구범위에서 "약(about, around)" 또는 "대략(approximately)"은 기술된 값의 ±10%를 의미한다.As used herein, in the text or in the claims, “about, around” or “approximately” means ±10% of the stated value.

본원에서 사용되는 바와 같이, 본문에서 또는 청구범위에서 "실온"은 대략 20℃ 내지 대략 25℃를 의미한다.As used herein, in the text or in the claims, “room temperature” means approximately 20°C to approximately 25°C.

용어 "순수한"은 그 이성질체 각각 또는 임의의 다른 불순물의 농도가 약 15% 미만, 바람직하게는 약 10% 미만, 보다 바람직하게는 약 5% 미만, 훨씬 더 바람직하게는 약 1% 미만인 생성물을 지칭한다.The term "pure" refers to a product in which the concentration of each of its isomers or any other impurity is less than about 15%, preferably less than about 10%, more preferably less than about 5%, and even more preferably less than about 1%. do.

용어 "높은 열 안정성"은 200℃ 초과에서 잔량을 생성하지 않거나(보다 바람직하게는 잔량은 300℃에서 약 5% 미만이고, 보다 바람직하게는 300℃에서 약 2% 미만임), "테일(tail)"을 나타내지 않으면서 열중량 분석에서 원활하게 증발하는 생성물, 또는 DSC 분석에 의해 상업적으로 입수가능한 생성물의 분해 온도 시작점보다 더 높은, 보다 바람직하게는 240℃ 초과의 분해 온도 시작점을 나타내는 생성물의 특성을 지칭한다.The term "high thermal stability" means that no residue is produced above 200°C (more preferably residual amount is less than about 5% at 300°C, more preferably less than about 2% at 300°C), or "tail" a product that evaporates smoothly in thermogravimetric analysis without exhibiting " )", or a starting decomposition temperature that is higher than that of the commercially available product by DSC analysis, more preferably greater than 240°C. refers to

용어 "기판"은, 공정이 수행되는 재료 또는 재료들을 지칭한다. 기판은, 공정이 수행되는 재료 또는 재료들을 갖는 웨이퍼를 지칭할 수 있다. 기판은 반도체, 광전지, 평판 패널, 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 웨이퍼일 수 있다. 기판은 또한, 이전 제조 단계에서 이미 기판 상에 증착된 상이한 재료들의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(예를 들어, 결정질, 비정질, 다공성 등), 규소 함유 층(예를 들어, SiO2, SiN, SiON, SiCOH 등), 금속 함유 층(예를 들어, 구리, 코발트, 루테늄, 텅스텐, 백금, 팔라듐, 니켈, 루테늄, 금 등), 또는 이들의 조합을 포함할 수 있다. 추가로, 기판은 평면형이거나 패터닝될 수 있다. 기판은 패터닝된 유기 포토레지스트 필름일 수 있다. 기판은, MEMS, 3D NAND, MIM, DRAM, 또는 FeRam 디바이스 응용에서 유전체 재료(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 삼원 산화물계 재료 등)로서 사용되는 산화물의 층 또는 전극으로서 사용되는 질화물계 필름(예를 들어, TaN, TiN, NbN)을 포함할 수 있다. 기판은 또한 분말, 예컨대 충전식 배터리 기술에서 사용되는 분말일 수 있다. 비제한적인 수의 분말 재료는 NMC(리튬 니켈 망간 코발트 산화물), LCO(리튬 코발트 산화물), LFP(리튬 철 인산염) 및 다른 배터리 캐소드 재료를 포함한다. 예시적인 분말 기판은 또한 활성탄을 포함한다.The term “substrate” refers to the material or materials on which the process is performed. A substrate may refer to a wafer having a material or materials on which a process is performed. The substrate may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. The substrate may also have one or more layers of different materials already deposited on the substrate in previous manufacturing steps. For example, the wafer may include a silicon layer (e.g., crystalline, amorphous, porous, etc.), a silicon-containing layer (e.g., SiO 2 , SiN, SiON, SiCOH, etc.), a metal-containing layer (e.g., copper, cobalt, ruthenium, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.), or a combination thereof. Additionally, the substrate may be planar or patterned. The substrate may be a patterned organic photoresist film. The substrate may be a dielectric material (e.g., ZrO 2 -based material, HfO 2 -based material, TiO 2 -based material, rare earth oxide-based material, ternary oxide-based material, etc.) in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications. It may include a layer of oxide used as an oxide layer or a nitride-based film (eg, TaN, TiN, NbN) used as an electrode. The substrate may also be a powder, such as a powder used in rechargeable battery technology. A non-limiting number of powder materials include NMC (lithium nickel manganese cobalt oxide), LCO (lithium cobalt oxide), LFP (lithium iron phosphate) and other battery cathode materials. Exemplary powder substrates also include activated carbon.

용어 "웨이퍼" 또는 "패터닝된 웨이퍼"는, 기판 상에 필름들의 스택을 갖고, 적어도 최상단 필름이 인듐 함유 필름의 증착 전 단계들에서 생성된 토포그래픽 특징부를 갖는 웨이퍼를 지칭한다.The term “wafer” or “patterned wafer” refers to a wafer having a stack of films on a substrate, with at least the top film having topographic features created in steps prior to deposition of the indium-containing film.

용어 "종횡비"는 트렌치의 폭(또는 개구의 직경)에 대한 트렌치(또는 개구)의 높이의 비를 지칭한다.The term “aspect ratio” refers to the ratio of the height of a trench (or opening) to the width of the trench (or diameter of the opening).

본원에서, 용어 "필름" 및 "층"은 상호 교환 가능하게 사용될 수 있음에 유의한다. 필름이 층에 해당할 수 있거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 용어 "필름" 또는 "층"이 표면 위에 놓인 또는 그 위에서 확산된 소정 두께의 일부 재료를 지칭하며, 상기 표면이 전체 웨이퍼 정도로 큰 것으로부터 트렌치 또는 라인 정도로 작은 것까지의 범위일 수 있다는 것을 인식할 것이다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층이 기판으로 지칭된다.Note that, herein, the terms “film” and “layer” may be used interchangeably. It is understood that a film may correspond to or be associated with a layer, and that a layer may refer to a film. Additionally, those skilled in the art will recognize that the term "film" or "layer" as used herein refers to a piece of material of a certain thickness lying on or spread over a surface, which can be anywhere from as large as an entire wafer to as small as a trench or line. It will be recognized that the range may be up to. Throughout this specification and claims, the wafer and any associated layers on the wafer are referred to as the substrate.

본원에서, 용어 "개구", "비아(via)", "홀" 및 "트렌치"는 반도체 구조에 형성된 개방부를 지칭하도록 상호 교환 가능하게 사용될 수 있음에 유의한다.Note that, herein, the terms “opening,” “via,” “hole,” and “trench” may be used interchangeably to refer to an opening formed in a semiconductor structure.

본원에서 사용되는 바와 같이, 약어 "NAND"는 "Negative AND" 또는 "Not AND" 게이트를 지칭하고; 약어 "2D"는 평면 기판 상의 2차원 게이트 구조를 지칭하며; 약어 "3D"는 3차원 또는 수직 게이트 구조를 지칭하고, 여기서 게이트 구조는 수직 방향으로 적층된다.As used herein, the abbreviation “NAND” refers to a “Negative AND” or “Not AND” gate; The abbreviation “2D” refers to a two-dimensional gate structure on a planar substrate; The abbreviation “3D” refers to a three-dimensional or vertical gate structure, where the gate structures are stacked in a vertical direction.

본원에서, 용어 "증착 온도" 및 "기판 온도"는 상호 교환 가능하게 사용될 수 있음에 유의한다. 기판 온도가 증착 온도에 해당할 수 있거나 이와 관련될 수 있고, 증착 온도는 기판 온도를 지칭할 수 있는 것으로 이해된다.Note that, herein, the terms “deposition temperature” and “substrate temperature” may be used interchangeably. It is understood that the substrate temperature may correspond to or be related to the deposition temperature, and the deposition temperature may refer to the substrate temperature.

본원에서, 용어 "전구체" 및 "증착 화합물" 및 "증착 가스"는 전구체가 실온 및 주변 압력에서 가스 상태인 경우 상호 교환 가능하게 사용될 수 있음에 유의한다. 전구체가 증착 화합물 또는 증착 가스에 해당할 수 있거나 이와 관련될 수 있고, 증착 화합물 또는 증착 가스는 전구체를 지칭할 수 있는 것으로 이해된다.Note that, herein, the terms “precursor” and “deposition compound” and “deposition gas” may be used interchangeably where the precursor is in a gaseous state at room temperature and ambient pressure. It is understood that a precursor may correspond to or be associated with a deposition compound or a deposition gas, and a deposition compound or deposition gas may refer to a precursor.

원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 그 약어에 의해서 지칭될 수 있다는 것(예를 들어, Si는 규소를 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하며, C는 탄소를 지칭하고, H는 수소를 지칭하고, F는 불소를 지칭하는 등)을 이해해야 한다.Standard abbreviations for elements from the Periodic Table of the Elements are used herein. Elements may be referred to by their abbreviations (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen, , F refers to fluorine, etc.).

화학물질 식별 서비스에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 식별하기 위해 제공된다.A unique CAS registration number (i.e., “CAS”) assigned by the Chemical Identification Service is provided to identify the specific molecule disclosed.

본원에서 사용되는 바와 같이, 용어 "알킬 기"는 오로지 탄소 및 수소 원자만을 함유하는 포화 작용기를 지칭한다. 알킬 기는 탄화수소의 한 유형이다. 또한, 용어 "알킬 기"는 선형, 분지형 또는 환형 알킬 기를 지칭한다. 선형 알킬 기의 예에는 제한 없이 메틸 기, 에틸 기, 프로필 기, 부틸 기 등이 포함된다. 분지형 알킬 기의 예에는 제한 없이 t-부틸이 포함된다. 환형 알킬 기의 예에는 제한 없이 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등이 포함된다.As used herein, the term “alkyl group” refers to a saturated functional group containing only carbon and hydrogen atoms. Alkyl groups are a type of hydrocarbon. Additionally, the term “alkyl group” refers to a linear, branched or cyclic alkyl group. Examples of linear alkyl groups include, without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyl groups include, without limitation, t-butyl. Examples of cyclic alkyl groups include, without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, and the like.

본원에서 사용되는 바와 같이, 약어 "Me"는 메틸 기를 지칭하고; 약어 "Et"는 에틸 기를 지칭하고; 약어 "Pr"은 임의의 프로필 기(즉, n-프로필 또는 이소프로필)를 지칭하고; 약어 "iPr"은 이소프로필 기를 지칭하고; 약어 "Bu"는 임의의 부틸 기(n-부틸, 이소-부틸, tert-부틸, sec-부틸)를 지칭하고; 약어 "tBu"는 tert-부틸 기를 지칭하고; 약어 "sBu"는 sec-부틸 기를 지칭하고; 약어 "iBu"는 이소-부틸 기를 지칭하고; 약어 "Ph"는 페닐 기를 지칭하고; 약어 "Amy"는 임의의 아밀 기(이소-아밀, sec-아밀, tert-아밀)를 지칭하고; 약어 "Cy"는 환형 탄화수소 기(시클로부틸, 시클로펜틸, 시클로헥실 등)를 지칭하고; 약어 "Ar"는 방향족 탄화수소 기(페닐, 자일릴, 메시틸 등)를 지칭한다. 개시된 실시형태에서 사용되는 바와 같이, 용어 "독립적으로"는 R 기를 기재하는 문맥에서 사용될 경우 대상체 R 기가 동일하거나 상이한 아래 첨자 또는 위 첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 종에 대해 독립적으로 선택되는 것을 나타내는 것으로 이해해야 한다. 예를 들어, x가 2 또는 3인 화학식 MR1 x(NR2R3)(4-x)에서, 2 또는 3개의 R1 기는 서로 동일하거나 R2 또는 R3과 동일할 수 있지만 반드시 그럴 필요는 없다. 또한, 특별히 달리 언급되지 않는 한, R 기들의 값은 상이한 화학식에서 사용될 때 서로 독립적인 것으로 이해해야 한다.As used herein, the abbreviation “Me” refers to a methyl group; The abbreviation “Et” refers to an ethyl group; The abbreviation “Pr” refers to any propyl group (i.e., n-propyl or isopropyl); The abbreviation “ i Pr” refers to the isopropyl group; The abbreviation “Bu” refers to any butyl group ( n -butyl, iso -butyl, tert -butyl, sec -butyl); The abbreviation " t Bu" refers to the tert -butyl group; The abbreviation “ s Bu” refers to the sec -butyl group; The abbreviation " i Bu" refers to the iso -butyl group; The abbreviation “Ph” refers to the phenyl group; The abbreviation “Amy” refers to any amyl group ( iso -amyl, sec -amyl, tert -amyl); The abbreviation “Cy” refers to a cyclic hydrocarbon group (cyclobutyl, cyclopentyl, cyclohexyl, etc.); The abbreviation “Ar” refers to an aromatic hydrocarbon group (phenyl, xylyl, mesityl, etc.). As used in the disclosed embodiments, the term "independently" when used in a context describing an R group means that the subject R group is independently selected relative to another R group having the same or a different subscript or superscript, as well as a group of the same R group. It should be understood as indicating independent selection for any additional species. For example , in the formula MR 1 There is no Additionally, unless specifically stated otherwise, the values of the R groups should be understood to be independent of each other when used in different chemical formulas.

본원에서 사용되는 바와 같이, 약어 "m-"은 "메타-"를 지칭한다. 예를 들어, m-자일렌은 메타-자일렌을 지칭한다. 약어 "o-"는 "오르쏘-"를 지칭한다. 예를 들어, o-자일렌은 오르쏘-자일렌을 지칭한다. 약어 "p-"는 "파라-"를 지칭한다. 예를 들어, p-자일렌은 파라-자일렌을 지칭한다.As used herein, the abbreviation “ m- ” refers to “meta-”. For example, m -xylene refers to meta-xylene. The abbreviation " o -" refers to "ortho-". For example, o -xylene refers to ortho-xylene. The abbreviation " p- " refers to "para-". For example, p -xylene refers to para-xylene.

범위는 본원에 대략적으로 하나의 특정 값으로부터, 및/또는 대략적으로 다른 특정 값까지인 것으로 표현될 수 있다. 그러한 범위가 표현되었을 때, 또 다른 실시형태는, 상기 범위 내의 모든 조합과 함께, 하나의 특정 값으로부터 및/또는 다른 특정 값까지인 것으로 이해해야 한다. 개시된 실시형태에서 언급되는 임의의 그리고 모든 범위는 용어 "포괄적으로(inclusively)"의 사용 여부와 상관없이 그의 종점을 포함한다(즉, x = 1 내지 4 또는 1 내지 4의 x 범위는, x = 1, x = 4 및 x = 그 사이의 임의의 수를 포함함). Ranges may be expressed herein as approximately from one particular value, and/or to approximately another particular value. When such ranges are expressed, alternative embodiments are to be understood as from one particular value and/or to another particular value, along with all combinations within the range. Any and all ranges recited in the disclosed embodiments include their endpoints regardless of whether the term “inclusively” is used (i.e., a range of x = 1 to 4 or 1 to 4 includes x = 1, x = 4, and x = any number in between).

본원에서 "일 실시형태" 또는 "실시형태"의 언급은, 실시형태와 관련하여 설명된 특정 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함될 수 있다는 것을 의미한다. 본 명세서 내의 여러 곳에서 문구 "일 실시형태에서"의 출현은 모두가 반드시 동일한 실시형태를 지칭하는 것이 아니고, 별개의 또는 대안적인 실시형태가 다른 실시형태와 반드시 상호 배타적인 것도 아니다. 용어 "구현예"에서도 마찬가지이다.Reference herein to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment can be included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places within the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments necessarily mutually exclusive of other embodiments. The same applies to the term “implementation”.

본 출원에서 사용되는 바와 같이, 단어 "예시적"은 본원에서 예, 사례 또는 예시로서 기능한다는 것을 의미하도록 사용된다. "예시적"으로서 본원에서 설명된 임의의 양태 또는 설계가 반드시 다른 양태 또는 설계보다 바람직하거나 유리한 것으로 해석되는 것은 아니다. 그보다, 예시적이라는 단어의 사용은 개념을 구체적인 방식으로 제공하기 위한 것이다.As used in this application, the word “exemplary” is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over another aspect or design. Rather, the use of the word exemplary is intended to present the concept in a concrete way.

청구범위 내의 "포함한다"는 개방형 연결 용어(open transitional term)이며, 이는, 선행하여 식별되는 청구범위의 요소가 비배타적인 목록이라는 것, 즉 임의의 다른 것이 부가적으로 포함될 수 있고 "포함한다"의 범위 내에서 유지될 수 있다는 것을 의미한다. "포함하는"은 본원에서 더 제한된 연결 용어인 "~로 본질적으로 이루어진" 및 "~로 이루어진"을 필수적으로 포함하는 것으로 정의되고; "포함하는"은 그에 따라 "~로 본질적으로 이루어진" 또는 "~로 이루어진"에 의해서 대체될 수 있고, 명백하게 정의된 "포함하는"의 범위 내에서 유지된다.“Comprises” in a claim is an open transitional term, meaning that the elements of the previously identified claim are a non-exclusive list, i.e. any other may be additionally included and “comprises” "This means that it can be maintained within the range of “Comprising” is herein defined to include essentially the more limited linking terms “consisting essentially of” and “consisting of”; “Comprising” may therefore be replaced by “consisting essentially of” or “consisting of,” remaining within the scope of “comprising” as explicitly defined.

또한, 용어 "또는"은 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하기 위한 것이다. 즉, 달리 명시되지 않는 한, 또는 문맥으로부터 명확하지 않다면, "X가 A 또는 B를 이용한다"는 것은 임의의 자연 포괄적 치환(natural inclusive permutation)을 의미하기 위한 것이다. 즉, 만약 X가 A를 이용하거나, X가 B를 이용하거나, X가 A 및 B 모두를 이용한다면, "X가 A 또는 B를 이용한다"는 것은 상기 경우 중 어떠한 경우에서도 만족된다. 또한, 본 출원 및 첨부된 청구범위에서 사용되는 단수형은 달리 명시되지 않는 한, 또는 문맥으로부터 명확히 단수형을 지시하지 않는 한 일반적으로 "하나 이상"을 의미하는 것으로 간주되어야 한다.Additionally, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” That is, unless otherwise specified or clear from context, “X utilizes A or B” is intended to mean any natural inclusive permutation. In other words, if X uses A, if X uses B, or if Additionally, as used in this application and the appended claims, the singular terms “a,” “an,” “an,” and “the,” or “an,” or “the,” or “an,” or “the,” or “an,” or “the,” or “an,” or “the,” or “the,” or “an,” or “the,” or “the,” or “the,” or “the,” or “the,” or “the,” or “the,” or “the,” or “one or more” is clearly intended to be clear from the context.

청구범위 내의 "제공한다"는 무언가를 구비한다, 공급한다, 이용 가능하게 한다, 또는 준비한다는 것을 의미하는 것으로 정의된다. 단계는 청구범위에서 달리 명백하게 반대되는 기재가 없는 경우에 임의의 행위에 의해서 실시될 수 있다.“Provide” within the claims is defined to mean to provide, supply, make available, or prepare something. A step may be performed by any act unless otherwise clearly stated in the claims to the contrary.

본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 함께 이루어지는 하기의 상세한 설명을 참조할 것이며, 이들 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 부여된다:
도 1은 Mo(에틸-벤젠)2의 TGA이다;
도 2는 Mo(에틸-벤젠)2의 DSC이다;
도 3은 Mo(에틸-벤젠)2에 대한 95Mo NMR 결과이다;
도 4는 Mo(메시틸렌)2의 대기 중의 TG 분석이다;
도 5는 Mo(메시틸렌)2의 DSC이다;
도 6은 Mo(1,3,5-Et3-벤젠)2의 대기 중의 TG 분석이다;
도 7은 Mo(1,3,5-Et3-벤젠)2의 DSC이다;
도 8은 Mo(m-자일렌)2의 대기 중의 TG 분석이다;
도 9는 Mo(m-자일렌)2의 DSC이다;
도 10은 Mo(톨루엔)2의 대기 중의 TG 분석이다;
도 11은 Mo(톨루엔)2의 DSC이다;
도 12는 Mo(m-자일렌)2의 화학 기상 증착의 XPS에 의한 증착된 필름의 원자 프로파일이다;
도 13은 Mo(m-자일렌)2의 열분해 증착의 SEM 데이터이다;
도 14는 H2를 사용하는 Mo(m-자일렌)2의 화학 기상 증착의 XPS에 의한 증착된 필름의 원자 프로파일이다;
도 15는 H2를 사용하는 Mo(m-자일렌)2의 화학 기상 증착의 SEM 데이터이다.
For a better understanding of the nature and purpose of the present invention, reference will be made to the following detailed description taken in conjunction with the accompanying drawings, in which like elements are assigned identical or similar reference numerals:
Figure 1 is the TGA of Mo(ethyl-benzene) 2 ;
Figure 2 is a DSC of Mo(ethyl-benzene) 2 ;
Figure 3 shows 95 Mo NMR results for Mo(ethyl-benzene) 2 ;
Figure 4 is an atmospheric TG analysis of Mo(mesitylene) 2 ;
Figure 5 is DSC of Mo(mesitylene) 2 ;
Figure 6 is an atmospheric TG analysis of Mo(1,3,5-Et 3 -benzene) 2 ;
Figure 7 is DSC of Mo(1,3,5-Et 3 -benzene) 2 ;
Figure 8 is an atmospheric TG analysis of Mo( m -xylene) 2 ;
Figure 9 is DSC of Mo( m- xylene) 2 ;
Figure 10 is an atmospheric TG analysis of Mo(toluene) 2 ;
Figure 11 is DSC of Mo(toluene) 2 ;
Figure 12 is an atomic profile of a film deposited by XPS of chemical vapor deposition of Mo( m- xylene) 2 ;
Figure 13 is SEM data of pyrolytic deposition of Mo( m -xylene) 2 ;
Figure 14 is an atomic profile of the deposited film by XPS of chemical vapor deposition of Mo( m- xylene) 2 using H 2 ;
Figure 15 is SEM data of chemical vapor deposition of Mo( m- xylene) 2 using H 2 .

비스(알킬-아렌) 금속-함유 전구체, M(알킬-아렌)2(여기서 M은 Cr, Mo, W 등임)를 포함하는 금속-함유 필름-형성 조성물, 및 상기 조성물을 사용하여, 반도체, 광전지, LCD-TFT, 평판형 디바이스, 내화성 재료 또는 항공 제조를 위해 ALD, CVD, SOD 등을 사용하여 금속-함유 필름을 증착시키는 방법이 개시된다. 특히, 본 개시내용은 금속-함유 필름의 증착을 위한 CVD 및 ALD 공정에 관한 것이다.A metal-containing film-forming composition comprising a bis(alkyl-arene) metal-containing precursor, M(alkyl-arene) 2 (where M is Cr, Mo, W, etc.), and the use of the composition to produce semiconductors, photovoltaic cells, etc. A method for depositing metal-containing films using ALD, CVD, SOD, etc. for manufacturing, LCD-TFT, flat device, refractory materials or aerospace is disclosed. In particular, the present disclosure relates to CVD and ALD processes for the deposition of metal-containing films.

개시된 금속-함유 전구체는 순수한 M(알킬-아렌)2일 수 있고, 여기서 M은 Cr, Mo 또는 W이고;The disclosed metal-containing precursor can be pure M(alkyl-arene) 2 , where M is Cr, Mo, or W;

아렌은Aren is

이고, ego,

식 중, R1, R2, R3, R4, R5 및 R6 각각은 H, C1-C6 알킬, C1-C6 알케닐, C1-C6 알킬페닐, C1-C6 알케닐페닐, -SiXR7R8로부터 독립적으로 선택되고, 여기서 X는 F, Cl, Br, I 중에서 선택되고, R7, R8 각각은 H, C1-C6 알킬, C1-C6 알케닐 중에서 선택된다.In the formula, R 1 , R 2 , R 3 , R 4 , R 5 and R 6 each represent H, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkylphenyl, C 1 - C 6 alkenylphenyl , -SiXR 7 R 8 , wherein C 6 is selected from alkenyl.

"순수한 M(알킬-아렌)2"에서 용어 "순수한"은 그 이성질체 각각 또는 임의의 다른 불순물의 농도가 약 15% 미만, 바람직하게는 약 10% 미만, 보다 바람직하게는 약 5% 미만, 훨씬 더 바람직하게는 약 1% 미만인 생성물을 지칭한다.In the context of “pure M(alkyl-arene) 2 ,” the term “pure” means that the concentration of each of its isomers or any other impurity is less than about 15%, preferably less than about 10%, more preferably less than about 5%, or even more. More preferably it refers to less than about 1% product.

일 실시형태에서, 개시된 금속-함유 필름 형성 조성물은 15% w/w 미만, 보다 바람직하게는 10% w/w 미만, 훨씬 더 바람직하게는 1% w/w 미만의, 소수 이성질체, 반응물 또는 다른 반응 생성물을 포함한 임의의 원하지 않는 종을 함유하여, 보다 우수한 공정 반복성을 제공할 수 있다.In one embodiment, the disclosed metal-containing film forming composition has less than 15% w/w, more preferably less than 10% w/w, and even more preferably less than 1% w/w of the minor isomer, reactant or other Contains any undesirable species, including reaction products, and may provide better process repeatability.

개시된 금속-함유 필름 형성 조성물의 순도는 85% w/w 초과(즉, 85.0% w/w 내지 100.0% w/w), 바람직하게는 95% w/w 초과(즉, 95.0% w/w 내지 100.0% w/w), 보다 바람직하게는 99% w/w 초과(즉, 99.0% w/w 내지 대략 99.999% w/w 또는 99.0% w/w 내지 100.0% w/w)이다. 또한, 개시된 금속-함유 전구체, 순수한 M(알킬-아렌)2의 순도는 85% w/w 초과(즉, 85.0% w/w 내지 100.0% w/w), 바람직하게는 95% w/w 초과(즉, 95.0% w/w 내지 100.0% w/w), 보다 바람직하게는 99% w/w 초과(즉, 99.0% w/w 내지 대략 99.999% w/w 또는 99.0% w/w 내지 100.0% w/w)이다. 당업자는 순도가 질량 분석법과 함께 기체 또는 액체 크로마토그래피 및 NMR 분광법에 의해 결정될 수 있음을 인식할 것이다. 개시된 금속-함유 필름 형성 조성물은 다음의 불순물 중 임의의 것을 함유할 수 있다: 피라졸; 피리딘; 알킬아민; 알킬이민; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 염소화 금속 화합물; 리튬, 나트륨, 칼륨 피라졸릴. 이들 불순물의 총량은 바람직하게는 5% w/w 미만(즉, 0.0% w/w 내지 5.0% w/w), 바람직하게는 2% w/w 미만(즉, 0.0% w/w 내지 2.0% w/w), 보다 바람직하게는 1% w/w 미만(즉, 0.0% w/w 내지 1.0% w/w)이다. 개시된 필름 형성 조성물은 재결정화, 승화, 증류, 및/또는 4Å 분자체와 같은 적합한 흡착제에 가스 액체를 통과시키는 것에 의해 정제될 수 있다.The purity of the disclosed metal-containing film forming composition is greater than 85% w/w (i.e., from 85.0% w/w to 100.0% w/w), preferably greater than 95% w/w (i.e., from 95.0% w/w to 100.0% w/w). 100.0% w/w), more preferably greater than 99% w/w (i.e., from 99.0% w/w to approximately 99.999% w/w or from 99.0% w/w to 100.0% w/w). Additionally, the purity of the disclosed metal-containing precursor, pure M(alkyl-arene) 2 , is greater than 85% w/w (i.e., from 85.0% w/w to 100.0% w/w), preferably greater than 95% w/w. (i.e., from 95.0% w/w to 100.0% w/w), more preferably greater than 99% w/w (i.e., from 99.0% w/w to approximately 99.999% w/w or from 99.0% w/w to 100.0% w/w). Those skilled in the art will recognize that purity can be determined by gas or liquid chromatography and NMR spectroscopy in conjunction with mass spectrometry. The disclosed metal-containing film forming compositions may contain any of the following impurities: pyrazole; pyridine; Alkylamine; alkyl imine; THF; ether; pentane; cyclohexane; heptane; benzene; toluene; Chlorinated metal compounds; Lithium, sodium, potassium pyrazolyl. The total amount of these impurities is preferably less than 5% w/w (i.e., 0.0% w/w to 5.0% w/w), preferably less than 2% w/w (i.e., 0.0% w/w to 2.0% w/w), more preferably less than 1% w/w (i.e., 0.0% w/w to 1.0% w/w). The disclosed film forming compositions can be purified by recrystallization, sublimation, distillation, and/or passing the gaseous liquid through a suitable adsorbent such as 4Å molecular sieves.

개시된 필름 형성 조성물의 정제는 또한 각각 독립적으로 0 ppbw 내지 1 ppmw, 바람직하게는 대략 0 내지 대략 500 ppbw(10억분율 중량) 수준, 보다 바람직하게는 대략 0 ppbw 내지 대략 100 ppbw 범위의 금속 불순물을 생성할 수 있다. 이러한 금속 또는 메탈로이드 불순물에는 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티탄(Ti), 우라늄(U), 바나듐(V) 및 아연(Zn)이 포함되지만 이에 한정되지 않는다.The purification of the disclosed film forming compositions also independently removes metal impurities at levels ranging from 0 ppbw to 1 ppmw, preferably in the range of approximately 0 to approximately 500 parts per billion (ppbw), more preferably in the range of approximately 0 ppbw to approximately 100 ppbw. can be created. These metal or metalloid impurities include aluminum (Al), arsenic (As), barium (Ba), beryllium (Be), bismuth (Bi), cadmium (Cd), calcium (Ca), chromium (Cr), and cobalt (Co). ), copper (Cu), gallium (Ga), germanium (Ge), hafnium (Hf), zirconium (Zr), iron (Fe), lead (Pb), lithium (Li), magnesium (Mg), manganese (Mn) ), nickel (Ni), potassium (K), sodium (Na), strontium (Sr), thorium (Th), tin (Sn), titanium (Ti), uranium (U), vanadium (V), and zinc (Zn). ) is included, but is not limited to this.

개시된 M(알킬-아렌)2 전구체는 Mo(톨루엔)2, Mo(Et-벤젠)2, Mo(o-자일렌)2, Mo(m-자일렌)2, Mo(p-자일렌)2, Mo(메시틸렌)2, Mo(알릴-벤젠)2, Mo(1,3,5-Et3-벤젠)2, Mo[(Me2Si-Cl)-벤젠]2, Mo(스티렌)2, Mo(테트라메틸실란-벤젠)2, Mo[(4-비닐페닐)벤젠]2, Mo(벤젠)(Et-벤젠), Mo(듀렌)2, Mo(C6H5-2H)2, Cr(톨루엔)2, Cr(Et-벤젠)2, Cr(o-자일렌)2, Cr(m-자일렌)2, Cr(p-자일렌)2, Cr(메시틸렌)2, Cr(알릴-벤젠)2, Cr(1,3,5-Et3-벤젠)2, Cr[(Me2Si-Cl)-벤젠]2, Cr(스티렌)2, Cr(테트라메틸실란-벤젠)2, Cr[(4-비닐페닐)벤젠]2, Cr(벤젠)(Et-벤젠), Cr(듀렌)2, Cr(C6H5-2H)2, W(톨루엔)2, W(Et-벤젠)2, W(o-자일렌)2, W(m-자일렌)2, W(p-자일렌)2, W(메시틸렌)2, W(알릴-벤젠)2, W(1,3,5-Et3-벤젠)2, W[(Me2Si-Cl)-벤젠]2, W(스티렌)2, W(테트라메틸실란-벤젠)2, W[(4-비닐페닐)벤젠]2, W(벤젠)(Et-벤젠), W(듀렌)2, 또는 W(C6H5-2H)2를 포함할 수 있다.The disclosed M(alkyl-arene) 2 precursors are Mo(toluene) 2 , Mo(Et-benzene) 2 , Mo( o- xylene) 2 , Mo( m -xylene) 2 , Mo( p -xylene) 2 , Mo(mesitylene) 2 , Mo(allyl-benzene) 2 , Mo(1,3,5-Et 3 -benzene) 2 , Mo[(Me 2 Si-Cl)-benzene] 2 , Mo(styrene) 2 , Mo(tetramethylsilane-benzene) 2 , Mo[(4-vinylphenyl)benzene] 2 , Mo(benzene)(Et-benzene), Mo(durene) 2 , Mo(C 6 H 5 - 2 H) 2 , Cr (toluene) 2 , Cr (Et-benzene) 2 , Cr ( o -xylene) 2 , Cr ( m -xylene) 2 , Cr ( p -xylene) 2 , Cr (mesitylene) 2 , Cr (allyl-benzene) 2 , Cr(1,3,5-Et 3 -benzene) 2 , Cr[(Me 2 Si-Cl)-benzene] 2 , Cr(styrene) 2 , Cr(tetramethylsilane-benzene) 2 , Cr[(4-vinylphenyl)benzene] 2 , Cr(benzene)(Et-benzene), Cr(durene) 2 , Cr(C 6 H 5 - 2 H) 2 , W(toluene) 2 , W( Et-benzene) 2 , W( o -xylene) 2 , W( m -xylene) 2 , W( p -xylene) 2 , W(mesitylene) 2 , W(allyl-benzene) 2 , W( 1,3,5-Et 3 -benzene) 2 , W[(Me 2 Si-Cl)-benzene] 2 , W(styrene) 2 , W(tetramethylsilane-benzene) 2 , W[(4-vinylphenyl )benzene] 2 , W (benzene) (Et-benzene), W (durene) 2 , or W (C 6 H 5 - 2 H) 2 .

개시된 금속-함유 전구체는 높은 열 안정성을 가질 수 있고, 예를 들어 CMOS 시스템, 3D NAND 채널 또는 광검출기에서, 고속, 고감도 반도체 필름을 형성하기 위해 사용될 수 있다. 개시된 금속-함유 전구체 및 개시된 필름 형성 조성물은 상응하는 원소-함유 필름을 증착하기에 적합하며 상응하는 원소-함유 필름의 증착을 위한 그의 관련 용도에 적합하다. 개시된 필름은 편평한 웨이퍼 또는 패터닝된 웨이퍼 상에서 균일하게, 또는 "갭-충전" 또는 "상향식 갭-충전" 접근으로 증착될 수 있다.The disclosed metal-containing precursors can have high thermal stability and can be used to form high-speed, high-sensitivity semiconductor films, for example in CMOS systems, 3D NAND channels, or photodetectors. The disclosed metal-containing precursors and the disclosed film forming compositions are suitable for depositing corresponding element-containing films and are suitable for their related uses for depositing corresponding element-containing films. The disclosed films can be deposited uniformly on flat or patterned wafers, or in a “gap-fill” or “bottom-up gap-fill” approach.

기상 증착 방법에 대해 개시된 금속-함유 전구체를 사용하는 방법이 또한 개시된다. 개시된 방법은 금속-함유 필름을 증착시키기 위한 금속-함유 전구체의 용도를 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 디바이스의 제조에 유용할 수 있다. 개시된 방법은 다음을 포함한다: 기판을 제공하는 단계; 개시된 금속-함유 전구체 중 적어도 하나를 포함하는 증기를 제공하는 단계; 기판을 금속-함유 전구체를 함유하는 필름 형성 조성물의 증기에 노출시키는 단계; 및 기상 증착 공정을 통해 금속-함유 전구체의 적어도 일부를 기판 상으로 증착시켜 금속-함유 필름을 형성하는 단계.Methods of using the metal-containing precursors disclosed for vapor deposition methods are also disclosed. The disclosed method provides the use of a metal-containing precursor to deposit a metal-containing film. The disclosed method may be useful in the fabrication of semiconductor, photovoltaic, LCD-TFT or flat panel devices. The disclosed method includes: providing a substrate; providing a vapor comprising at least one of the disclosed metal-containing precursors; exposing the substrate to a vapor of a film forming composition containing a metal-containing precursor; and depositing at least a portion of the metal-containing precursor onto the substrate through a vapor deposition process to form a metal-containing film.

금속-함유 전구체의 증기는 적어도 하나의 기판을 수용하는 반응 챔버에 도입된다. 반응 챔버 내의 온도와 압력 및 기판의 온도는 기판 상에 금속-함유 전구체의 적어도 일부를 기상 증착(예를 들어, ALD 및 CVD)하기에 적합한 조건에서 유지된다. 다시 말해서, 기화된 전구체를 챔버 내로 도입한 후에, 챔버 내의 조건은 기화된 전구체의 적어도 일부가 기판 상으로 증착되어 금속-함유 필름을 형성하도록 조정된다. 당업자는 "전구체의 적어도 일부가 증착된다"는 것이 전구체의 일부 또는 전부가 기판과 반응하거나 기판에 부착되는 것을 의미하는 것으로 인식할 것이다. 본원에서, 공반응물은 금속-함유 층의 형성을 돕기 위해 또한 사용될 수 있으며, 이는 후술될 것이다.A vapor of a metal-containing precursor is introduced into a reaction chamber containing at least one substrate. The temperature and pressure within the reaction chamber and the temperature of the substrate are maintained at conditions suitable for vapor deposition (e.g., ALD and CVD) of at least a portion of the metal-containing precursor onto the substrate. In other words, after introducing the vaporized precursor into the chamber, conditions within the chamber are adjusted such that at least a portion of the vaporized precursor is deposited onto the substrate to form a metal-containing film. Those skilled in the art will recognize that “at least a portion of the precursor is deposited” means that some or all of the precursor reacts with or adheres to the substrate. Herein, co-reactants may also be used to assist in the formation of metal-containing layers, as will be discussed later.

반응 챔버는 제한 없이 평행-판형 반응기, 저온-벽형 반응기, 고온-벽형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 그러한 유형의 증착 시스템과 같은, 증착 방법이 수행되는 장치의 임의의 인클로저 또는 챔버일 수 있다. 이러한 예시적인 반응 챔버 모두는 CVD 또는 ALD 반응 챔버의 역할을 할 수 있다. 반응 챔버는 진공 내지 주변 압력, 예를 들어 약 0.001 mTorr 내지 약 760 Torr 범위의 압력에서 유지될 수 있다. 반응 챔버 내의 압력은 증착 압력이다. 또한, 반응 챔버 내의 온도는 약 20℃ 내지 약 600℃의 범위일 수 있다. 당업자는 원하는 결과를 달성하기 위해 단순한 실험을 통해 온도를 최적화할 수 있음을 인식할 것이다.The reaction chamber can be any enclosure of the apparatus in which the deposition method is performed, such as, but not limited to, a parallel-plate reactor, cold-wall reactor, hot-wall reactor, single-wafer reactor, multi-wafer reactor, or other such types of deposition systems. Or it may be a chamber. All of these exemplary reaction chambers can serve as CVD or ALD reaction chambers. The reaction chamber may be maintained at a pressure ranging from vacuum to ambient pressure, for example, from about 0.001 mTorr to about 760 Torr. The pressure within the reaction chamber is the deposition pressure. Additionally, the temperature within the reaction chamber may range from about 20°C to about 600°C. Those skilled in the art will recognize that temperatures can be optimized through simple experimentation to achieve the desired results.

반응기의 온도는 기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용되는 장치는 당업계에 공지되어 있다. 반응기 벽은 충분한 성장 속도에서 원하는 물리적 상태 및 조성을 갖는 원하는 필름을 얻기에 충분한 온도까지 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 20℃ 내지 대략 600℃를 포함한다. 플라즈마 증착 공정이 이용되는 경우, 증착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 증착 온도는 대략 200℃ 내지 대략 600℃의 범위일 수 있다.The temperature of the reactor can be controlled by controlling the temperature of the substrate holder or by controlling the temperature of the reactor walls. Devices used to heat the substrate are known in the art. The reactor walls are heated to a temperature sufficient to obtain the desired film with the desired physical state and composition at a sufficient growth rate. Non-limiting exemplary temperature ranges to which the reactor walls can be heated include approximately 20°C to approximately 600°C. If a plasma deposition process is used, the deposition temperature may range from approximately 20°C to approximately 550°C. Alternatively, if a thermal process is performed, the deposition temperature may range from approximately 200°C to approximately 600°C.

대안적으로, 기판은 충분한 성장 속도에서 원하는 물리적 상태 및 조성을 갖는 원하는 금속-함유 필름을 얻기에 충분한 온도까지 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 예시적인 온도 범위는 20℃ 내지 600℃를 포함한다. 바람직하게는, 기판의 온도는 500℃ 이하로 유지된다. 본원에서, "증착 온도" 및 "기판 온도"는 상호 교환 가능하게 사용될 수 있음에 유의한다. 기판 온도가 증착 온도에 해당할 수 있거나 이와 관련될 수 있고, 증착 온도는 기판 온도를 지칭할 수 있는 것으로 이해된다. 반응기가 열 평형에 도달한 경우, 반응기 벽의 온도는 증착 온도 및 기판 온도와 동일할 수 있다.Alternatively, the substrate can be heated to a temperature sufficient to obtain the desired metal-containing film having the desired physical state and composition at a sufficient growth rate. Non-limiting exemplary temperature ranges at which the substrate may be heated include 20°C to 600°C. Preferably, the temperature of the substrate is maintained below 500°C. Note that, herein, “deposition temperature” and “substrate temperature” may be used interchangeably. It is understood that the substrate temperature may correspond to or be related to the deposition temperature, and the deposition temperature may refer to the substrate temperature. When the reactor has reached thermal equilibrium, the temperature of the reactor wall can be equal to the deposition temperature and the substrate temperature.

개시된 금속-함유 전구체의 분해 온도는 대략 235℃ 초과, 보다 바람직하게는 대략 240℃ 초과이며, 이는 하기 실시예로부터 밝혀질 수 있다. 개시된 금속-함유 전구체는 높은 열 안정성을 갖는다. 용어 "높은 열 안정성"은 200℃ 초과에서 잔량을 생성하지 않거나(보다 바람직하게는 잔량은 300℃에서 약 5% 미만이고, 보다 바람직하게는 300℃에서 약 2% 미만임), "테일"을 나타내지 않으면서 열중량 분석(TGA)에서 원활하게 증발하는 M(알킬-아렌)2의 생성물, 또는 DSC 분석에 의해 상업적으로 입수가능한 생성물의 분해 온도 시작점(대략 235℃)보다 더 높은, 보다 바람직하게는 대략 240℃ 초과의 분해 온도 시작점을 나타내는 M(알킬-아렌)2의 생성물의 특성을 지칭한다.The decomposition temperature of the disclosed metal-containing precursor is greater than approximately 235° C., more preferably greater than approximately 240° C., as can be seen from the examples below. The disclosed metal-containing precursor has high thermal stability. The term "high thermal stability" refers to a product that does not produce residuals above 200°C (more preferably residuals are less than about 5% at 300°C, more preferably less than about 2% at 300°C) or produces "tails". The product of M(alkyl-arene) 2 evaporates smoothly in thermogravimetric analysis (TGA) without showing, or more preferably higher than the starting decomposition temperature of the commercially available product (approximately 235° C.) by DSC analysis. refers to the nature of the product M(alkyl-arene) 2 which exhibits a starting decomposition temperature of approximately above 240°C.

금속-함유 필름이 증착될 기판의 유형은 의도된 최종 용도에 따라 달라질 수 있다. 일부 실시형태에서, 기판은 수소화된 탄소, 예를 들어, CHx로 제조된 패터닝된 포토레지스트 필름일 수 있으며, 여기서, x는 0 초과이다. 일부 실시형태에서, 기판은 MIM, DRAM, 또는 FeRam 기술에서 유전체 재료로서 사용되는 산화물(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 3원 산화물계 재료 등), 또는 구리와 저-k 층 사이에서 산소 장벽으로서 사용되는 질화물계 필름(예를 들어, TaN)으로부터 선택될 수 있다. 다른 기판이 반도체, 광전지, LCD-TFT, 또는 평판 디바이스의 제조에서 사용될 수 있다. 그러한 기판의 예에는, 고체 기판, 예컨대 금속 질화물 함유 기판(예를 들어, TaN, TiN, WN, TaCN, TiCN, TaSiN 및 TiSiN); 절연체(예를 들어, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3 및 바륨 스트론튬 티타네이트); 또는 이러한 재료의 임의의 개수의 조합을 포함하는 다른 기판이 포함되지만 이에 한정되지 않는다. 이용되는 실제 기판은 이용되는 특정 전구체 실시형태에 따라 달라질 수도 있다. 그러나, 많은 경우에, 이용되는 바람직한 기판은 수소화 탄소, TiN, 스트론튬 루테늄 산화물(SRO), Ru, 및 Si형 기판, 예컨대 폴리규소 또는 결정질 규소 기판으로부터 선택될 것이다. 기판은 또한 분말, 예컨대 충전식 배터리 기술에서 사용되는 분말일 수 있다. 비제한적인 수의 분말 재료는 NMC(리튬 니켈 망간 코발트 산화물), LCO(리튬 코발트 산화물), LFP(리튬 철 인산염) 및 다른 배터리 캐소드 재료를 포함한다. 예시적인 분말 기판은 또한 활성탄을 포함한다.The type of substrate on which the metal-containing film will be deposited may vary depending on the intended end use. In some embodiments, the substrate can be a patterned photoresist film made of hydrogenated carbon, such as CH x , where x is greater than zero. In some embodiments, the substrate is an oxide (e.g., ZrO 2 -based material, HfO 2 -based material, TiO 2 -based material, rare earth oxide-based material, ternary oxide-based material) used as a dielectric material in MIM, DRAM, or FeRam technologies. materials, etc.), or nitride-based films (e.g., TaN) used as oxygen barriers between copper and low-k layers. Other substrates can be used in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel devices. Examples of such substrates include solid substrates, such as metal nitride containing substrates (e.g., TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); insulators (eg, SiO 2 , Si 3 N 4 , SiON, HfO 2 , Ta 2 O 5 , ZrO 2 , TiO 2 , Al 2 O 3 and barium strontium titanate); or other substrates comprising any number of combinations of these materials. The actual substrate utilized may vary depending on the specific precursor embodiment utilized. However, in many cases, the preferred substrates utilized will be selected from hydrogenated carbon, TiN, strontium ruthenium oxide (SRO), Ru, and Si type substrates such as polysilicon or crystalline silicon substrates. The substrate may also be a powder, such as a powder used in rechargeable battery technology. A non-limiting number of powder materials include NMC (lithium nickel manganese cobalt oxide), LCO (lithium cobalt oxide), LFP (lithium iron phosphate) and other battery cathode materials. Exemplary powder substrates also include activated carbon.

기판은 종횡비가 높은 비아 또는 트렌치를 포함하도록 패터닝될 수 있다. 예를 들어, 컨포멀(conformal) 금속-함유 필름, 예컨대 SiO2는 임의의 ALD 기술을 사용하여 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 관통 규소 비아(through silicon via, TSV) 상에 증착될 수 있다.The substrate may be patterned to include high aspect ratio vias or trenches. For example, conformal metal-containing films, such as SiO 2 , can be formed on through silicon vias (TSVs) with aspect ratios ranging from approximately 20:1 to approximately 100:1 using any ALD technique. can be deposited on

금속-함유 필름 형성 조성물은 순수한 형태로 또는 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3차 아민, 아세톤, 테트라히드로푸란, 에탄올, 에틸메틸케톤, 1,4-디옥산 등과 같은 기상 증착에 적합한 용매와의 블렌드로 공급될 수 있다. 대안적으로, 금속-함유 필름 형성 조성물은 나프타, 메틸이소부틸케톤(MIBK), n-메틸이소부틸케톤(NMIBK) 또는 이들의 조합과 같은 캐스팅 증착에 적합한 용매를 포함할 수 있다. 당업자는 캐스팅 증착 용액이 pH 조절제 또는 계면활성제를 추가로 포함할 수 있음을 인식할 것이다. 개시된 전구체는 용매 중에 다양한 농도로 존재할 수 있다. 예를 들어, 기상 증착 용액의 최종 농도는 대략 0.01 M 내지 대략 2 M 범위일 수 있다. 당업자는 캐스팅 증착 용액의 몰 농도가 원하는 필름 두께에 정비례하고 이에 따라 몰 농도를 조정할 수 있음을 인식할 것이다.The metal-containing film-forming composition may be used in pure form or in the form of toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, tertiary amine, acetone, tetrahydrofuran, ethanol, ethylmethylketone, 1 It can be supplied as a blend with a solvent suitable for vapor deposition, such as 4-dioxane. Alternatively, the metal-containing film forming composition may include a solvent suitable for casting deposition, such as naphtha, methylisobutylketone (MIBK), n-methylisobutylketone (NMIBK), or combinations thereof. Those skilled in the art will recognize that the casting deposition solution may further include pH adjusting agents or surfactants. The disclosed precursors can be present in various concentrations in solvent. For example, the final concentration of the vapor deposition solution may range from approximately 0.01 M to approximately 2 M. Those skilled in the art will recognize that the molarity of the casting deposition solution is directly proportional to the desired film thickness and the molarity can be adjusted accordingly.

기상 증착의 경우, 순수한 또는 블렌딩된 금속-함유 전구체는 튜빙(tubing) 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기 내로 도입된다. 증기 형태의 전구체는 직접 기화, 증류, 또는 버블링에 의한 것과 같은 통상적인 기화 단계를 통해 순수한 또는 블렌딩된 전구체 용액을 기화시키거나, 또는 Xu 등의 PCT 공개 WO2009/087609호에 개시된 바와 같은 승화기를 사용하여 생성될 수 있다. 순수한 또는 블렌딩된 전구체는 액체 상태로 기화기에 공급될 수 있으며, 여기서 이는 반응기 내로 도입되기 전에 기화된다. 대안적으로, 순수한 또는 블렌딩된 전구체는 캐리어 가스를 전구체 함유 용기에 통과시키거나 캐리어 가스를 전구체 내로 버블링함으로써 기화될 수 있다. 캐리어 가스에는 N2, He, Ne, Ar, Kr, Xe 및 이들의 혼합물이 포함될 수 있지만 이에 한정되지 않는다. 캐리어 가스로 버블링하면 순수한 또는 블렌딩된 전구체 용액에 존재하는 임의의 용존 산소가 제거될 수도 있다. 그 후, 캐리어 가스 및 전구체가 증기로서 반응기 내로 도입된다.For vapor deposition, pure or blended metal-containing precursors are introduced into the reactor in vapor form by conventional means such as tubing and/or flow meters. Precursors in vapor form can be obtained by vaporizing pure or blended precursor solutions through conventional vaporization steps, such as by direct vaporization, distillation, or bubbling, or by sublimation as disclosed in PCT Publication No. WO2009/087609 to Xu et al. It can be created using Pure or blended precursors may be fed to the vaporizer in liquid form, where they are vaporized prior to introduction into the reactor. Alternatively, pure or blended precursors can be vaporized by passing a carrier gas through a vessel containing the precursor or by bubbling the carrier gas into the precursor. The carrier gas may include, but is not limited to, N 2 , He, Ne, Ar, Kr, Xe, and mixtures thereof. Bubbling with a carrier gas may remove any dissolved oxygen present in the pure or blended precursor solution. The carrier gas and precursor are then introduced into the reactor as vapors.

필요한 경우, 개시된 필름 형성 조성물을 수용하는 용기는, 금속-함유 전구체가 액체 상으로 있고 충분한 증기압을 가질 수 있는 온도까지 가열될 수 있다. 용기는 예를 들어 대략 0℃ 내지 대략 150℃ 범위의 온도에서 유지될 수 있다. 당업자는 기화된 금속-함유 전구체의 양을 제어하는 알려진 방식으로 용기의 온도를 조정할 수 있음을 인식한다.If desired, the vessel containing the disclosed film forming composition can be heated to a temperature at which the metal-containing precursor is in the liquid phase and has sufficient vapor pressure. The container may be maintained at a temperature ranging from approximately 0° C. to approximately 150° C., for example. Those skilled in the art will recognize that the temperature of the vessel can be adjusted in known ways to control the amount of metal-containing precursor vaporized.

반응기는 제한 없이, 화합물이 반응하여 층을 형성하게 하는 적합한 조건 하에서, 평행-판형 반응기, 저온-벽형 반응기, 고온-벽형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 다른 유형의 증착 시스템과 같은, 증착 방법이 수행되는 장치 내의 임의의 인클로저 챔버일 수 있다. 당업자는 이들 반응기 중 임의의 것이 ALD 또는 CVD 증착 공정 중 어느 하나에 사용될 수 있음을 인식할 것이다.The reactor may be, but is not limited to, parallel-plate reactors, cold-wall reactors, hot-wall reactors, single-wafer reactors, multi-wafer reactors, and other types of deposition systems under suitable conditions that allow the compounds to react to form layers. , may be any enclosure chamber within the device in which the deposition method is performed. Those skilled in the art will recognize that any of these reactors can be used in either ALD or CVD deposition processes.

개시된 금속-함유 전구체 외에도, 금속-함유 필름을 형성하기 위해 공반응물이 반응기 내로 도입될 수 있다. 표적 증착 필름이 유전체 필름인 경우, 공반응물은 산화 가스, 예컨대 O2, O3, H2O, H2O2, NO, N2O, NO2, 산소 함유 라디칼, 예컨대 O· 또는 OH·; NO; NO2; 알코올, 실란올, 아미노알코올, 카복실산, 예컨대 포름산, 아세트산, 프로피온산; NO, NO2 또는 카복실산의 라디칼 종; 파라-포름알데히드; 및 이들의 혼합물 중 하나일 수 있다. 바람직하게는, 산화제는 O2, O3, H2O, H2O2, N2O, NO, NO2, 이들의 산소 함유 라디칼, 예컨대 O· 또는 OH·, 또는 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게는, ALD 공정이 수행되는 경우, 공반응물은 플라즈마 처리된 산소, 오존 또는 이들의 조합이다. 산화 가스가 공반응물로 사용되는 경우, 생성되는 금속-함유 필름은 산소를 또한 함유할 것이다.In addition to the disclosed metal-containing precursors, co-reactants can be introduced into the reactor to form metal-containing films. When the target deposited film is a dielectric film, the co-reactants are oxidizing gases such as O 2 , O 3 , H 2 O, H 2 O 2 , NO, N 2 O, NO 2 , oxygen-containing radicals such as O· or OH·. ; NO; NO 2 ; Alcohols, silanols, aminoalcohols, carboxylic acids such as formic acid, acetic acid, propionic acid; NO, NO 2 or radical species of carboxylic acids; para-formaldehyde; and mixtures thereof. Preferably, the oxidizing agent is from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , N 2 O, NO, NO 2 , oxygen-containing radicals thereof such as O· or OH·, or mixtures thereof. is selected from Preferably, when an ALD process is performed, the co-reactant is plasma treated oxygen, ozone, or a combination thereof. If an oxidizing gas is used as a co-reactant, the resulting metal-containing film will also contain oxygen.

대안적으로, 표적이 전도성 필름인 경우, 공반응물은 환원제, 예컨대 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SIH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 9-보라비시클로[3,3,1]노난, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종, 및 이들의 혼합물 중 하나일 수 있다. 공반응물은 1차 아민, 2차 아민, 3차 아민, 트리실릴아민, 이들의 라디칼, 및 이들의 혼합물일 수 있다. 바람직하게는, 환원제는 H2, NH3, N2H4, Me-N2H4, Me2N2H2, SiH4, Si2H6, Si3H8, Si4H10, SiH2Me2, SiH2Et2, N(SiH3)3, NH3 라디칼, H2 라디칼, 또는 이들의 조합이다. 환원제가 사용되는 경우, 생성된 금속-함유 필름은 순수한 금속, 금속 탄화물, 금속 산화물, 금속 질화물, 금속 규화물 필름 또는 이들의 조합일 수 있다. N-함유 환원제가 사용되는 경우, 생성되는 금속-함유 필름은 또한 질소를 함유할 것이다.Alternatively, when the target is a conductive film, the co-reactants include reducing agents such as H 2 , NH 3 , (SiH 3 ) 3 N, hydridosilanes (such as SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12 ), chlorosilanes and chloropolysilanes (e.g. SiHCl 3 , SiH 2 Cl 2 , SIH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 ), alkylsilanes (eg, (CH 3 ) 2 SiH 2 , (C 2 H 5 ) 2 SiH 2 , (CH 3 )SiH 3 , (C 2 H 5 )SiH 3 ), hydrazine (eg, N 2 H 4 , MeHNNH 2 , MeHNNHMe), organic amines (e.g. N(CH 3 )H 2 , N(C 2 H 5 )H 2 , N(CH 3 ) 2 H, N(C 2 H 5 ) 2 H, N( CH 3 ) 3 , N(C 2 H 5 ) 3 , (SiMe 3 ) 2 NH), pyrazoline, pyridine, B-containing molecules (e.g. B 2 H 6 , 9-borabicyclo[3,3,1 ]nonane, trimethylboron, triethylboron, borazine), an alkyl metal (e.g., trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, and mixtures thereof. Co-reactants may be primary amines, secondary amines, tertiary amines, trisilylamines, radicals thereof, and mixtures thereof. Preferably, the reducing agent is H 2 , NH 3 , N 2 H 4 , Me-N 2 H 4 , Me 2 N 2 H 2 , SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , NH 3 radical, H 2 radical, or a combination thereof. When a reducing agent is used, the resulting metal-containing film may be a pure metal, metal carbide, metal oxide, metal nitride, metal silicide film, or a combination thereof. If an N-containing reducing agent is used, the resulting metal-containing film will also contain nitrogen.

추가로, 공반응물은 할로실란, 폴리할로디실란(할로 = F, Cl, Br, I), 또는 유기할라이드, 예컨대 SiH2Cl2, SiH2I2, SiHCl3, SiCl4, SiBr4, Si2Cl6, Si2Br6, Si2HCl5, Si3Cl8, CH2I2, CH3I, C2H5I, C4H9I, C6H5I, 및 금속-함유 필름, 예컨대 순수한 금속, 및 금속 탄화물 필름을 형성하는 하나 이상의 반응물 가스일 수 있다. 할라이드-함유 공반응물, 예컨대 CH2I2, CH3I, C2H5I, C4H9I, C6H5I는 생성물 분해의 촉매 작용, 및 갭 충전 또는 상향식 갭 충전을 얻는 데 도움이 된다.Additionally, co-reactants may be halosilanes, polyhalodisilanes (halo = F, Cl, Br, I), or organic halides such as SiH 2 Cl 2 , SiH 2 I 2 , SiHCl 3 , SiCl 4 , SiBr 4 , Si 2 Cl 6 , Si 2 Br 6 , Si 2 HCl 5 , Si 3 Cl 8 , CH 2 I 2 , CH 3 I, C 2 H 5 I, C 4 H 9 I, C 6 H 5 I, and metal-containing It may be one or more reactant gases that form a film, such as a pure metal, and a metal carbide film. Halide-containing co-reactants such as CH 2 I 2 , CH 3 I, C 2 H 5 I, C 4 H 9 I, C 6 H 5 I are used to catalyze product decomposition and obtain gap filling or bottom-up gap filling. It's helpful.

추가로, 공반응물은 반응 가스를 라디칼 형태로 분해하기 위해 플라즈마에 의해 처리될 수 있으며, H2, N2 및 O2 중 적어도 하나는 플라즈마로 처리될 때 각각 수소, 질소 또는 산소 공급원 가스로서 이용될 수 있다. 플라즈마 공급원은 N2 플라즈마, N2/He 플라즈마, N2/Ar 플라즈마, NH3 플라즈마, NH3/He 플라즈마, NH2/Ar 플라즈마, He 플라즈마, Ar 플라즈마, H2 플라즈마, H2/He 플라즈마, H2/유기 아민 플라즈마, 및 이들의 혼합물일 수 있다. 플라즈마로 처리될 때 N2가 또한 환원제로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 전력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에 존재하거나 그 안에서 발생될 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 제거되는 위치, 예를 들어 원격 위치 플라즈마 시스템에 있을 수 있다. 당업자는 그러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 것이다.Additionally, the co-reactants can be treated by plasma to decompose the reaction gas into radical form, and at least one of H 2 , N 2 and O 2 is used as a hydrogen, nitrogen or oxygen source gas, respectively, when treated with plasma. It can be. The plasma source is N 2 plasma, N 2 /He plasma, N 2 /Ar plasma, NH 3 plasma, NH 3 /He plasma, NH 2 /Ar plasma, He plasma, Ar plasma, H 2 plasma, H 2 /He plasma. , H 2 /organic amine plasma, and mixtures thereof. N 2 can also be used as a reducing agent when treated with plasma. For example, the plasma may be generated at a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 200 W. The plasma may exist within or be generated within the reactor itself. Alternatively, the plasma may be at a location that is generally removed from the reactor, such as a remote location plasma system. Those skilled in the art will recognize suitable methods and devices for such plasma processing.

예를 들어, 공반응물은 반응 챔버에서 플라즈마를 발생시키는 직접 플라즈마 반응기 내로 도입되어 반응 챔버에서 플라즈마-처리된 반응물을 생성할 수 있다. 공반응물은 플라즈마 처리 이전에 반응 챔버 내에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 처리는 반응물의 도입과 동시에 일어날 수 있다.For example, co-reactants can be introduced directly into a plasma reactor that generates a plasma in the reaction chamber to produce plasma-treated reactants in the reaction chamber. Co-reactants may be introduced and maintained within the reaction chamber prior to plasma treatment. Alternatively, plasma treatment can occur simultaneously with introduction of the reactants.

대안적으로, 플라즈마-처리된 공반응물은, 예를 들어 반응 챔버로 들어가기 전에 공반응물을 처리하기 위한 원격 플라즈마와 같이 반응 챔버 외부에서 생성될 수 있다.Alternatively, the plasma-treated co-reactants can be generated outside the reaction chamber, for example, as a remote plasma to treat the co-reactants before entering the reaction chamber.

기상 증착 공정을 사용하여 기판 상에 금속-함유 층을 형성하는 방법이 또한 개시된다. 출원인은 개시된 필름 형성 조성물이 ALD에 적합한 것으로 생각한다. 보다 구체적으로, 개시된 필름 형성 조성물은 표면 포화, 사이클마다 자가 제한 성장, 및 대략 2:1부터 대략 200:1까지, 그리고 바람직하게는 대략 60:1부터 대략 150:1까지의 범위의 종횡비에 대한 완벽한 스텝 커버리지(step coverage)가 가능하다. 추가로, 개시된 필름 형성 조성물은 높은 분해 온도를 가져서, ALD를 가능하게 하는 양호한 열 안정성을 나타낸다. 높은 분해 온도는 더 높은 온도에서의 ALD를 가능하게 하여, 더 높은 순도를 갖는 필름을 생성한다.A method of forming a metal-containing layer on a substrate using a vapor deposition process is also disclosed. Applicants believe that the disclosed film forming compositions are suitable for ALD. More specifically, the disclosed film forming compositions provide surface saturation, self-limiting growth from cycle to cycle, and aspect ratios ranging from approximately 2:1 to approximately 200:1, and preferably from approximately 60:1 to approximately 150:1. Perfect step coverage is possible. Additionally, the disclosed film-forming compositions have high decomposition temperatures and thus exhibit good thermal stability to enable ALD. High decomposition temperatures enable ALD at higher temperatures, producing films with higher purity.

개시된 금속-함유 전구체 및 하나 이상의 공반응물은 동시에(CVD), 순차적으로(ALD), 또는 다른 조합으로 반응 챔버 내로 도입될 수 있다. 예를 들어, 개시된 금속-함유 전구체가 하나의 펄스로 도입될 수 있고, 두 가지 추가 금속 공급원이 별도의 펄스로 함께 도입될 수 있다(변형된 원자층 증착). 대안적으로, 반응 챔버는 금속-함유 전구체의 도입 전에 이미 반응물을 함유할 수 있다. 반응물은 반응 챔버로부터 국한되거나 멀리 떨어진 플라즈마 시스템을 통과하여, 라디칼로 분해될 수 있다. 대안적으로, 금속-함유 전구체는 반응 챔버에 연속적으로 도입될 수 있는 한편, 다른 금속 공급원은 펄스에 의해(펄스-CVD) 도입된다. 각각의 예에서, 펄스는 과량의 도입된 성분을 제거하기 위한 퍼지 또는 배기 단계가 뒤따를 수 있다. 각각의 예에서, 펄스는 약 0.01초 내지 약 10초, 대안적으로 약 0.3초 내지 약 3초, 대안적으로 약 0.5초 내지 약 2초의 기간 동안 지속될 수 있다. 또 다른 대안에서, 금속-함유 전구체 및 하나 이상의 반응물은 샤워 헤드로부터 동시에 분무될 수 있으며, 그 아래에서 여러 웨이퍼를 지지하는 서셉터(susceptor)가 회전된다(공간 ALD).The disclosed metal-containing precursor and one or more co-reactants may be introduced into the reaction chamber simultaneously (CVD), sequentially (ALD), or in other combinations. For example, the disclosed metal-containing precursor can be introduced in one pulse, and two additional metal sources can be introduced together in separate pulses (modified atomic layer deposition). Alternatively, the reaction chamber may already contain reactants prior to introduction of the metal-containing precursor. Reactants may pass through the plasma system, either localized or remote from the reaction chamber, and decompose into radicals. Alternatively, the metal-containing precursor can be introduced continuously into the reaction chamber while the other metal source is introduced by pulses (pulse-CVD). In each example, the pulse may be followed by a purge or evacuation step to remove excess introduced components. In each example, the pulse may last for a period of about 0.01 seconds to about 10 seconds, alternatively about 0.3 seconds to about 3 seconds, alternatively about 0.5 seconds to about 2 seconds. In another alternative, the metal-containing precursor and one or more reactants can be sprayed simultaneously from a shower head, under which a susceptor supporting several wafers is rotated (spatial ALD).

개시된 필름 형성 조성물은 당업자에게 공지된 임의의 증착 방법을 사용하여 금속-함유 필름을 증착하는 데 사용될 수 있다. 적합한 증착 방법의 예에는 플라즈마 강화된 또는 강화되지 않은 CVD 또는 ALD가 포함된다. 보다 구체적으로, 예시적인 적합한 증착 방법에는 제한 없이 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간 분할형 ALD, 시간적 ALD, 선택적 또는 비선택적 ALD, 열선 ALD(HWALD), 라디칼 혼입 ALD, 및 이들의 조합이 포함된다. 적합한 스텝 커버리지 및 필름 두께 제어를 제공하기 위해, 증착 방법은 바람직하게는 ALD, PE-ALD 또는 공간 ALD이다. 예시적인 CVD 방법에는 금속-유기 CVD (MOCVD), 열적 CVD, 펄스 CVD(PCVD), 저압 CVD(LPCVD), 준 대기압 CVD(SACVD) 또는 대기압 CVD(APCVD), 열선 CVD 또는 열 필라멘트 CVD(cat-CVD로도 알려짐, 여기서 열선이 증착 공정의 에너지원으로 사용됨), 고온벽 CVD, 저온벽 CVD, 에어로졸 보조 CVD, 직접 액체 주입 CVD, 연소 CVD, 하이브리드식 물리적 CVD, 금속유기 CVD, 급속 열 CVD, 광-개시 CVD, 레이저 CVD, 라디칼 혼입 CVD, 플라즈마 강화 CVD(PECVD)(유동성 PECVD가 포함되지만 이에 한정되지 않음), 및 이들의 조합이 포함된다.The disclosed film forming compositions can be used to deposit metal-containing films using any deposition method known to those skilled in the art. Examples of suitable deposition methods include CVD or ALD, with or without plasma enhanced. More specifically, exemplary suitable deposition methods include, but are not limited to, thermal ALD, plasma-enhanced ALD (PEALD), space-partitioned ALD, temporal ALD, selective or non-selective ALD, hot-wire ALD (HWALD), radical-incorporated ALD, and combinations thereof. This is included. To provide adequate step coverage and film thickness control, the deposition method is preferably ALD, PE-ALD or spatial ALD. Exemplary CVD methods include metal-organic CVD (MOCVD), thermal CVD, pulsed CVD (PCVD), low pressure CVD (LPCVD), subatmospheric pressure CVD (SACVD) or atmospheric pressure CVD (APCVD), hot wire CVD, or hot filament CVD (cat- Also known as CVD (where a hot wire is used as the energy source for the deposition process), hot wall CVD, cold wall CVD, aerosol-assisted CVD, direct liquid injection CVD, combustion CVD, hybrid physical CVD, metal-organic CVD, fast thermal CVD, optical -initiated CVD, laser CVD, radical-incorporated CVD, plasma-enhanced CVD (PECVD) (including but not limited to flowable PECVD), and combinations thereof.

하나의 비제한적인 예시적인 ALD형 공정에서, 금속-함유 전구체의 증기 상이 반응 챔버 내에 도입되며, 여기서 이는 적합한 기판과 접촉된다. 그 후, 반응 챔버의 퍼지 및/또는 배기에 의해 과량의 금속-함유 전구체가 반응 챔버로부터 제거될 수 있다. 산소 공급원이 반응 챔버 내에 도입되며, 여기서 이는 흡수된 금속-함유 전구체와 자가-제한적인 방식으로 반응한다. 반응 챔버의 퍼지 및 배기에 의해 임의의 과량의 산소 공급원이 반응 챔버로부터 제거된다. 원하는 필름이 금속 산화물 필름인 경우, 이러한 2단계 공정은 원하는 필름 두께를 제공할 수 있거나 필요한 두께를 갖는 필름이 얻어질 때까지 반복될 수 있다.In one non-limiting example ALD-type process, a vapor phase of a metal-containing precursor is introduced into a reaction chamber, where it is contacted with a suitable substrate. Excess metal-containing precursor may then be removed from the reaction chamber by purging and/or evacuation of the reaction chamber. An oxygen source is introduced into the reaction chamber, where it reacts in a self-limiting manner with the absorbed metal-containing precursor. Any excess oxygen source is removed from the reaction chamber by purging and evacuating the reaction chamber. If the desired film is a metal oxide film, this two-step process can be repeated to provide the desired film thickness or until a film with the required thickness is obtained.

또 다른 대안으로, 금속-함유 필름은 개시된 금속-함유 전구체 및 라디칼 질소- 또는 산소-함유 공반응물을 사용하여 미국 특허 출원 공개 제2014/0051264호에 개시된 유동성 PECVD 방법에 의해 증착될 수 있다. 라디칼 질소- 또는 산소-함유 공반응물, 예컨대 각각 NH3 또는 H2O은 원격 플라즈마 시스템에서 생성된다. 라디칼 공반응물 및 개시된 전구체의 증기 상은 반응 챔버 내로 도입되고, 여기서 이들은 반응하여 초기 유동성 필름을 기판 상에 증착시킨다. 본 출원인은 개시된 화합물의 질소 원자가 증착된 필름의 유동성을 더욱 개선시키는 데 도움을 주어, 공동이 적은 필름이 생성되는 것으로 생각한다.As another alternative, the metal-containing film can be deposited by the flowable PECVD method disclosed in US Patent Application Publication No. 2014/0051264 using the disclosed metal-containing precursor and radical nitrogen- or oxygen-containing co-reactant. Radical nitrogen- or oxygen-containing co-reactants, such as NH 3 or H 2 O, respectively, are produced in the remote plasma system. The vapor phases of the radical co-reactant and the initiated precursor are introduced into a reaction chamber, where they react to deposit an initially flowable film on the substrate. Applicants believe that the nitrogen atoms of the disclosed compounds help further improve the flowability of the deposited film, resulting in a film with fewer voids.

또한, 캐스팅 증착 방법, 예컨대 스핀 코팅(즉, SOD), 분무 코팅, 침지 코팅 또는 슬릿 코팅 기술로 개시된 금속-함유 전구체를 사용하는 방법이 개시된다. 개시된 방법은 금속-함유 필름을 증착시키기 위한 금속-함유 필름 형성 조성물의 용도를 제공한다. 개시된 방법은 다음을 포함한다: 기판을 제공하는 단계; 개시된 금속-함유 전구체를 함유하는 개시된 금속-함유 필름 형성 조성물의 액체 형태를 기판 상에 도포하는 단계; 및 금속-함유 층을 기판 상에 형성하는 단계. 상기 논의된 바와 같이, 개시된 금속-함유 필름 형성 조성물의 액체 형태는 금속-함유 전구체의 순수한 용액, 또는 금속-함유 전구체와 용매 및 선택적인 pH 조절제 또는 계면활성제의 혼합물일 수 있다. 일 실시형태에서, 금속-함유 필름 형성 조성물은 SOD에 적합한 용매와의 블렌드로 공급될 수 있으며, 예를 들어, 금속-함유 필름 형성 조성물은 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3차 아민, 아세톤, 테트라히드로푸란, 에탄올, 에틸메틸케톤 또는 1,4-디옥산과 혼합되어 SOD를 위한 금속-함유 필름 형성 조성물의 액체 형태를 형성할 수 있다.Also disclosed are methods using metal-containing precursors disclosed in casting deposition methods, such as spin coating (i.e., SOD), spray coating, dip coating, or slit coating techniques. The disclosed method provides for the use of a metal-containing film forming composition to deposit a metal-containing film. The disclosed method includes: providing a substrate; Applying a liquid form of the disclosed metal-containing film forming composition containing the disclosed metal-containing precursor onto a substrate; and forming a metal-containing layer on the substrate. As discussed above, the liquid form of the disclosed metal-containing film forming composition may be a pure solution of the metal-containing precursor, or a mixture of the metal-containing precursor with a solvent and an optional pH adjuster or surfactant. In one embodiment, the metal-containing film forming composition may be supplied in a blend with a solvent suitable for SOD, for example, the metal-containing film forming composition may include toluene, ethyl benzene, xylene, mesitylene, decane, dode. Can be mixed with kan, octane, hexane, pentane, tertiary amine, acetone, tetrahydrofuran, ethanol, ethylmethylketone or 1,4-dioxane to form a liquid form of the metal-containing film forming composition for SOD. .

개시된 금속-함유 필름 형성 조성물의 액체 형태는 기판의 중심에 직접적으로 도포될 수 있거나, 분무에 의해 기판 전체에 도포될 수 있다. 기판의 중심에 직접적으로 도포되는 경우, 기판은 기판 위에 조성물을 균일하게 분포시키기 위해 원심력을 이용하도록 회전될 수 있다. 대안적으로, 기판은 금속-함유 필름 형성 조성물에 침지될 수 있다. 생성된 필름은 임의의 용매 또는 필름의 휘발성 성분을 기화시키는 기간 동안 적절한 온도에서 건조될 수 있다. 당업자는 증발될 용매에 기반하여 적절한 온도를 선택한다는 것을 인식할 것이다. 기화 공정 동안, 물의 연무가 기판 상으로 분무되어 필름의 가수분해 반응을 촉진시킬 수 있다.The liquid form of the disclosed metal-containing film forming composition can be applied directly to the center of the substrate or can be applied over the entire substrate by spraying. When applied directly to the center of the substrate, the substrate can be rotated to utilize centrifugal force to distribute the composition evenly over the substrate. Alternatively, the substrate can be dipped into the metal-containing film forming composition. The resulting film can be dried at an appropriate temperature for a period of time to vaporize any solvent or volatile components of the film. Those skilled in the art will recognize that the appropriate temperature is selected based on the solvent to be evaporated. During the vaporization process, a mist of water may be sprayed onto the substrate to promote the hydrolysis reaction of the film.

원하는 필름 두께를 얻으면, 필름은 열적 어닐링, 노-어닐링(furnace-annealing), 급속 열적 어닐링(rapid thermal annealing), UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 공정을 거칠 수 있다. 당업자는 이들 추가 가공 단계를 수행하는 데 이용되는 시스템 및 방법을 인식한다. 예를 들어, 금속-함유 필름은 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 이들의 조합 하에서 대략 200℃ 및 대략 1000℃ 범위의 온도에 대략 0.1초 내지 대략 7200초 범위의 시간 동안 노출될 수 있다. 가장 바람직하게는, 온도는 H-함유 분위기 하에 3600초 미만 동안 600℃이다. 생성된 필름은 더 적은 불순물을 함유할 수 있으며, 따라서 개선된 성능 특징을 가질 수 있다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 꺼낼 수 있고, 별도의 장치에서 어닐링/플래시 어닐링 공정이 수행된다. 금속-함유 필름의 탄소 및 질소 오염을 감소시키는 데 상기 임의의 후처리 방법은 효과적이지만, 특히 열적 어닐링이 효과적인 것으로 밝혀졌다.Once the desired film thickness is achieved, the film can be subjected to additional processes such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure. . Those skilled in the art will recognize the systems and methods used to perform these additional processing steps. For example, the metal-containing film can be heated under an inert atmosphere, an H-containing atmosphere, an N-containing atmosphere, an O-containing atmosphere, or a combination thereof, at a temperature ranging from approximately 200° C. to approximately 1000° C. for a period of from approximately 0.1 seconds to approximately 7200 seconds. Exposure may occur for a range of times. Most preferably, the temperature is 600° C. for less than 3600 seconds in an H-containing atmosphere. The resulting film may contain fewer impurities and therefore may have improved performance characteristics. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate can be removed from the reaction chamber and the annealing/flash annealing process is performed in a separate apparatus. Although any of the above post-treatment methods have been found to be effective in reducing carbon and nitrogen contamination of metal-containing films, thermal annealing has been found to be particularly effective.

실시예Example

본 발명의 실시형태를 추가로 예시하기 위해 다음의 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것도 아니다.The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all-inclusive, nor are they intended to limit the scope of the invention described herein.

알루미늄 오픈 컵에 의해 대기압(1000 mBar, N2 220 sccm) 또는 진공(20 mBar, N2 20 sccm) 하에서 열중량(TG) 분석을 25℃ 내지 500℃에서 수행하였다. 나프탈렌을 외부 표준물로서 사용하여 60℃ 내지 180℃의 TG 분석에 의해 증기압(VP)을 결정하였다. 300℃ 또는 400℃까지 Au-코팅된 밀폐 팬으로 시차 주사 열량(DSC)을 측정하였다.Thermogravimetric (TG) analysis was performed at 25°C to 500°C under atmospheric pressure (1000 mBar, N 2 220 sccm) or vacuum (20 mBar, N 2 20 sccm) in an aluminum open cup. Vapor pressure (VP) was determined by TG analysis from 60°C to 180°C using naphthalene as an external standard. Differential scanning calorimetry (DSC) was measured with Au-coated sealed pans up to 300°C or 400°C.

비스(알킬-아렌)금속 착물을 보고된 방법(문헌[V. S. Asirvatham et al. Organometallics 2001, 20, 1687-1688; L. Calucci et al. Dalton Trans. 2006, 4228-4234])에 의해 제조하였다.The bis(alkyl-arene)metal complex was prepared by reported methods (VS Asirvatham et al. Organometallics 2001 , 20 , 1687-1688; L. Calucci et al. Dalton Trans. 2006 , 4228-4234).

비교 실시예 1 - 순수한 Mo(에틸-벤젠)2 대 시판되는 Mo(에틸-벤젠)2의 열 특성 Comparative Example 1 - Thermal properties of pure Mo(ethyl-benzene) 2 versus commercially available Mo(ethyl-benzene) 2

도 4는 Mo(메시틸렌)2의 대기 중의 TG 분석이다. 이는 Mo(메시틸렌)2가 이러한 조건 하에서 분해 없이 증발됨을 보여준다. 화합물의 증기압은 143℃에서 1 Torr이다. Mo(메시틸렌)2의 DSC 결과(도 5)는 약 105℃에서 융점 및 248℃에서 분해점을 나타낸다. 결과가 하기 표 1에서 다른 화합물과 비교되어 있다. Figure 4 is a TG analysis of Mo(mesitylene) 2 in air. This shows that Mo(mesitylene) 2 evaporates without decomposition under these conditions. The vapor pressure of the compound is 1 Torr at 143°C. DSC results for Mo(mesitylene) 2 ( Figure 5 ) show a melting point at approximately 105°C and a decomposition point at 248°C. The results are compared with other compounds in Table 1 below.

실시예 2 - 순수한 Mo(1,3,5-Et3-벤젠)2의 열 특성 Example 2 - Thermal properties of pure Mo(1,3,5-Et 3 -benzene) 2

수득된 분자는 주변 온도에서 오일이다. 도 6은 Mo(1,3,5-Et3-벤젠)2의 대기 중의 TG 분석이다. 이는 Mo(1,3,5-Et3-벤젠)2가 이러한 조건 하에서 분해 없이 증발됨을 보여준다. 화합물의 증기압은 151℃에서 1 Torr이다. Mo(1,3,5-Et3-벤젠)2의 DSC 결과(도 7)는 246℃에서 분해점을 나타낸다. 결과가 하기 표 1에서 다른 화합물과 비교되어 있다.The obtained molecule is oil at ambient temperature. Figure 6 is an atmospheric TG analysis of Mo(1,3,5-Et 3 -benzene) 2 . This shows that Mo(1,3,5-Et 3 -benzene) 2 evaporates without decomposition under these conditions. The vapor pressure of the compound is 1 Torr at 151°C. DSC results of Mo(1,3,5-Et 3 -benzene) 2 ( FIG. 7 ) show a decomposition point at 246°C. The results are compared with other compounds in Table 1 below.

실시예 3 - 순수한 Mo(m-자일렌)2의 열 특성 Example 3 - Thermal properties of pure Mo( m -xylene) 2

도 8은 Mo(m-자일렌)2의 대기 중의 TG 분석을 나타낸다. 이는 Mo(m-자일렌)2가 이러한 조건 하에서 분해 없이 증발됨을 보여준다. 화합물의 증기압은 130℃에서 1 Torr이다. Mo(m-자일렌)2의 DSC 결과(도 9)는 약 110℃에서 융점 및 280℃에서 분해점을 나타낸다. 결과가 하기 표 1에서 다른 화합물과 비교되어 있다. Figure 8 shows the atmospheric TG analysis of Mo(m-xylene) 2 . This shows that Mo(m-xylene) 2 evaporates without decomposition under these conditions. The vapor pressure of the compound is 1 Torr at 130℃. DSC results for Mo(m-xylene) 2 ( Figure 9 ) show a melting point at approximately 110°C and a decomposition point at 280°C. The results are compared with other compounds in Table 1 below.

실시예 4 - 순수한 Mo(톨루엔)2의 열 특성 Example 4 - Thermal properties of pure Mo(toluene) 2

도 10은 Mo(톨루엔)2의 대기 중의 TG 분석이다. 화합물의 증기압은 133℃에서 1 Torr이다. Mo(톨루엔)2의 DSC 결과(도 11)는 72℃에서 융점 및 252℃에서 분해점을 나타낸다. 결과가 하기 표 1에서 다른 화합물과 비교되어 있다. Figure 10 is a TG analysis of Mo(toluene) 2 in air. The vapor pressure of the compound is 1 Torr at 133°C. DSC results of Mo(toluene) 2 ( Figure 11 ) show a melting point at 72°C and a decomposition point at 252°C. The results are compared with other compounds in Table 1 below.

[표 1][Table 1]

실시예 5 - 공반응물 없이 Mo(m-자일렌)2에 의한 Mo 함유 필름 증착 Example 5 - Deposition of Mo-containing films by Mo( m -xylene) 2 without co-reactants

Mo(m-자일렌)2를 120℃에서 가열하고, 그 증기를 30분 동안 150 sccm Ar을 공급함으로써 반응 챔버에 제공하였다. 이때에, 챔버를 420℃에서 가열하였다. 수득된 필름을 XPS 및 SEM에 의해 분석하였다. 이는 증착된 필름이 필름 내에 Mo 및 C를 갖고 두께가 25.9 내지 31 nm임을 나타내었다. 도 12는 Mo(m-자일렌)2의 화학 기상 증착의 XPS에 의한 증착된 필름의 원자 프로파일이다(정사각형: 몰리브덴, 삼각형: 탄소, 채워진 원: 산소, 및 빈 원: 규소). 도 13은 Mo(m-자일렌)2의 열분해 증착의 SEM 데이터이다.Mo( m- xylene) 2 was heated at 120° C., and its vapor was provided to the reaction chamber by supplying 150 sccm Ar for 30 minutes. At this time, the chamber was heated to 420°C. The obtained film was analyzed by XPS and SEM. This indicated that the deposited film had Mo and C in the film and had a thickness of 25.9 to 31 nm. Figure 12 is the atomic profile of the deposited film by XPS of chemical vapor deposition of Mo( m- xylene) 2 (squares: molybdenum, triangles: carbon, filled circles: oxygen, and empty circles: silicon). Figure 13 is SEM data of pyrolytic deposition of Mo( m -xylene) 2 .

실시예 6 - 공반응물로서 H2를 사용하여 Mo(m-자일렌)2에 의한 Mo 함유 필름 증착 Example 6 - Deposition of Mo-containing films by Mo( m- xylene) 2 using H 2 as co-reactant

Mo(m-자일렌)2를 120℃에서 가열하고, 그 증기를 30분 동안 150 sccm Ar을 공급함으로써 반응 챔버에 제공하였다. 챔버를 420℃에서 가열하고, 공반응물로서 50 sccm의 H2를 반응 챔버에 제공하였다. 수득된 필름을 XPS 및 SEM에 의해 분석하였다. 이는 증착된 필름이 필름 내에 Mo 및 C를 갖고 두께가 84.7 내지 84.8 nm임을 나타내었다. 도 14는 H2를 사용하는 Mo(m-자일렌)2의 화학 기상 증착의 XPS에 의한 증착된 필름의 원자 프로파일이다(정사각형: 몰리브덴, 삼각형: 탄소, 채워진 원: 산소, 및 빈 원: 규소). 도 15는 H2를 사용하는 Mo(m-자일렌)2의 화학 기상 증착의 SEM 데이터이다.Mo( m- xylene) 2 was heated at 120° C., and its vapor was provided to the reaction chamber by supplying 150 sccm Ar for 30 minutes. The chamber was heated at 420° C., and 50 sccm of H 2 as a co-reactant was provided to the reaction chamber. The obtained film was analyzed by XPS and SEM. This indicated that the deposited film had Mo and C in the film and had a thickness of 84.7 to 84.8 nm. Figure 14 is the atomic profile of the deposited film by XPS of chemical vapor deposition of Mo( m- xylene) 2 using H 2 (squares: molybdenum, triangles: carbon, filled circles: oxygen, and empty circles: silicon) ). Figure 15 is SEM data of chemical vapor deposition of Mo( m- xylene) 2 using H 2 .

예측 실시예 1 - Mo(알킬-아렌)2를 사용하여 수득된 순수한 Mo 필름 Predictive Example 1 - Pure Mo film obtained using Mo(alkyl-arene) 2

수소, 다른 환원제, 다른 공반응물, 또는 이들의 조합과 같은 공반응물을 200℃ 내지 400℃ 범위의 증착 온도에서 사용하는 경우 보다 많이 순수하거나 덜 오염된 Mo 필름이 수득될 수 있다. 예시적인 Mo(알킬-아렌)2에는 Mo(m-자일렌)2, Mo(톨루엔)2, Mo(1,3,5-Et3-벤젠)2, Mo(메시틸렌)2, Mo(에틸-벤젠)2가 포함된다.More pure or less contaminated Mo films can be obtained when co-reactants such as hydrogen, other reducing agents, other co-reactants, or combinations thereof are used at deposition temperatures in the range of 200°C to 400°C. Exemplary Mo(alkyl-arene) 2 include Mo( m -xylene) 2 , Mo(toluene) 2 , Mo(1,3,5-Et 3 -benzene) 2 , Mo(mesitylene) 2 , Mo(ethyl) -benzene) 2 is included.

예측 실시예 2 - W(알킬-아렌)2를 사용하여 수득된 순수한 W 필름 Predictive Example 2 - Pure W film obtained using W(alkyl-arene) 2

보고된 합성 경로에 따라 순수한 W(알킬-아렌)2를 합성하였다. 이러한 분자를 CVD 방식으로 사용하는 경우에, 순수한 W 필름은 수소 또는 다른 환원제와 같은 공반응물을 200℃ 내지 400℃ 범위의 증착 온도에서 사용하는 경우 수득될 수 있는 것으로 예상된다. 예시적인 W(알킬-아렌)2에는 W(m-자일렌)2, W(톨루엔)2, W(1,3,5-Et3-벤젠)2, W(메시틸렌)2, W(에틸-벤젠)2가 포함된다.Pure W(alkyl-arene) 2 was synthesized according to the reported synthetic route. When using these molecules in a CVD manner, it is expected that pure W films can be obtained when co-reactants such as hydrogen or other reducing agents are used at deposition temperatures in the range of 200°C to 400°C. Exemplary W(alkyl-arene) 2 include W( m -xylene) 2 , W(toluene) 2 , W(1,3,5-Et 3 -benzene) 2 , W(mesitylene) 2 , W(ethyl) -benzene) 2 is included.

예측 실시예 3 - Cr(알킬-아렌)2를 사용하여 수득된 순수한 Cr 필름 Predictive Example 3 - Pure Cr film obtained using Cr(alkyl-arene) 2

보고된 합성 경로에 따라 순수한 Cr(알킬-아렌)2를 합성하였다. 이러한 분자를 CVD 방식으로 사용하는 경우에, 순수한 W 필름은 수소 또는 다른 환원제와 같은 공반응물을 200℃ 내지 400℃ 범위의 증착 온도에서 사용하는 경우 수득될 수 있는 것으로 예상된다. 예시적인 Cr(알킬-아렌)2에는 Cr(m-자일렌)2, Cr(톨루엔)2, Cr(1,3,5-Et3-벤젠)2, Cr(메시틸렌)2, Cr(에틸-벤젠)2가 포함된다.Pure Cr(alkyl-arene) 2 was synthesized according to the reported synthetic route. When using these molecules in a CVD manner, it is expected that pure W films can be obtained when co-reactants such as hydrogen or other reducing agents are used at deposition temperatures in the range of 200°C to 400°C. Exemplary Cr(alkyl-arene) 2 include Cr( m -xylene) 2 , Cr(toluene) 2 , Cr(1,3,5-Et 3 -benzene) 2 , Cr(mesitylene) 2 , Cr(ethyl) -benzene) 2 is included.

본원에 기재된 청구 대상은 사용자-상호작용 구성요소를 갖는 컴퓨팅 응용에 대한 하나 이상의 컴퓨팅 응용 특징/작동을 처리하기 위한 예시적인 구현예와 관련하여 기재될 수 있지만, 청구 대상은 이러한 특정 실시형태로 한정되지 않는다. 오히려, 본원에 기술된 기술은 어떠한 적합한 유형의 사용자-상호작용 구성요소 실행 관리 방법, 시스템, 플랫폼 및/또는 장치에도 적용될 수 있다.Although the claimed subject matter described herein may be described with respect to example implementations for processing one or more computing application features/operations for computing applications with user-interaction components, the claimed subject matter is limited to such specific embodiments. It doesn't work. Rather, the techniques described herein may be applied to any suitable type of user-interactive component execution management method, system, platform and/or device.

본 발명의 본질을 설명하기 위해 본원에서 설명되고 예시된 세부 사항, 물질, 단계, 및 부품 배열의 다수의 추가적인 변경이 첨부된 청구범위에 나타나 있는 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 실시형태에 한정되는 것은 아니다.Numerous further modifications of the details, materials, steps, and arrangements of parts described and illustrated herein for the purpose of explaining the essence of the invention may be made by those skilled in the art within the spirit and scope of the invention as set forth in the appended claims. You will understand that it can be done. Accordingly, the present invention is not limited to the specific embodiments of the above-described embodiments and/or the accompanying drawings.

본 발명의 실시형태가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어나지 않는 범위 내에서, 당업자에 의해 이들의 수정이 이루어질 수 있다. 본원에 기술된 실시형태는 단지 예시적이며 비제한적이다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 본 발명의 범위 내에 있다. 따라서, 보호 범위는 본원에 기술된 실시형태에 한정되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 청구 대상에 대한 모든 균등물을 포함한다.Although embodiments of the invention have been presented and described, modifications may be made by those skilled in the art without departing from the spirit or teachings of the invention. The embodiments described herein are illustrative only and not limiting. Many variations and modifications of the compositions and methods are possible and are within the scope of the present invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is limited only by the following claims, which scope includes all equivalents to the subject matter of the claims.

Claims (15)

기판 상에 금속-함유 필름을 형성하는 방법으로서,
상기 기판을 금속-함유 전구체를 함유하는 필름 형성 조성물의 증기에 노출시키는 단계; 및
기상 증착 공정을 통해 상기 금속-함유 전구체의 적어도 일부를 상기 기판 상으로 증착하여 상기 기판 상에 상기 금속-함유 필름을 형성하는 단계
를 포함하며,
상기 금속-함유 전구체는 순수한 M(알킬-아렌)2 전구체이며, 여기서 M은 Cr, Mo 또는 W이고;
아렌은
이고,
식 중, R1, R2, R3, R4, R5 및 R6 각각은 H, C1-C6 알킬, C1-C6 알케닐, C1-C6 알킬페닐, C1-C6 알케닐페닐, 또는 -SiXR7R8로부터 독립적으로 선택되고, 여기서 X는 F, Cl, Br, I로부터 선택되고, R7, R8 각각은 H, C1-C6 알킬, C1-C6 알케닐로부터 선택되는, 방법.
A method of forming a metal-containing film on a substrate, comprising:
exposing the substrate to a vapor of a film forming composition containing a metal-containing precursor; and
Depositing at least a portion of the metal-containing precursor onto the substrate through a vapor deposition process to form the metal-containing film on the substrate.
Includes,
The metal-containing precursor is a pure M(alkyl-arene) 2 precursor, where M is Cr, Mo, or W;
Aren is
ego,
In the formula, R 1 , R 2 , R 3 , R 4 , R 5 and R 6 each represent H, C 1 -C 6 alkyl, C 1 -C 6 alkenyl, C 1 -C 6 alkylphenyl, C 1 - independently selected from C 6 alkenylphenyl , or -SiXR 7 R 8 , wherein -C 6 alkenyl.
제1항에 있어서,
상기 순수한 M(알킬-아렌)2 전구체는 Mo(톨루엔)2, Mo(Et-벤젠)2, Mo(o-자일렌)2, Mo(m-자일렌)2, Mo(p-자일렌)2, Mo(메시틸렌)2, Mo(알릴-벤젠)2, Mo(1,3,5-Et3-벤젠)2, Mo[(Me2Si-Cl)-벤젠]2, Mo(스티렌)2, Mo(테트라메틸실란-벤젠)2, Mo[(4-비닐페닐)벤젠]2, Mo(벤젠)(Et-벤젠), Mo(듀렌)2, Mo(C6H5-2H)2, Cr(톨루엔)2, Cr(Et-벤젠)2, Cr(o-자일렌)2, Cr(m-자일렌)2, Cr(p-자일렌)2, Cr(메시틸렌)2, Cr(알릴-벤젠)2, Cr(1,3,5-Et3-벤젠)2, Cr[(Me2Si-Cl)-벤젠]2, Cr(스티렌)2, Cr(테트라메틸실란-벤젠)2, Cr[(4-비닐페닐)벤젠]2, Cr(벤젠)(Et-벤젠), Cr(듀렌)2, Cr(C6H5-2H)2, W(톨루엔)2, W(Et-벤젠)2, W(o-자일렌)2, W(m-자일렌)2, W(p-자일렌)2, W(메시틸렌)2, W(알릴-벤젠)2, W(1,3,5-Et3-벤젠)2, W[(Me2Si-Cl)-벤젠]2, W(스티렌)2, W(테트라메틸실란-벤젠)2, W[(4-비닐페닐)벤젠]2, W(벤젠)(Et-벤젠), W(듀렌)2, 또는 W(C6H5-2H)2로부터 선택되는, 방법.
According to paragraph 1,
The pure M (alkyl-arene) 2 precursor is Mo (toluene) 2 , Mo (Et-benzene) 2 , Mo ( o -xylene) 2 , Mo ( m -xylene) 2 , Mo( p -xylene) 2 , Mo(mesitylene) 2 , Mo(allyl-benzene) 2 , Mo(1,3,5-Et 3 -benzene) 2 , Mo[(Me 2 Si-Cl)-benzene] 2 , Mo(styrene) 2 , Mo(tetramethylsilane-benzene) 2 , Mo[(4-vinylphenyl)benzene] 2 , Mo(benzene)(Et-benzene), Mo(durene) 2 , Mo(C 6 H 5-2 H ) 2 , Cr (toluene) 2 , Cr (Et-benzene) 2 , Cr ( o -xylene) 2 , Cr ( m -xylene) 2 , Cr ( p -xylene) 2 , Cr (mesitylene) 2 , Cr(allyl-benzene) 2 , Cr(1,3,5-Et 3 -benzene) 2 , Cr[(Me 2 Si-Cl)-benzene] 2 , Cr(styrene) 2 , Cr(tetramethylsilane-benzene ) 2 , Cr[(4-vinylphenyl)benzene] 2 , Cr(benzene)(Et-benzene), Cr(durene) 2 , Cr(C 6 H 5 - 2 H) 2 , W(toluene) 2 , W (Et-benzene) 2 , W( o -xylene) 2 , W( m -xylene) 2 , W( p -xylene) 2 , W(mesitylene) 2 , W(allyl-benzene) 2 , W (1,3,5-Et 3 -benzene) 2 , W[(Me 2 Si-Cl)-benzene] 2 , W(styrene) 2 , W(tetramethylsilane-benzene) 2 , W[(4-vinyl phenyl)benzene] 2 , W(benzene)(Et-benzene), W(durene) 2 , or W(C 6 H 5 - 2 H) 2 .
제1항에 있어서,
상기 M(알킬-아렌)2 전구체는 Mo(m-자일렌)2인, 방법.
According to paragraph 1,
The M(alkyl-arene) 2 precursor is Mo( m -xylene) 2 , method.
제1항에 있어서,
상기 M(알킬-아렌)2 전구체는 Mo(톨루엔)2인, 방법.
According to paragraph 1,
The M(alkyl-arene) 2 precursor is Mo(toluene) 2 , method.
제1항에 있어서,
상기 M(알킬-아렌)2 전구체는 Mo(1,3,5-Et3-벤젠)2인, 방법.
According to paragraph 1,
The M(alkyl-arene) 2 precursor is Mo(1,3,5-Et 3 -benzene) 2 , method.
제1항에 있어서,
상기 M(알킬-아렌)2 전구체는 Mo(메시틸렌)2인, 방법.
According to paragraph 1,
The M(alkyl-arene) 2 precursor is Mo(mesitylene) 2 , method.
제1항에 있어서,
상기 순수한 M(알킬-아렌)2 전구체의 순도는 85% w/w 초과인, 방법.
According to paragraph 1,
The purity of the pure M(alkyl-arene) 2 precursor is greater than 85% w/w.
제1항에 있어서,
상기 순수한 M(알킬-아렌)2 전구체의 분해 온도는 대략 240℃ 초과인, 방법.
According to paragraph 1,
The method of claim 1 , wherein the decomposition temperature of the pure M(alkyl-arene) 2 precursor is greater than approximately 240°C.
제1항 있어서,
상기 필름 형성 조성물은 N2, He, Ne, Ar, Kr, Xe 또는 이들의 조합으로부터 선택되는 불활성 캐리어 가스를 포함하는, 방법.
In clause 1,
The method of claim 1 , wherein the film forming composition comprises an inert carrier gas selected from N 2 , He, Ne, Ar, Kr, Xe, or combinations thereof.
제1항에 있어서,
상기 기판을 공반응물에 노출시키는 단계를 추가로 포함하는, 방법.
According to paragraph 1,
The method further comprising exposing the substrate to a co-reactant.
제1항 내지 제10항 중 어느 한 항에 있어서,
상기 공반응물을 플라즈마 처리하는 단계를 추가로 포함하는, 방법.
According to any one of claims 1 to 10,
The method further comprising plasma treating the co-reactant.
제1항 내지 제10항 중 어느 한 항에 있어서,
상기 공반응물은 SiH2Cl2, SiH2I2, SiHCl3, SiCl4, SiBr4, Si2Cl6, Si2Br6, Si2HCl5, Si3Cl8, CH2I2, CH3I, C2H5I, C4H9I 또는 C6H5I로부터 선택되는 할로실란, 폴리할로디실란(할로 = F, Cl, Br, I), 유기할라이드인, 방법.
According to any one of claims 1 to 10,
The co-reactants are SiH 2 Cl 2 , SiH 2 I 2 , SiHCl 3 , SiCl 4 , SiBr 4 , Si 2 Cl 6 , Si 2 Br 6 , Si 2 HCl 5 , Si 3 Cl 8 , CH 2 I 2 , CH 3 I, a halosilane selected from C 2 H 5 I, C 4 H 9 I or C 6 H 5 I, a polyhalodisilane (halo = F, Cl, Br, I), an organohalide.
제1항 내지 제10항 중 어느 한 항에 있어서,
상기 공반응물은 O2, O3, H2O, H2O2, N2O, NO, NO2, O· 또는 OH· 라디칼, 또는 이들의 혼합물로부터 선택되는, 방법.
According to any one of claims 1 to 10,
The method of claim 1 , wherein the co-reactant is selected from O 2 , O 3 , H 2 O, H 2 O 2 , N 2 O, NO, NO 2 , O · or OH · radicals, or mixtures thereof.
제1항 내지 제10항 중 어느 한 항에 있어서,
상기 공반응물은 H2, NH3, N2H4, Me-N2H4, Me2N2H2, SiH4, Si2H6, Si3H8, Si4H10, SiH2Me2, SiH2Et2, N(SiH3)3, NH3 라디칼, H2 라디칼, 또는 이들의 조합으로부터 선택되는, 방법.
According to any one of claims 1 to 10,
The co-reactants are H 2 , NH 3 , N 2 H 4 , Me-N 2 H 4 , Me 2 N 2 H 2 , SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , SiH 2 Me. 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , NH 3 radical, H 2 radical, or combinations thereof.
제1항 내지 제10항 중 어느 한 항에 있어서,
상기 공반응물은 NH3, NO, N2O, 히드라진, N2 플라즈마, N2/H2 플라즈마, NH3 플라즈마, 아민 및 이들의 조합으로부터 선택되는, 방법.
According to any one of claims 1 to 10,
The method of claim 1, wherein the co-reactant is selected from NH 3 , NO, N 2 O, hydrazine, N 2 plasma, N 2 /H 2 plasma, NH 3 plasma, amines, and combinations thereof.
KR1020237043405A 2021-05-21 2022-05-20 Stable bis(alkyl-arene) transition metal complex and film deposition method using the same KR20240008929A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/327,045 US20220372053A1 (en) 2021-05-21 2021-05-21 Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
US17/327,045 2021-05-21
PCT/US2022/030169 WO2022246140A1 (en) 2021-05-21 2022-05-20 Stable bis (alkyl-arene) transition metal complexes and methods of film deposition using the same

Publications (1)

Publication Number Publication Date
KR20240008929A true KR20240008929A (en) 2024-01-19

Family

ID=84104464

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237043405A KR20240008929A (en) 2021-05-21 2022-05-20 Stable bis(alkyl-arene) transition metal complex and film deposition method using the same

Country Status (6)

Country Link
US (1) US20220372053A1 (en)
EP (1) EP4341459A1 (en)
KR (1) KR20240008929A (en)
CN (1) CN117642523A (en)
TW (1) TW202300500A (en)
WO (1) WO2022246140A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230287022A1 (en) * 2022-03-14 2023-09-14 Applied Materials, Inc. Non-Halide Oxygen-Free Organometallic Precursors for ALD/CVD of Metallization
WO2024030729A1 (en) 2022-08-05 2024-02-08 Versum Materials Us, Llc Liquid molybdenum bis(arene) compositions for deposition of molybdenum-containing films

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4386177B2 (en) * 2004-01-26 2009-12-16 Jsr株式会社 Conductive laminated film and method for forming the same
WO2007064376A2 (en) * 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
JP6924824B2 (en) * 2016-09-28 2021-08-25 コミサーリャ ア レナジー アトミック エー オー エナジー アルタナティブCommissariat A L’Energie Atomique Et Aux Energies Alternatives Reactor components with amorphous CrC coating, DLI-MOCVD manufacturing method and use for oxidation / hydrogenation
US11560625B2 (en) * 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
CN112335021A (en) * 2018-06-22 2021-02-05 应用材料公司 Catalytic deposition of metal films
US11761081B2 (en) * 2018-10-10 2023-09-19 Entegris, Inc. Methods for depositing tungsten or molybdenum films

Also Published As

Publication number Publication date
CN117642523A (en) 2024-03-01
EP4341459A1 (en) 2024-03-27
US20220372053A1 (en) 2022-11-24
TW202300500A (en) 2023-01-01
WO2022246140A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
KR102536435B1 (en) Group 6 film forming compositions for vapor deposition of group 6 transition metal-containing films
US20160002786A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
JP2015528011A (en) Organosilane precursor for ALD / CVD silicon-containing films
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
KR20240008929A (en) Stable bis(alkyl-arene) transition metal complex and film deposition method using the same
US20160010204A1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US20210032275A1 (en) Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same
JP7426538B2 (en) Heteroalkylcyclopentadienyl indium-containing precursors and methods of use thereof for the deposition of indium-containing layers
US20220119939A1 (en) Method of improving step coverage using an inhibitor molecule for high aspect ratio structures
TWI831250B (en) Gallium precursors for deposition of gallium-containing oxide films
US20220254628A1 (en) Method and system for forming boron nitride on a surface of a substrate
KR20240018617A (en) Gallium precursors for deposition of gallium-containing oxide films
WO2019030117A1 (en) Ge-CONTAINING Co-FILM FORMING MATERIAL, Ge-CONTAINING Co FILM AND FILM FORMING METHOD THEREOF