KR20240007234A - Automatic electrostatic chuck bias compensation during plasma processing - Google Patents

Automatic electrostatic chuck bias compensation during plasma processing Download PDF

Info

Publication number
KR20240007234A
KR20240007234A KR1020237042641A KR20237042641A KR20240007234A KR 20240007234 A KR20240007234 A KR 20240007234A KR 1020237042641 A KR1020237042641 A KR 1020237042641A KR 20237042641 A KR20237042641 A KR 20237042641A KR 20240007234 A KR20240007234 A KR 20240007234A
Authority
KR
South Korea
Prior art keywords
voltage
during
substrate
electrode
clamping
Prior art date
Application number
KR1020237042641A
Other languages
Korean (ko)
Inventor
린잉 쿠이
제임스 로저스
레오니드 도프
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/319,007 external-priority patent/US11791138B2/en
Priority claimed from US17/319,013 external-priority patent/US11948780B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240007234A publication Critical patent/KR20240007234A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Abstract

본 개시내용의 실시예들은 기판을 펄스식 직류(DC) 바이어싱 및 클램핑하기 위한 시스템에 관한 것이다. 하나의 실시예에서, 시스템은 기판을 지지하기 위한 정전 척(ESC)을 갖는 플라즈마 챔버를 포함한다. 전극이 ESC에 임베딩되며 바이어싱 및 클램핑 네트워크에 전기적으로 결합된다. 바이어싱 및 클램핑 네트워크는 적어도 성형된 DC 펄스 전압 소스 및 클램핑 네트워크를 포함한다. 클램핑 네트워크는 DC 소스 및 다이오드, 및 저항기를 포함한다. 성형된 DC 펄스 전압 소스 및 클램핑 네트워크는 병렬로 연결된다. 바이어싱 및 클램핑 네트워크는, 기판이 펄스식 DC 전압을 사용하여 바이어싱될 때 전극과 기판에 걸친 전압 강하인 실질적으로 일정한 클램핑 전압을 자동으로 유지하여, 기판의 개선된 클램핑으로 이어진다.Embodiments of the present disclosure relate to a system for pulsed direct current (DC) biasing and clamping of a substrate. In one embodiment, the system includes a plasma chamber with an electrostatic chuck (ESC) to support a substrate. Electrodes are embedded in the ESC and electrically coupled to a biasing and clamping network. The biasing and clamping network includes at least a shaped DC pulse voltage source and a clamping network. The clamping network includes a DC source and diode, and a resistor. The shaped DC pulse voltage source and clamping network are connected in parallel. The biasing and clamping network automatically maintains a substantially constant clamping voltage, the voltage drop across the electrode and substrate when the substrate is biased using a pulsed DC voltage, leading to improved clamping of the substrate.

Description

플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상Automatic electrostatic chuck bias compensation during plasma processing

[0001] 본 개시내용의 실시예들은 일반적으로 반도체 제조에서 사용되는 시스템에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 플라즈마 프로세싱 동안 기판을 바이어싱하고 클램핑하기 위한 시스템에 관한 것이다.[0001] Embodiments of the present disclosure generally relate to systems used in semiconductor manufacturing. More specifically, embodiments of the present disclosure relate to a system for biasing and clamping a substrate during plasma processing.

[0002] 이온 충격은 반도체 기판을 프로세싱하기 위한 플라즈마 에칭 및 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD) 프로세스들에서 화학적 및 물리적 프로세스들을 위한 활성화 에너지의 소스로서 종종 사용된다. 플라즈마 외장(plasma sheath)에 의해 가속되는 고에너지 이온들은 또한 고도로 지향성이며 높은 종횡비 피처들을 에칭하기 위해 사용될 수 있다. 종래에, 기판은 RF 소스로부터의 라디오 주파수(radio frequency; RF) 전력을 사용하여 바이어싱될 수 있다. RF 소스는 정전 척(electrostatic chuck; ESC) 또는 캐소드에 임베딩되는 제1 전극에 RF 전압을 공급한다. 제1 전극은 ESC의 일부인 세라믹의 층을 통해 프로세싱 챔버의 플라즈마에 용량 결합된다. 플라즈마 외장의 비선형적인 다이오드와 같은 성질은 인가된 RF 필드의 정류를 야기하고, 그 결과, 기판과 플라즈마 사이에서 직류(direct-current; DC) 전압 강하, 또는 셀프 바이어스(self-bias)가 나타난다. 이 전압 강하는 기판을 향해 가속되는 이온들의 평균 에너지를 결정한다.[0002] Ion bombardment is often used as a source of activation energy for chemical and physical processes in plasma etching and plasma enhanced chemical vapor deposition (PECVD) processes for processing semiconductor substrates. High energy ions accelerated by a plasma sheath can also be used to etch highly directional, high aspect ratio features. Conventionally, a substrate may be biased using radio frequency (RF) power from an RF source. The RF source supplies an RF voltage to a first electrode that is embedded in an electrostatic chuck (ESC) or cathode. The first electrode is capacitively coupled to the plasma of the processing chamber through a layer of ceramic that is part of the ESC. The nonlinear diode-like nature of the plasma envelope causes rectification of the applied RF field, resulting in a direct-current (DC) voltage drop, or self-bias, between the substrate and the plasma. This voltage drop determines the average energy of the ions accelerated toward the substrate.

[0003] ESC는 ESC에 임베딩되는 제2 전극에 고정된 DC 전압을 인가하여 ESC와 기판 사이에서 전기장을 확립함으로써 기판을 그 상에 배치한다. 전기장은 반대 극성의 전하들을, 각각, 기판과 제2 전극 상에 축적되도록 유도한다. 반대로 분극된 전하들 사이의 정전 인력은 기판을 ESC 쪽으로 끌어당겨 기판을 고정시킨다. 그러나 정전기력은 ESC의 제1 전극에 공급되는 RF 바이어스 전력에 의해 영향을 받아, 기판의 과소 또는 과대 클램핑으로 이어질 수 있다. 또한, 큰 바이어스 전압이 수 킬로볼트가 됨에 따라, 고정된 DC 전압에 대한 셀프 바이어스 전압의 변동은 기판의 아크 방전 또는 갑작스러운 디클램핑 및 파손의 증가된 위험으로 이어질 수 있다. 이것은 특히 펄스식(pulsed) 전압 타입의 기판 바이어싱 기술들 동안 사용되는 매우 높은 바이어스 전력(킬로볼트(kV) 범위)에서 문제가 된다.[0003] The ESC places the substrate on it by applying a fixed DC voltage to a second electrode embedded in the ESC to establish an electric field between the ESC and the substrate. The electric field induces charges of opposite polarity to accumulate on the substrate and the second electrode, respectively. Conversely, the electrostatic attraction between the polarized charges pulls the substrate toward the ESC, thereby securing the substrate. However, electrostatic forces are affected by the RF bias power supplied to the first electrode of the ESC, which can lead to under- or over-clamping of the substrate. Additionally, as large bias voltages become several kilovolts, variations in self-bias voltage relative to a fixed DC voltage can lead to an increased risk of arc discharge or sudden declamping and failure of the substrate. This is particularly problematic at the very high bias powers (kilovolt (kV) range) used during pulsed voltage type substrate biasing techniques.

[0004] 따라서, 기판을 바이어싱하고 클램핑하기 위한 개선된 시스템이 필요하다.[0004] Accordingly, an improved system for biasing and clamping the substrate is needed.

[0005] 본 개시내용의 실시예들은 기판 지지체 어셈블리(substrate support assembly), 파형 생성기, 제1 전력 전달 라인, 클램핑 네트워크, 신호 검출 모듈, 및 컨트롤러를 포함하는 플라즈마 프로세싱 챔버를 제공할 수 있다. 기판 지지체 어셈블리는 기판 지지 표면(substrate supporting surface), 제1 바이어싱 전극, 및 제1 바이어싱 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함한다. 제1 전력 전달 라인은 파형 생성기를 제1 바이어싱 전극에 전기적으로 결합하는데, 제1 전력 전달 라인은 차단 커패시터(blocking capacitor)를 포함한다. 클램핑 네트워크는 차단 커패시터와 바이어싱 전극 사이의 제1 지점에서 제1 전력 전달 라인에 결합되고, 클램핑 네트워크는 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스, 및 제1 지점과 직류(DC) 전압 소스의 출력 사이에 결합되는 차단 저항기(blocking resistor)를 포함한다. 신호 검출 모듈은 차단 커패시터와 바이어싱 전극 사이에 배치되는 지점에서 제1 전력 전달 라인에 결합되는 제1 신호 트레이스로부터 제1 전기 신호를 수신하도록 구성된다. 컨트롤러는 신호 검출 모듈과 통신하도록 그리고 수신된 전기 신호 내에서 수신되는 정보에 기인하여 직류(DC) 전압 소스에 의해 제1 지점에서 제1 전력 전달 라인에 공급되는 전압의 크기를 제어하도록 구성된다.[0005] Embodiments of the present disclosure may provide a plasma processing chamber including a substrate support assembly, a waveform generator, a first power delivery line, a clamping network, a signal detection module, and a controller. The substrate support assembly includes a substrate supporting surface, a first biasing electrode, and a first dielectric layer disposed between the first biasing electrode and the substrate supporting surface. A first power delivery line electrically couples the waveform generator to the first biasing electrode, where the first power delivery line includes a blocking capacitor. The clamping network is coupled to the first power transmission line at a first point between the blocking capacitor and the biasing electrode, the clamping network includes a direct current (DC) voltage source coupled between the first point and ground, and a direct current (DC) voltage source coupled between the first point and ground. DC) includes a blocking resistor coupled between the outputs of the voltage source. The signal detection module is configured to receive a first electrical signal from a first signal trace coupled to the first power transmission line at a point disposed between the blocking capacitor and the biasing electrode. The controller is configured to communicate with the signal detection module and to control the magnitude of the voltage supplied to the first power delivery line at the first point by the direct current (DC) voltage source due to information received within the received electrical signal.

[0006] 본 개시내용의 실시예들은 기판 지지체 어셈블리, 파형 생성기, 제1 전력 전달 라인, 클램핑 네트워크, 및 신호 검출 모듈을 포함하는 플라즈마 프로세싱 챔버를 추가로 제공할 수 있다. 제1 전력 전달 라인은 파형 생성기를 제1 전극에 전기적으로 결합하는데, 제1 전력 전달 라인은 차단 커패시터를 포함한다. 클램핑 네트워크는 차단 커패시터와 제1 전극 사이의 제1 지점에서 제1 전력 전달 라인에 결합되고, 클램핑 네트워크는 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스, 및 제1 지점과 직류(DC) 전압 소스 사이에 결합되는 차단 저항기를 포함한다. 신호 검출 모듈은 차단 커패시터와 제1 전극 사이에 배치되는 지점에서 제1 전력 전달 라인에 결합되는 제1 신호 트레이스로부터 제1 전기 신호를 수신하도록 구성된다.[0006] Embodiments of the present disclosure may further provide a plasma processing chamber including a substrate support assembly, a waveform generator, a first power delivery line, a clamping network, and a signal detection module. A first power delivery line electrically couples the waveform generator to the first electrode, where the first power delivery line includes a blocking capacitor. The clamping network is coupled to the first power transmission line at a first point between the blocking capacitor and the first electrode, the clamping network includes a direct current (DC) voltage source coupled between the first point and ground, and a direct current (DC) source coupled between the first point and ground. DC) includes a blocking resistor coupled between the voltage sources. The signal detection module is configured to receive a first electrical signal from a first signal trace coupled to the first power transmission line at a point disposed between the blocking capacitor and the first electrode.

[0007] 본 개시내용의 실시예들은 기판을 플라즈마 프로세싱하기 위한 방법을 추가로 제공할 수 있으며, 이 방법은: 프로세싱 챔버의 프로세싱 영역 내에서 플라즈마를 생성하는 단계 ― 프로세싱 영역은, 기판 지지 표면, 제1 바이어싱 전극, 및 제1 바이어싱 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함하는 기판 지지체를 포함함 ―; 파형 생성기로부터, 제1 시간 기간 동안, 제1 전력 전달 라인을 통해 제1 바이어싱 전극에 복수의 펄스식 전압 파형들을 전달하는 단계 ― 제1 전력 전달 라인은 파형 생성기와 바이어싱 전극 사이에 배치되는 차단 커패시터를 포함함 ―; 제2 시간 기간 전체 동안 제1 바이어싱 전극으로의 복수의 펄스식 전압 파형들의 전달을 중단하는 단계; 클램핑 네트워크로부터, 제1 바이어싱 전극에 제1 클램핑 전압을 인가하는 단계; 차단 커패시터와 바이어싱 전극 사이에 배치되는 제1 지점에서 제1 전력 전달 라인에 결합되는 신호 트레이스로부터 전기 신호를 수신함으로써, 제1 시간 기간 동안, 전달된 복수의 펄스식 전압 파형들 중 하나 이상의 펄스식 전압 파형들의 적어도 하나의 특성들을 검출하는 단계; 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성을 검출하는 단계; 및 전달된 복수의 펄스식 전압 파형들 중 하나 이상의 펄스식 전압 파형들의 검출된 특성과 제1 시간 기간 및 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성에 기초하여 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계를 포함한다.[0007] Embodiments of the present disclosure may further provide a method for plasma processing a substrate, comprising: generating a plasma within a processing region of a processing chamber, the processing region comprising: a substrate support surface, a first via; a substrate support comprising a thinning electrode and a first dielectric layer disposed between the first biasing electrode and the substrate support surface; delivering a plurality of pulsed voltage waveforms from a waveform generator, during a first period of time, to a first biasing electrode via a first power delivery line, wherein the first power delivery line is disposed between the waveform generator and the biasing electrode. Includes blocking capacitor -; ceasing delivery of the plurality of pulsed voltage waveforms to the first biasing electrode for an entire second period of time; applying a first clamping voltage from the clamping network to the first biasing electrode; One or more pulses of a plurality of pulsed voltage waveforms delivered during a first period of time by receiving an electrical signal from a signal trace coupled to the first power transmission line at a first point disposed between the blocking capacitor and the biasing electrode. detecting at least one characteristic of the equation voltage waveforms; detecting at least one characteristic of an electrical signal received from the signal trace during a second period of time; and a first bias based on the detected characteristic of one or more of the delivered plurality of pulsed voltage waveforms and at least one characteristic of the electrical signal received from the signal trace during the first and second time periods. and adjusting the first clamping voltage applied to the single electrode.

[0008] 본 개시내용의 실시예들은 기판을 플라즈마 프로세싱하기 위한 방법을 추가로 제공할 수 있으며, 이 방법은: 프로세싱 챔버의 프로세싱 영역 내에서 플라즈마를 생성하는 단계 ― 프로세싱 영역은, 기판 지지 표면, 제1 바이어싱 전극, 및 제1 바이어싱 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함하는 기판 지지체를 포함함 ―; 파형 생성기로부터, 제1 시간 기간 동안, 제1 전력 전달 라인을 통해 하나 이상의 파형들을 제1 바이어싱 전극에 전달하는 단계; 제2 시간 기간 동안 제1 바이어싱 전극으로의 하나 이상의 파형들의 전달을 중단하는 단계; 클램핑 네트워크로부터, 제1 바이어싱 전극에 제1 클램핑 전압을 인가하는 단계; 제1 전력 전달 라인 상에 배치되는 제1 지점에서 제1 전력 전달 라인에 결합되는 신호 트레이스로부터 전기 신호를 수신함으로써, 제1 시간 기간 동안 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계; 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성을 검출하는 단계; 및 제1 시간 기간 동안, 신호 트레이스로부터 수신되는 하나 이상의 파형들의 검출된 특성; 및 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 검출된 적어도 하나의 특성에 기초하여 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계를 포함한다.[0008] Embodiments of the present disclosure may further provide a method for plasma processing a substrate, comprising: generating a plasma within a processing region of a processing chamber, the processing region comprising: a substrate support surface, a first via; a substrate support comprising a thinning electrode and a first dielectric layer disposed between the first biasing electrode and the substrate support surface; delivering one or more waveforms from a waveform generator through a first power delivery line to a first biasing electrode during a first period of time; ceasing delivery of one or more waveforms to the first biasing electrode for a second period of time; applying a first clamping voltage from the clamping network to the first biasing electrode; detecting at least one characteristic of one or more waveforms during a first period of time by receiving an electrical signal from a signal trace coupled to the first power transmission line at a first point disposed on the first power transmission line; detecting at least one characteristic of an electrical signal received from the signal trace during a second period of time; and, during a first time period, detected characteristics of one or more waveforms received from the signal trace; and adjusting the first clamping voltage applied to the first biasing electrode based on the at least one detected characteristic of the electrical signal received from the signal trace during the second period of time.

[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들만을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0010] 도 1a는, 하나의 실시예에 따른, 본원에서 설명되는 방법들을 실시하도록 구성되는 프로세싱 챔버의 개략적인 단면도이다.
[0011] 도 1b는, 하나의 실시예에 따른, 도 1a에 예시되는 프로세싱 챔버의 일부의 확대된 개략적 단면도이다.
[0012] 도 1c는, 하나의 실시예에 따른, 도 1a에 예시되는 프로세스 챔버에서 사용될 수 있는 쿨롱(coulombic) 정전 척(ESC)의 기능적으로 등가의 회로 다이어그램이다.
[0013] 도 1d는, 하나의 실시예에 따른, 도 1a에 예시되는 프로세스 챔버에서 사용될 수 있는 존슨 라벡(Johnsen-Rahbek) 정전 척(ESC)의 기능적으로 등가의 회로 다이어그램이다.
[0014] 도 1e는, 하나의 실시예에 따른, 도 1a에 예시되는 피드백 루프를 포함하는 프로세싱 챔버의 예를 예시하는 개략적인 다이어그램이다.
[0015] 도 2a는, 하나의 실시예에 따른, 프로세스 챔버에서 음의(negative) 펄스들을 생성하기 위해 사용될 수 있는 시스템의 기능적으로 등가의 회로 다이어그램이다.
[0016] 도 2b는, 하나의 실시예에 따른, 프로세스 챔버에서 양의(positive) 펄스들을 생성하기 위해 사용될 수 있는 시스템의 기능적으로 등가의 회로 다이어그램이다.
[0017] 도 3a는, 하나의 실시예에 따른, 도 3b에 예시되는 기능적으로 등가의 회로 다이어그램의 상이한 부분들에서 확립되는 펄스식 전압(pulsed voltage; PV) 파형들의 한 예를 예시한다.
[0018] 도 3b는, 하나의 실시예에 따른, 본원에서 설명되는 하나 이상의 방법들을 수행하기 위해 사용될 수 있는 시스템을 예시하는 회로 다이어그램이다.
[0019] 도 4a는, 하나의 실시예에 따른, 바이어싱 전극 및 기판에서 확립되는 음의 펄스식 전압(PV) 파형들의 한 예를 예시한다.
[0020] 도 4b 내지 도 4d는, 하나 이상의 실시예들에 따른, 일련의 펄스 전압(PV) 파형 버스트들의 예들을 예시한다.
[0021] 도 5a는, 하나의 실시예에 따른, 프로세스 챔버 내의 전극에 RF 파형을 전달하기 위해 사용될 수 있는 시스템의 기능적으로 등가의 회로 다이어그램이다.
[0022] 도 5b는, 하나의 실시예에 따른, 도 5a에 예시되는 기능적으로 등가의 회로 다이어그램의 상이한 부분들에서 확립되는 RF 파형들의 한 예를 예시한다.
[0023] 도 6a 및 도 6b는, 하나 이상의 실시예들에 따른, 플라즈마 프로세싱 동안 기판을 바이어싱 및 클램핑하는 방법들을 예시하는 프로세스 흐름도들이다.
[0024] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들은 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있는 것으로 고려된다.
[0009] In such a way that the above-enumerated features of the disclosure may be understood in detail, a more specific description of the disclosure briefly summarized above may be made with reference to the embodiments, some of which are attached. Illustrated in the drawings. However, it should be noted that the accompanying drawings illustrate only exemplary embodiments of the disclosure and should not be considered limiting the scope of the disclosure, but may permit other equally effective embodiments.
[0010] Figure 1A is a schematic cross-sectional view of a processing chamber configured to practice methods described herein, according to one embodiment.
[0011] Figure 1B is an enlarged schematic cross-sectional view of a portion of the processing chamber illustrated in Figure 1A, according to one embodiment.
[0012] FIG. 1C is a functionally equivalent circuit diagram of a coulombic electrostatic chuck (ESC) that may be used in the process chamber illustrated in FIG. 1A, according to one embodiment.
[0013] FIG. 1D is a functionally equivalent circuit diagram of a Johnson-Rahbek electrostatic chuck (ESC) that may be used in the process chamber illustrated in FIG. 1A, according to one embodiment.
[0014] Figure 1E is a schematic diagram illustrating an example of a processing chamber including the feedback loop illustrated in Figure 1A, according to one embodiment.
[0015] Figure 2A is a functionally equivalent circuit diagram of a system that can be used to generate negative pulses in a process chamber, according to one embodiment.
[0016] FIG. 2B is a functionally equivalent circuit diagram of a system that can be used to generate positive pulses in a process chamber, according to one embodiment.
[0017] Figure 3A illustrates an example of pulsed voltage (PV) waveforms established in different portions of the functionally equivalent circuit diagram illustrated in Figure 3B, according to one embodiment.
[0018] FIG. 3B is a circuit diagram illustrating a system that may be used to perform one or more methods described herein, according to one embodiment.
[0019] Figure 4A illustrates an example of negative pulsed voltage (PV) waveforms established at a biasing electrode and substrate, according to one embodiment.
[0020] FIGS. 4B-4D illustrate examples of a series of pulsed voltage (PV) waveform bursts, according to one or more embodiments.
[0021] FIG. 5A is a functionally equivalent circuit diagram of a system that can be used to deliver an RF waveform to an electrode within a process chamber, according to one embodiment.
[0022] Figure 5B illustrates an example of RF waveforms established in different portions of the functionally equivalent circuit diagram illustrated in Figure 5A, according to one embodiment.
[0023] FIGS. 6A and 6B are process flow diagrams illustrating methods of biasing and clamping a substrate during plasma processing, according to one or more embodiments.
[0024] To facilitate understanding, identical reference numbers have been used where possible to designate identical elements that are common to the drawings. It is contemplated that elements and features of one embodiment may be beneficially incorporated into other embodiments without further recitation.

[0025] 본원에서 제공되는 개시내용의 실시예들은 프로세싱 챔버에서 기판을 플라즈마 프로세싱하기 위한 장치 및 방법들을 포함한다. 본원에서 개시되는 실시예들 중 하나 이상의 실시예들의 양태들은 플라즈마 프로세싱 결과들을 개선하기 위해 프로세싱 동안 기판을 신뢰성 있게 바이어싱하고 클램핑하는 시스템 및 방법을 포함한다. 본 개시내용의 실시예들은, 플라즈마 프로세스 동안 기판을 바이어싱 및 클램핑하면서, 하나 이상의 펄스식 전압(PV) 생성기들로부터 전달되는 펄스식 전압(PV) 파형을 프로세싱 챔버 내의 하나 이상의 전극들로 제공하기 위한 장치 및 방법을 포함할 수 있다. 일부 실시예들에서, 라디오 주파수(RF) 생성 RF 파형은 RF 생성기로부터 프로세싱 챔버 내의 하나 이상의 전극들로 제공되어 프로세싱 챔버 내에서 플라즈마를 확립하여 유지하는 한편, PV 생성기로부터 전달되는 PV 파형(들)은 기판의 표면 전체에 걸쳐 거의 일정한 외장 전압을 확립하도록 구성된다. 기판의 표면 전체에 걸친 확립된 거의 일정한 외장 전압은 프로세싱 챔버 내에서 수행되는 하나 이상의 플라즈마 프로세싱 단계들 동안 기판의 표면에서 바람직한 이온 에너지 분포 함수(ion energy distribution function; IEDF)를 생성할 수 있다. 일부 실시예들에서, PV 파형은 플라즈마 프로세싱 챔버 내에 배치되는 기판 지지체 어셈블리 내에 배치되는 바이어싱 전극에 전기적으로 결합되는 PV 생성기에 의해 확립된다.[0025] Embodiments of the disclosure provided herein include apparatus and methods for plasma processing a substrate in a processing chamber. Aspects of one or more of the embodiments disclosed herein include a system and method for reliably biasing and clamping a substrate during processing to improve plasma processing results. Embodiments of the present disclosure provide a pulsed voltage (PV) waveform delivered from one or more pulsed voltage (PV) generators to one or more electrodes in a processing chamber while biasing and clamping a substrate during a plasma process. It may include devices and methods for. In some embodiments, a radio frequency (RF) generated RF waveform is provided from an RF generator to one or more electrodes within the processing chamber to establish and maintain a plasma within the processing chamber, while PV waveform(s) are delivered from a PV generator. is configured to establish a substantially constant sheath voltage across the surface of the substrate. Establishing a substantially constant sheath voltage across the surface of the substrate can produce a desirable ion energy distribution function (IEDF) at the surface of the substrate during one or more plasma processing steps performed within the processing chamber. In some embodiments, the PV waveform is established by a PV generator electrically coupled to a biasing electrode disposed within a substrate support assembly disposed within the plasma processing chamber.

[0026] 플라즈마 프로세스들 중 일부 동안, 이온들이 기판 지지체 어셈블리의 최상부 상에 배치되는 기판 위에서 형성되는 전자 반발 외장(electron-repelling sheath)에서 형성되는 전압 강하에 의해 기판을 향해 의도적으로 가속된다. 본원에서 제공되는 개시내용의 범위에 관해 제한되는 것으로 의도되는 것은 아니지만, 기판 지지체 어셈블리는 본원에서 종종 "캐소드 어셈블리" 또는 "캐소드"로서 지칭된다. 도 1a는, 기판(103) 상에서 수행되고 있는 플라즈마 프로세스 동안 플라즈마(101)가 형성되는 프로세싱 챔버(100)의 개략적인 단면도이다. 본원에서 개시되는 플라즈마 프로세싱 방법들 중 하나 이상의 방법들 동안, 기판 지지체 어셈블리(136) 내에 배치되는 바이어싱 전극(104)(도 1a 및 도 1b)에서 펄스식 전압 파형을 확립하도록 구성되는 펄스식 전압(PV) 생성기(150)의 사용에 의해 이온 가속 캐소드 외장이 플라즈마 프로세싱 동안 일반적으로 형성된다. 일부 실시예들에서, 기판 지지체 어셈블리(136)(도 1a)는 기판 지지체(105) 및 지지체 베이스(107)를 포함한다. 기판 지지체(105)는 기판 수용 표면(105A) 상에서 기판(103)을 "클램핑" 또는 "척킹"(예를 들면, 유지)하도록 구성되는 정전 척(ESC) 어셈블리(105D)를 포함할 수 있다. 일부 실시예들에서, 바이어싱 전극(104)은 정전 척(ESC) 어셈블리(105D) 내에서 형성되는 유전체 재료(105B)(도 1b)의 얇은 층에 의해 기판으로부터 분리되는 척킹 전극 및 선택적으로, 기판(103)이 기판 지지체 어셈블리(136)의 기판 지지 표면(105A) 상에 배치될 때 기판(103)을 둘러싸는 에지 링(114) 내에 또는 그 아래에 배치되는 에지 제어 전극(115)의 일부를 형성한다.[0026] During some of the plasma processes, ions are intentionally accelerated toward the substrate by a voltage drop that forms in an electron-repelling sheath formed over the substrate disposed on top of the substrate support assembly. Although not intended to be a limitation as to the scope of the disclosure provided herein, the substrate support assembly is sometimes referred to herein as a “cathode assembly” or “cathode.” 1A is a schematic cross-sectional view of a processing chamber 100 in which a plasma 101 is formed during a plasma process being performed on a substrate 103. During one or more of the plasma processing methods disclosed herein, a pulsed voltage configured to establish a pulsed voltage waveform at the biasing electrode 104 (FIGS. 1A and 1B) disposed within the substrate support assembly 136. An ion accelerating cathode enclosure is typically formed during plasma processing by use of a (PV) generator 150. In some embodiments, substrate support assembly 136 (FIG. 1A) includes a substrate support 105 and a support base 107. Substrate support 105 may include an electrostatic chuck (ESC) assembly 105D configured to “clamp” or “chucking” (e.g., hold) a substrate 103 on substrate receiving surface 105A. In some embodiments, the biasing electrode 104 includes a chucking electrode separated from the substrate by a thin layer of dielectric material 105B (FIG. 1B) formed within the electrostatic chuck (ESC) assembly 105D and optionally, A portion of the edge control electrode 115 disposed within or beneath the edge ring 114 surrounding the substrate 103 when the substrate 103 is disposed on the substrate support surface 105A of the substrate support assembly 136. forms.

[0027] 플라즈마 프로세싱 동안, 프로세싱 챔버(100)의 프로세싱 볼륨(129)에서 형성되는 진공 압력은 그 내에 배치되는 컴포넌트들의 표면들 사이에서, 예컨대 기판 지지체(105)의 유전체 재료와 기판 수용 표면(105A) 상에 배치되는 기판(103) 사이에서 불량한 열 전도를 야기하는데, 이것은 기판(103)을 가열 또는 냉각함에 있어서 기판 지지체의 효율성을 감소시킨다. 따라서, 기판(103)의 비 디바이스 측 표면과 기판 지지체(105)의 기판 수용 표면(105A) 사이의 열 전달을 개선하기 위해 그들 사이에 배치되는 볼륨(도시되지 않음) 내에서 열 전도성 불활성 열 전달 가스, 전형적으로 헬륨이 도입되어, 증가된 압력(예를 들면, 후면 압력)에서 유지될 필요성이 종종 있다. 열 전달 가스 소스(도시되지 않음)에 의해 제공되는 열 전달 가스는 지지체 베이스(107)를 통해 배치되며 기판 지지체(105)를 통해 추가로 배치되는 가스 전달 경로(도시되지 않음)를 통해 후면 볼륨으로 흐른다.[0027] During plasma processing, vacuum pressure builds up in the processing volume 129 of the processing chamber 100 between the surfaces of components disposed therein, such as on the dielectric material of the substrate support 105 and the substrate receiving surface 105A. This results in poor heat conduction between the disposed substrates 103, which reduces the effectiveness of the substrate support in heating or cooling the substrate 103. Accordingly, the non-device side surface of the substrate 103 and the substrate receiving surface 105A of the substrate support 105 are thermally conductive and inert within a volume (not shown) disposed between them to improve heat transfer. It is often necessary to introduce a gas, typically helium, and maintain it at increased pressure (eg back pressure). Heat transfer gas provided by a heat transfer gas source (not shown) is disposed through the support base 107 and into the rear volume via a gas transfer path (not shown) further disposed through the substrate support 105. It flows.

[0028] 기판 이면에서 더 높은 상대적 압력이 형성되는 것을 가능하게 하기 위한 노력으로, 본원에서 단순히 클램핑 네트워크(116)로서 또한 지칭되는 바이어싱 및 클램핑 네트워크의 사용에 의해 기판(103)을 기판 수용 표면(105A)에 "클램핑" 또는 "척킹(chuck)"하기 위해 클램핑 전압이 바이어싱 전극(104)에 인가된다. 일부 실시예들에서, 클램핑 네트워크(116)는 DC 전압 소스(P2)(도 2a 및 도 2b), 차단 저항기(R1)(도 2a 및 도 2b) 및 다이오드(D1)(도 2a)를 포함하고, 일부 구성들에서는, 저항기(R2)(도 2a 및 도 2b) 및 커패시터(C6)(도 2a 및 도 2b)를 또한 포함할 것이다. 다이오드(D1)의 존재는 바이어싱 전극(104)에서 확립되는 파형과 기판 표면에서 확립되는 파형 사이에서 일정한 전압 차이를 유지하기 위해 사용된다. 일부 실시예들에서, PV 생성기(150) 및 클램핑 네트워크(116)는 병렬로 연결된다. 클램핑 네트워크(116)는, 클램핑 전압을 원하는 클램핑 전압 레벨에서 유지하여 기판(103) 상에서 달성되는 플라즈마 프로세싱 프로세스 결과들을 개선하기 위해 그리고 너무 큰 클램핑 전압의 인가 또는 너무 작은 클램핑 전압의 인가에 기인하여, 클램핑된 기판(103)이 프로세싱 동안 손상되지 않는 것을 보장하기 위해, 바이어싱 전극(104)에 인가되는 클램핑 전압을 자동으로 조정한다. 너무 큰 클램핑 전압의 인가는 "디척킹(de-chucking)" 시간(예를 들면, 기판 수용 표면(105A)에 대한 기판(103)의 인력을 감소시키기 위해 기판에서 형성되는 전하가 소실되는 데 걸리는 시간)을 증가시킬 수 있고 그리고/또는 기판(103)에 대한 너무 큰 "클램핑" 또는 "척킹 힘"의 인가에 기인하여 기판으로 하여금 파손되게 할 수 있고 그리고/또는 기판 후면과 클램핑 전극(104) 사이의 얇은 유전체로 하여금 파괴되게(breakdown) 할 수 있다. 너무 작은 클램핑 전압의 인가는 프로세싱 동안 기판(103)으로 하여금 기판 수용 표면(105A)과의 긴밀한 접촉을 상실하게 할 수 있다. 후면 헬륨이 플라즈마 챔버 안으로 누설될 수 있고 플라즈마 종이 기판 후면의 한 포지션으로 또한 누설될 수 있어서, 기판 후면에서 급격한 압력과 가스 조성 변화를 야기할 수 있다. 그러한 급격한 변화는 기판 후면에서 플라즈마를 점화시켜, 기판 및 정전 척을 손상시킬 수 있다.[0028] In an effort to enable a higher relative pressure to be established behind the substrate, the substrate 103 is clamped to the substrate receiving surface by the use of a biasing and clamping network, also referred to herein simply as clamping network 116. A clamping voltage is applied to biasing electrode 104 to “clamp” or “chuck” 105A. In some embodiments, clamping network 116 includes a DC voltage source (P 2 ) (FIGS. 2A and 2B), a blocking resistor (R 1 ) (FIGS. 2A and 2B), and a diode (D 1 ) (FIG. 2A). and, in some configurations, will also include resistor R 2 ( FIGS. 2A and 2B ) and capacitor C 6 ( FIGS. 2A and 2B ). The presence of the diode D 1 is used to maintain a constant voltage difference between the waveform established at the biasing electrode 104 and the waveform established at the substrate surface. In some embodiments, PV generator 150 and clamping network 116 are connected in parallel. The clamping network 116 maintains the clamping voltage at a desired clamping voltage level to improve the plasma processing process results achieved on the substrate 103 and due to application of a clamping voltage that is too large or too small. To ensure that the clamped substrate 103 is not damaged during processing, the clamping voltage applied to the biasing electrode 104 is automatically adjusted. Application of a clamping voltage that is too large may result in “de-chucking” time (e.g., the time it takes for the charge to build up on the substrate to dissipate to reduce the attractive force of the substrate 103 to the substrate receiving surface 105A). time) and/or may cause the substrate to break due to application of too great a “clamping” or “chucking force” to the substrate 103 and/or the substrate backside and the clamping electrode 104. It can cause the thin dielectric in between to break down. Application of a clamping voltage that is too small may cause the substrate 103 to lose intimate contact with the substrate receiving surface 105A during processing. Backside helium can leak into the plasma chamber and the plasma paper can also leak into a position on the backside of the substrate, causing rapid pressure and gas composition changes at the backside of the substrate. Such sudden changes can ignite the plasma on the backside of the substrate, damaging the substrate and electrostatic chuck.

[0029] 프로세싱 영역(129)에서 형성되는 플라즈마(101)의 플라즈마 전위는 플라즈마 프로세싱 챔버 내에 배치되는 하나 이상의 전극들에 대한 펄스식 전압(PV) 또는 RF 바이어스의 인가에 기인하여 변한다. 하기에서 추가로 논의되는 바와 같이, 플라즈마 프로세스 동안 원하는 클램핑 전압(VDCV)을 신뢰성 있게 생성하기 위해, 프로세싱 동안 클램핑 전극 및 기판(103)에 인가되는 클램핑 전압을 제어할 때 플라즈마 전위에서의 변화들이 고려될 필요가 있다. 하나의 예에서, 플라즈마 전위에서의 변화들은 다수의 펄스 PV 파형의 각각의 펄스 내에서 발생할 것이며, 바이어싱 전극에 인가되는 펄스식 전압 바이어싱 파라미터들이 프로세싱 챔버에서 하나 이상의 기판들을 프로세싱하기 위해 사용되는 기판 프로세싱 레시피 내에서, 또는 기판 프로세싱 레시피마다 변경됨에 따라, PV 생성기(150)에 의해 전달되는 PV 파형들의 특성들이 또한 변경될 때 발생할 것이다. 일정한 클램핑 전압을 제공하며 플라즈마 전위의 변동들을 고려 및 조정하지 않는 종래의 기판 클램핑 시스템들(예를 들면, 정전 척들)은 종종 불량한 플라즈마 프로세싱 결과들을 제공하고 그리고/또는 프로세싱 동안 기판을 손상시킨다.[0029] The plasma potential of the plasma 101 formed in the processing region 129 changes due to the application of a pulsed voltage (PV) or RF bias to one or more electrodes disposed within the plasma processing chamber. As discussed further below, changes in the plasma potential are required when controlling the clamping voltage applied to the clamping electrode and substrate 103 during processing to reliably generate the desired clamping voltage (V DCV ) during the plasma process. needs to be considered. In one example, changes in plasma potential will occur within each pulse of a multiple pulsed PV waveform, and the pulsed voltage biasing parameters applied to the biasing electrode may be used to process one or more substrates in the processing chamber. This will occur when the characteristics of the PV waveforms delivered by PV generator 150 also change as they change within or from substrate processing recipe to substrate processing recipe. Conventional substrate clamping systems (e.g., electrostatic chucks) that provide a constant clamping voltage and do not account for and adjust for fluctuations in plasma potential often provide poor plasma processing results and/or damage the substrate during processing.

[0030] 그러나 플라즈마 전위에서의 변화들이 프로세싱 동안 고려될 수 있도록 그들을 실시간으로 신뢰성 있게 측정 또는 결정하는 능력은 간단한 태스크가 아니다. 다수의 기판들을 연속하여 순차적으로 프로세싱할 수 있는 생산 가치가 있는 플라즈마 프로세싱 챔버에서 클램핑 전압이 바람직하게 조정될 수 있도록 플라즈마 전위의 변동들 또는 변화들을 신뢰성 있게 측정하는 능력은 추가적인 도전 과제이다. 플라즈마 전위 및 기판 DC 바이어스를 측정하는 종래의 방법들은 기판 표면 전위를 직접 측정하기 위해 프로브의 사용을 필요로 하며, 비생산 실험실 테스트에 대해서는 적합하지만, 챔버에서의 그들의 존재는 플라즈마 프로세싱 결과들에 영향을 끼칠 수 있다. 플라즈마 전위와 기판 DC 바이어스를 추정하는 종래의 방법들은 복잡하며, 직접적으로 측정된 기판 표면 DC 바이어스를 몇몇 캘리브레이션 조건들에서 RF 매치에서 측정될 전압, 전류 및 위상 데이터와 상관시키기 위해 하나 이상의 모델들의 사용을 필요로 하며, 생산 디바이스 제조 프로세스들에 사용될 때 플라즈마 전위 및 기판 DC 바이어스를 추정하기 위해 그 모델을 사용한다. 본원에서 설명되는 장치 및 방법들은 시간의 함수로써 플라즈마 전위를 신뢰성 있게 결정한 다음, 측정된 플라즈마 전위에 기초하여 클램핑 전압에 대한 조정들을 제공하기 위해 사용될 수 있다.[0030] However, the ability to reliably measure or determine changes in the plasma potential in real time so that they can be taken into account during processing is not a simple task. The ability to reliably measure fluctuations or changes in plasma potential so that the clamping voltage can be adjusted as desired in a production-worthy plasma processing chamber capable of sequentially processing multiple substrates in succession is an additional challenge. Conventional methods of measuring plasma potential and substrate DC bias require the use of probes to directly measure the substrate surface potential, and while suitable for non-production laboratory testing, their presence in the chamber affects plasma processing results. It can cause harm. Conventional methods for estimating plasma potential and substrate DC bias are complex and involve the use of one or more models to correlate the directly measured substrate surface DC bias with the voltage, current and phase data to be measured at the RF match under several calibration conditions. and use the model to estimate the plasma potential and substrate DC bias when used in production device manufacturing processes. The devices and methods described herein can be used to reliably determine plasma potential as a function of time and then provide adjustments to the clamping voltage based on the measured plasma potential.

플라즈마 프로세싱 챔버 예Plasma processing chamber example

[0031] 도 1a는 플라즈마 프로세싱 동안 복합 부하(complex load; 130)(도 2a 및 도 2b)가 형성되는 프로세싱 챔버(100)를 예시한다. 도 1b는, 하나의 실시예에 따른, 도 1a에 예시되는 기판 지지체 어셈블리(136)의 일부의 확대된 개략적 단면도이다. 일반적으로, 프로세스 챔버(100)는 플라즈마 프로세싱 동안 프로세싱 볼륨(129)에서 플라즈마(101)를 생성, 제어 및 유지하기 위해 하나 이상의 PV 생성기들(150) 및/또는 하나 이상의 RF 생성기들(118)을 활용하도록 구성된다. 도 2a 및 도 2b는 PV 생성기(150)로부터 제공되는 복수의 전압 펄스들을, 플라즈마 프로세싱 챔버(100)에 배치되는 바이어싱 전극(104)에 전달하도록 구성되는 전기 회로, 또는 시스템의 상이한 구성들을 예시한다. 도 2a 및 도 2b에 예시되는 PV 파형 생성기(150)는 프로세싱 챔버(100) 내에 배치되는 제1 PV 소스 어셈블리(196)(도 1a) 내에 배치된다.[0031] 1A illustrates a processing chamber 100 in which a complex load 130 (FIGS. 2A and 2B) is formed during plasma processing. FIG. 1B is an enlarged schematic cross-sectional view of a portion of the substrate support assembly 136 illustrated in FIG. 1A , according to one embodiment. Typically, the process chamber 100 includes one or more PV generators 150 and/or one or more RF generators 118 to generate, control and maintain the plasma 101 in the processing volume 129 during plasma processing. It is designed to be used. 2A and 2B illustrate different configurations of an electrical circuit, or system, configured to deliver a plurality of voltage pulses provided from a PV generator 150 to a biasing electrode 104 disposed in the plasma processing chamber 100. do. The PV waveform generator 150 illustrated in FIGS. 2A and 2B is disposed within a first PV source assembly 196 (FIG. 1A) disposed within the processing chamber 100.

[0032] 프로세싱 챔버(100)는, 하나 이상의 실시예들에 따라, 본원에서 제안되는 바이어싱 방식들 중 하나 이상을 실시하도록 구성된다. 하나의 실시예에서, 프로세싱 챔버(100)는 플라즈마 프로세싱 챔버, 예컨대 반응성 이온 에칭(reactive ion etch; RIE) 플라즈마 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버(100)는 플라즈마 강화 증착 챔버, 예를 들면, 플라즈마 강화 화학적 기상 증착(plasma-enhanced chemical vapor deposition; PECVD) 챔버, 플라즈마 강화 물리적 기상 증착(plasma enhanced physical vapor deposition; PEPVD) 챔버, 또는 플라즈마 강화 원자 층 증착(plasma-enhanced atomic layer deposition; PEALD) 챔버이다. 일부 다른 실시예들에서, 프로세싱 챔버(100)는 플라즈마 처리 챔버, 또는 플라즈마 기반의 이온 주입 챔버, 예를 들면, 플라즈마 도핑(plasma doping; PLAD) 챔버이다. 일부 실시예들에서, 플라즈마 소스는, 기판 지지체 어셈블리(136)에 대향하는, 프로세싱 볼륨(129)에 배치되는 전극(예를 들면, 챔버 덮개(chamber lid; 123))을 포함하는 용량 결합된 플라즈마(capacitively coupled plasma; CCP) 소스이다. 도 1a에 예시되는 바와 같이, 기판 지지체 어셈블리(136) 반대쪽에 포지셔닝되는 대향 전극, 예컨대 챔버 덮개(123)는 접지에 전기적으로 결합된다. 그러나 다른 대안적인 실시예들에서, 대향 전극은 RF 생성기에 전기적으로 결합된다. 여전히 다른 실시예들에서, 프로세싱 챔버(100)는 라디오 주파수(RF) 전력 공급부에 전기적으로 결합되는 유도적으로 결합된 플라즈마(inductively coupled plasma; ICP) 소스를 대안으로 또는 추가로 포함할 수 있다.[0032] Processing chamber 100 is configured to implement one or more of the biasing schemes proposed herein, according to one or more embodiments. In one embodiment, processing chamber 100 is a plasma processing chamber, such as a reactive ion etch (RIE) plasma chamber. In some other embodiments, processing chamber 100 may be a plasma-enhanced chemical vapor deposition (PECVD) chamber, plasma-enhanced physical vapor deposition (PECVD) chamber, or plasma-enhanced physical vapor deposition (PECVD) chamber. ; PEPVD) chamber, or plasma-enhanced atomic layer deposition (PEALD) chamber. In some other embodiments, processing chamber 100 is a plasma processing chamber, or a plasma-based ion implantation chamber, such as a plasma doping (PLAD) chamber. In some embodiments, the plasma source is a capacitively coupled plasma that includes an electrode (e.g., chamber lid 123) disposed in the processing volume 129, opposite the substrate support assembly 136. (capacitively coupled plasma; CCP) source. As illustrated in FIG. 1A , an opposing electrode positioned opposite substrate support assembly 136, such as chamber lid 123, is electrically coupled to ground. However, in other alternative embodiments, the counter electrode is electrically coupled to the RF generator. In still other embodiments, processing chamber 100 may alternatively or additionally include an inductively coupled plasma (ICP) source electrically coupled to a radio frequency (RF) power supply.

[0033] 프로세싱 챔버(100)는, 프로세싱 볼륨(129)을 정의하는, 챔버 덮개(123), 하나 이상의 측벽들(122), 및 챔버 베이스(124)를 포함하는 챔버 본체(113)를 또한 포함한다. 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 프로세싱 챔버(100)의 엘리먼트들에 대한 구조적 지지체를 형성하도록 사이즈가 정해지고 형상이 정해지는 재료들을 일반적으로 포함하며, 프로세싱 동안 프로세싱 챔버(100)의 프로세싱 볼륨(129) 내에서 유지되는 진공 환경 내에서 플라즈마(101)가 생성되는 동안 그 재료들에 인가되는 압력들 및 추가된 에너지를 견디도록 구성된다. 하나의 예에서, 하나 이상의 측벽들(122) 및 챔버 베이스(124)는 금속, 예컨대 알루미늄, 알루미늄 합금, 또는 스테인리스 스틸로부터 형성된다. 챔버 덮개(123)를 통해 배치되는 가스 유입구(128)는 하나 이상의 프로세싱 가스들을 프로세싱 볼륨(129)에, 그와 유체 연통하는 프로세싱 가스 소스(119)로부터 제공하기 위해 사용된다. 기판(103)은, 기판(103)의 플라즈마 프로세싱 동안 슬릿 밸브(도시되지 않음)로 밀봉되는 하나 이상의 측벽들(122) 중 하나에 있는 개구(도시되지 않음)를 통해 프로세싱 볼륨(129) 안으로 로딩되고 그로부터 제거된다. 본원에서, 기판(103)은 리프트 핀 시스템(lift pin system)(도시되지 않음)을 사용하여 기판 지지체(105)의 기판 수용 표면(105A)으로 그리고 그로부터 이송된다.[0033] Processing chamber 100 also includes a chamber body 113 that includes a chamber lid 123, one or more side walls 122, and a chamber base 124, defining a processing volume 129. One or more sidewalls 122 and chamber base 124 generally include materials sized and shaped to form structural support for elements of processing chamber 100 and support the processing chamber 100 during processing. ) is configured to withstand the pressures and added energy applied to the materials while the plasma 101 is generated within a vacuum environment maintained within the processing volume 129 of ). In one example, one or more side walls 122 and chamber base 124 are formed from metal, such as aluminum, aluminum alloy, or stainless steel. Gas inlet 128 disposed through chamber lid 123 is used to provide one or more processing gases to processing volume 129 from a processing gas source 119 in fluid communication therewith. Substrate 103 is loaded into processing volume 129 through an opening (not shown) in one of one or more side walls 122 that is sealed with a slit valve (not shown) during plasma processing of substrate 103. and is removed from it. Herein, the substrate 103 is transferred to and from the substrate receiving surface 105A of the substrate support 105 using a lift pin system (not shown).

[0034] 프로세싱 챔버(100)는 본원에서 프로세싱 챔버 컨트롤러로 또한 지칭되는 시스템 컨트롤러(126)를 더 포함한다. 본원에서 시스템 컨트롤러(126)는 중앙 프로세싱 유닛(central processing unit; CPU)(133), 메모리(134), 및 지원 회로들(135)을 포함한다. 시스템 컨트롤러(126)는 본원에서 설명되는 기판 바이어싱 방법들을 비롯하여 기판(103)을 프로세싱하기 위해 사용되는 프로세스 시퀀스를 제어하기 위해 사용된다. CPU(133)는 프로세싱 챔버를 제어하기 위해 산업 환경에서 사용하도록 구성되는 범용 컴퓨터 프로세서 및 그것에 관련되는 하위 프로세서들이다. 일반적으로 불휘발성 메모리인 본원에서 설명되는 메모리(134)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 로컬 또는 원격인, 다른 적절한 형태들의 디지털 스토리지를 포함할 수 있다. 지원 회로들(135)은 통상적으로 CPU(133)에 결합되고 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등, 및 이들의 조합들을 포함한다. 소프트웨어 명령들(프로그램) 및 데이터는 CPU(133) 내의 프로세서에 지시하기 위해 메모리(134) 내에서 코딩 및 저장될 수 있다. 시스템 컨트롤러(126) 내의 CPU(133)에 의해 판독 가능한 소프트웨어 프로그램(또는 컴퓨터 명령들)은 프로세싱 챔버(100) 내의 컴포넌트들에 의해 어떤 태스크들이 수행 가능한지를 결정한다. 바람직하게는, 시스템 컨트롤러(126) 내의 CPU(133)에 의해 판독 가능한 프로그램은, 프로세서(CPU(133))에 의해 실행될 때, 본원에서 설명되는 전극 바이어싱 방식의 모니터링 및 실행에 관련되는 태스크들을 수행하는 코드를 포함한다. 프로그램은 본원에서 설명되는, 전극 바이어싱 방식 및 플라즈마 프로세스 동안 기판을 신뢰성 있게 바이어싱 및 클램핑하는 방법을 구현하기 위해 사용되는 다양한 프로세스 태스크들 및 다양한 프로세스 시퀀스들을 수행하기 위해 프로세싱 챔버(100) 내의 다양한 하드웨어 및 전기 컴포넌트들을 제어하기 위해 사용되는 명령들을 포함할 것이다. 하나의 실시예에서, 프로그램은 도 6a 및 도 6b와 관련하여 하기에서 설명되는 동작들 중 하나 이상을 수행하기 위해 사용되는 명령들을 포함한다.[0034] Processing chamber 100 further includes a system controller 126, also referred to herein as a processing chamber controller. System controller 126 herein includes a central processing unit (CPU) 133, memory 134, and support circuits 135. System controller 126 is used to control the process sequence used to process substrate 103, including the substrate biasing methods described herein. CPU 133 is a general-purpose computer processor and its associated subprocessors configured for use in an industrial environment to control a processing chamber. Memory 134, described herein, which is generally non-volatile memory, may include random access memory, read-only memory, a floppy or hard disk drive, or other suitable forms of digital storage, local or remote. Support circuits 135 are typically coupled to CPU 133 and include cache, clock circuits, input/output subsystems, power supplies, etc., and combinations thereof. Software instructions (programs) and data may be coded and stored within memory 134 to instruct a processor within CPU 133. A software program (or computer instructions) readable by CPU 133 in system controller 126 determines which tasks can be performed by components within processing chamber 100. Preferably, the program readable by the CPU 133 in the system controller 126, when executed by the processor (CPU 133), performs tasks related to monitoring and execution of the electrode biasing scheme described herein. Contains the code that executes. The program may be used to perform various process tasks and various process sequences used to implement the electrode biasing scheme and method for reliably biasing and clamping a substrate during a plasma process, as described herein. It will contain instructions used to control hardware and electrical components. In one embodiment, the program includes instructions used to perform one or more of the operations described below with respect to FIGS. 6A and 6B.

[0035] 일부 실시예들에서, RF 생성기(118) 및 RF 생성기 어셈블리(160)를 포함하는 RF 소스 어셈블리(163)는 일반적으로 원하는 실질적으로 고정된 사인파 파형 주파수에서 원하는 양의 연속파(continuous wave; CW) 또는 펄스식 RF 전력을, 컨트롤러(126)로부터 제공되는 제어 신호들에 기초하여, 지지체 베이스(107)에 전달하도록 구성된다. 프로세싱 동안, RF 소스 어셈블리(163)는 기판 지지체(105)에 근접하게, 그리고 기판 지지체 어셈블리(136) 내에 배치되는 지지체 베이스(107)에 RF 전력을 전달하도록 구성된다. 지지체 베이스(107)로 전달되는 RF 전력은 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들의 사용에 의해 형성되는 프로세싱 플라즈마(101)를 점화 및 유지하도록 구성된다. 일부 실시예들에서, 지지체 베이스(107)는 RF 매칭 회로(162) 및 제1 필터 어셈블리(161)를 통해 RF 생성기(118)에 전기적으로 결합되는 RF 전극인데, RF 매칭 회로(162) 및 제1 필터 어셈블리(161) 둘 모두는 RF 생성기 어셈블리(160) 내에 배치된다. 제1 필터 어셈블리(161)는 PV 파형 생성기(150)의 출력에 의해 생성되는 전류가 RF 전력 전달 라인(167)을 통해 흐르는 것 및 RF 생성기(118)를 손상시키는 것을 실질적으로 방지하도록 구성되는 하나 이상의 전기 엘리먼트들을 포함한다. 제1 필터 어셈블리(161)는 PV 파형 생성기(150) 내에서 PV 펄스 생성기(P1)로부터 생성되는 PV 신호에 대한 하이 임피던스(예를 들면, 하이 Z)로서 작용하고, 따라서, RF 매칭 회로(162) 및 RF 생성기(118)로의 전류의 흐름을 억제한다.[0035] In some embodiments, the RF source assembly 163, including the RF generator 118 and the RF generator assembly 160, generally generates a desired positive continuous wave at a desired, substantially fixed sinusoidal waveform frequency. CW) or pulsed RF power to the support base 107 based on control signals provided from the controller 126. During processing, RF source assembly 163 is configured to deliver RF power to a support base 107 disposed proximate to substrate support 105 and within substrate support assembly 136 . RF power delivered to the support base 107 is configured to ignite and maintain a processing plasma 101 formed by the use of processing gases disposed within the processing volume 129. In some embodiments, support base 107 is an RF electrode electrically coupled to RF generator 118 via RF matching circuit 162 and first filter assembly 161, which includes RF matching circuit 162 and first filter assembly 161. 1 Both filter assemblies 161 are disposed within RF generator assembly 160. The first filter assembly 161 is configured to substantially prevent current generated by the output of the PV waveform generator 150 from flowing through the RF power delivery line 167 and damaging the RF generator 118. It includes the above electrical elements. The first filter assembly 161 acts as a high impedance (e.g., high Z) for the PV signal generated from the PV pulse generator (P 1 ) within the PV waveform generator 150, and thus acts as an RF matching circuit ( 162) and inhibit the flow of current to the RF generator 118.

[0036] 일부 실시예들에서, 플라즈마 생성기 어셈블리(160) 및 RF 생성기(118)는 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들 및 RF 생성기(118)에 의해 지지체 베이스(107)에 제공되는 RF 전력에 의해 생성되는 필드들을 사용하여 프로세싱 플라즈마(101)를 점화 및 유지하기 위해 사용된다. 프로세싱 볼륨(129)은, 진공 유출구(vacuum outlet; 120)를 통해, 대기압 미만의(sub-atmospheric pressure) 조건들에서 프로세싱 볼륨(129)을 유지하고 그로부터 프로세싱 및/또는 다른 가스들을 배출하는 하나 이상의 전용 진공 펌프들에 유체 흐름 가능하게 결합된다. 프로세싱 볼륨(129) 내에 배치되는 기판 지지체 어셈블리(136)는, 접지되며 챔버 베이스(124)를 통해 연장되는 지지체 샤프트(138) 상에 배치된다. 그러나 일부 실시예들에서, RF 생성기 어셈블리(160)는 지지체 베이스(107)와 대비하여 기판 지지체(105)에 배치되는 바이어싱 전극(104)에 RF 전력을 전달하도록 구성된다.[0036] In some embodiments, the plasma generator assembly 160 and the RF generator 118 are generated by processing gases disposed within the processing volume 129 and RF power provided to the support base 107 by the RF generator 118. It is used to ignite and maintain the processing plasma 101 using the generated fields. The processing volume 129 is configured to maintain the processing volume 129 at sub-atmospheric pressure conditions and exhaust processing and/or other gases therefrom through a vacuum outlet 120. It is coupled to dedicated vacuum pumps for fluid flow. A substrate support assembly 136 disposed within processing volume 129 is disposed on a support shaft 138 that is grounded and extends through chamber base 124. However, in some embodiments, the RF generator assembly 160 is configured to deliver RF power to a biasing electrode 104 disposed on the substrate support 105 relative to the support base 107.

[0037] 기판 지지체 어셈블리(136)는, 상기에서 간략히 논의되는 바와 같이, 기판 지지체(105)(예를 들면, ESC 기판 지지체) 및 지지체 베이스(107)를 일반적으로 포함한다. 일부 실시예들에서, 기판 지지체 어셈블리(136)는, 하기에서 추가로 논의되는 바와 같이, 절연체 플레이트(111) 및 접지 플레이트(112)를 추가로 포함할 수 있다. 지지체 베이스(107)는 절연체 플레이트(111)에 의해 챔버 베이스(124)로부터 전기적으로 절연되고, 접지 플레이트(112)는 절연체 플레이트(111)와 챔버 베이스(124) 사이에서 개재된다. 기판 지지체(105)는 지지체 베이스(107)에 열적으로 결합되고 그 상에 배치된다. 일부 실시예들에서, 지지체 베이스(107)는, 기판 프로세싱 동안, 기판 지지체(105) 및 기판 지지체(105) 상에 배치되는 기판(103)의 온도를 조절하도록 구성된다. 일부 실시예들에서, 지지체 베이스(107)는, 쿨란트 소스(coolant source)(도시되지 않음), 예컨대 냉각제 소스(refrigerant source) 또는 상대적으로 높은 전기 저항을 갖는 물 소스에 유체 흐름 가능하게 결합되고, 이들과 유체 연통하는, 내부에 배치되는 하나 이상의 냉각 채널들(도시되지 않음)을 포함한다. 일부 실시예들에서, 기판 지지체(105)는 히터(도시되지 않음), 예컨대 자신의 유전체 재료에 임베딩되는 저항 가열 엘리먼트를 포함한다. 본원에서, 지지체 베이스(107)는 내부식성의 열적으로 전도성인 재료, 예컨대 내부식성 금속, 예를 들면, 알루미늄, 알루미늄 합금, 또는 스테인리스 스틸로 형성되며 접착제를 사용하여 또는 기계적 수단들에 의해 기판 지지체에 결합된다.[0037] Substrate support assembly 136 generally includes a substrate support 105 (eg, an ESC substrate support) and a support base 107, as briefly discussed above. In some embodiments, substrate support assembly 136 may further include an insulator plate 111 and a ground plate 112, as discussed further below. The support base 107 is electrically insulated from the chamber base 124 by an insulator plate 111, and the ground plate 112 is interposed between the insulator plate 111 and the chamber base 124. Substrate support 105 is thermally coupled to and disposed on support base 107. In some embodiments, support base 107 is configured to regulate the temperature of substrate support 105 and substrate 103 disposed on substrate support 105 during substrate processing. In some embodiments, the support base 107 is fluidly coupled to a coolant source (not shown), such as a refrigerant source or a water source with a relatively high electrical resistance. , and one or more cooling channels (not shown) disposed therein, in fluid communication therewith. In some embodiments, the substrate support 105 includes a heater (not shown), such as a resistive heating element embedded in its dielectric material. Herein, the support base 107 is formed of a corrosion-resistant, thermally conductive material, such as a corrosion-resistant metal, such as aluminum, aluminum alloy, or stainless steel, and is attached to the substrate support using an adhesive or by mechanical means. is combined with

[0038] 전형적으로, 기판 지지체(105)는 유전체 재료, 예컨대 벌크 소결 세라믹 재료(bulk sintered ceramic material), 예컨대 내부식성 금속 산화물 또는 금속 질화물 재료, 예를 들면, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 이트륨 산화물(Y2O3), 이들의 혼합물들, 또는 이들의 조합들로 형성된다. 본원의 실시예들에서, 기판 지지체(105)는 자신의 유전체 재료에 임베딩되는 바이어싱 전극(104)을 더 포함한다. 하나의 구성에서, 바이어싱 전극(104)은, 기판 지지체(105)의 기판 수용 표면(105A)에 기판(103)을 고정(즉, 척킹)하기 위해, 그리고 본원에서 설명되는 펄스식 전압 바이어싱 방식들 중 하나 이상을 사용하여 프로세싱 플라즈마(101)와 관련하여 기판(103)을 바이어싱하기 위해 사용되는 척킹 폴(chucking pole)이다. 전형적으로, 바이어싱 전극(104)은 하나 이상의 전기적으로 전도성인 부품들, 예컨대 하나 이상의 금속 메쉬들, 포일들, 플레이트들, 또는 이들의 조합들로 형성된다.[0038] Typically, the substrate support 105 is made of a dielectric material, such as a bulk sintered ceramic material, such as a corrosion-resistant metal oxide or metal nitride material, such as aluminum oxide (Al 2 O 3 ), It is formed of aluminum nitride (AlN), titanium oxide (TiO), titanium nitride (TiN), yttrium oxide (Y 2 O 3 ), mixtures thereof, or combinations thereof. In embodiments herein, the substrate support 105 further includes a biasing electrode 104 embedded in its dielectric material. In one configuration, the biasing electrode 104 is used to secure (i.e., churn) the substrate 103 to the substrate receiving surface 105A of the substrate support 105 and to perform pulsed voltage biasing as described herein. A chucking pole used to bias the substrate 103 with respect to the processing plasma 101 using one or more of the following methods. Typically, biasing electrode 104 is formed of one or more electrically conductive components, such as one or more metal meshes, foils, plates, or combinations thereof.

[0039] 정전 척(ESC) 내의 바이어싱 전극(104)은 도 1a 및 도 2a 및 도 2b에 예시되는 바이어싱 및 클램핑 네트워크(116)에 전기적으로 결합된다. 바이어싱 및 클램핑 네트워크(116)는 DC 전압 소스(P2)를 포함한다. 클램핑 네트워크(116)는 플라즈마 프로세싱 동안 펄스식 전압 파형 생성기(pulsed-voltage waveform generator; PVWG)(150)에 의해 복수의 PV 파형들이 바이어싱 전극(104)에 인가될 때 바이어싱 전극(104)과 기판(103) 양단의 일정한 전압 강하인 클램핑 전압을 자동으로 유지하여 기판(103)의 개선된 클램핑으로 이어진다. 클램핑 네트워크(116)는 도 2a 내지 도 4c와 연계하여 하기에서 추가로 설명된다. 일부 실시예들에서, 클램핑 네트워크(116)는, 프로세싱 동안 약 -10,000 볼트(V)와 약 10,000 V 사이, 예컨대, -3,000 볼트(V)와 약 3,000 V 사이의 정적 DC 전압과 같은 척킹 전압을 바이어싱 전극(104)에 제공하도록 구성된다.[0039] The biasing electrode 104 in the electrostatic chuck (ESC) is electrically coupled to the biasing and clamping network 116 illustrated in FIGS. 1A and 2A and 2B. Biasing and clamping network 116 includes a DC voltage source (P 2 ). The clamping network 116 connects the biasing electrode 104 and the biasing electrode 104 when a plurality of PV waveforms are applied to the biasing electrode 104 by a pulsed-voltage waveform generator (PVWG) 150 during plasma processing. Automatically maintains a clamping voltage that is a constant voltage drop across the substrate 103, leading to improved clamping of the substrate 103. Clamping network 116 is further described below in connection with FIGS. 2A-4C. In some embodiments, clamping network 116 maintains a chucking voltage during processing, such as a static DC voltage between about -10,000 volts (V) and about 10,000 V, such as between -3,000 volts (V) and about 3,000 V. It is configured to be provided to the biasing electrode 104.

[0040] 도 1a를 참조하면, 기판 지지체 어셈블리(136)는, 바이어싱되는 경우, 기판(103)에 대한 자신의 포지션에 기인하여, 기판(103)의 에지에 있는 또는 그 에지 외부에 있는 생성된 플라즈마(101)의 일부에 영향을 줄 수 있거나 또는 변경할 수 있도록, 에지 링(114) 아래에 포지셔닝되며 바이어싱 전극(104)을 둘러싸는 에지 제어 전극(115)을 더 포함할 수 있다. 에지 제어 전극(115)은 바이어싱 전극(104)을 바이어싱하기 위해 사용되는 펄스식 전압 파형 생성기(PVWG; pulsed-voltage waveform generator)(150)와는 상이한 펄스식 전압 파형 생성기(PVWG)(150)의 사용에 의해 바이어싱될 수 있다. 일부 실시예들에서, 에지 제어 전극(115)은 에지 제어 전극(115)으로의 전력의 일부를 분할함으로써 바이어싱 전극(104)을 바이어싱하기 위해 또한 사용되는 펄스식 전압 파형 생성기(PVWG)(150)의 사용에 의해 바이어싱될 수 있다. 하나의 구성에서, 제1 PV 소스 어셈블리(196)의 제1 PV 파형 생성기(150)는 바이어싱 전극(104)을 바이어싱하도록 구성되고, 제2 PV 소스 어셈블리(197)의 제2 PV 파형 생성기(150)는 에지 제어 전극(115)을 바이어싱하도록 구성된다. 하나의 실시예에서, 에지 제어 전극(115)은, 도 1a에 도시된 바와 같이, 기판 지지체(105)의 영역 내에서 포지셔닝된다. 일반적으로, 원형 기판들을 프로세싱하도록 구성되는 프로세싱 챔버(100)의 경우, 에지 제어 전극(115)은 형상이 환형이고, 전도성 재료로부터 만들어지며, 도 1a에 예시되는 바와 같이, 바이어싱 전극(104)의 적어도 일부를 둘러싸도록 구성된다. 일부 실시예들에서, 도 1a에 예시되는 바와 같이, 에지 제어 전극(115)은 기판 지지체(105)의 표면(105A)으로부터, 바이어싱 전극(104)과 유사한 거리(즉, Z 방향)에 배치되는 전도성 메쉬, 포일, 또는 플레이트를 포함한다. 일부 다른 실시예들에서, 에지 제어 전극(115)은, 바이어싱 전극(104) 및/또는 기판 지지체(105)의 적어도 일부를 둘러싸는 석영 파이프(110)의 영역 상에 또는 그 내에 포지셔닝되는 전도성 메쉬, 포일 또는 플레이트를 포함한다. 대안으로, 일부 다른 실시예들에서, 에지 제어 전극(115)은, 기판 지지체(105)에 인접하게 배치되는 에지 링(114) 내에 포지셔닝되거나 또는 그것에 결합된다. 이 구성에서, 에지 링(114)은 반도체 또는 유전체 재료(예를 들면, AlN 등)로부터 형성된다.[0040] Referring to FIG. 1A , the substrate support assembly 136, when biased, generates plasma (at or outside the edge of the substrate 103) due to its position relative to the substrate 103. It may further include an edge control electrode 115 positioned below the edge ring 114 and surrounding the biasing electrode 104 so as to be able to influence or change a portion of the electrode 101 . Edge control electrode 115 is a pulsed-voltage waveform generator (PVWG) 150 that is different from the pulsed-voltage waveform generator (PVWG) 150 used to bias biasing electrode 104. It can be biased by the use of . In some embodiments, edge control electrode 115 is a pulsed voltage waveform generator (PVWG) that is also used to bias biasing electrode 104 by splitting a portion of the power to edge control electrode 115. 150) can be biased. In one configuration, the first PV waveform generator 150 of the first PV source assembly 196 is configured to bias the biasing electrode 104, and the second PV waveform generator 150 of the second PV source assembly 197 is configured to bias the biasing electrode 104. (150) is configured to bias the edge control electrode (115). In one embodiment, edge control electrode 115 is positioned within an area of substrate support 105, as shown in Figure 1A. Generally, for a processing chamber 100 configured to process circular substrates, the edge control electrode 115 is annular in shape, is made from a conductive material, and, as illustrated in FIG. 1A, the biasing electrode 104 It is configured to surround at least a portion of. In some embodiments, as illustrated in Figure 1A, edge control electrode 115 is disposed at a similar distance (i.e., in the Z direction) from surface 105A of substrate support 105 as biasing electrode 104. Includes a conductive mesh, foil, or plate. In some other embodiments, edge control electrode 115 is a conductive electrode positioned on or within an area of quartz pipe 110 surrounding at least a portion of biasing electrode 104 and/or substrate support 105. Includes mesh, foil or plate. Alternatively, in some other embodiments, edge control electrode 115 is positioned within or coupled to edge ring 114 disposed adjacent substrate support 105 . In this configuration, edge ring 114 is formed from a semiconductor or dielectric material (eg, AlN, etc.).

[0041] 도 1a를 참조하면, 제2 PV 소스 어셈블리(197)는, 에지 제어 전극(115)에 인가되는 바이어스가 제1 PV 소스 어셈블리(196) 내에 결합되는 클램핑 네트워크(116)에 의해 바이어싱 전극(104)에 인가되는 바이어스와 유사하게 구성될 수 있도록 클램핑 네트워크(116)를 포함한다. 유사하게 구성된 PV 파형들 및 클램핑 전압들을 바이어싱 전극(104) 및 에지 제어 전극(115)에 인가하는 것은 프로세싱 동안 기판의 표면 전체에 걸쳐 플라즈마 균일성을 개선하여 플라즈마 프로세싱 프로세스 결과들을 개선하는데 도움이 될 수 있다. 논의의 간략화를 위해, 본원에서 설명되는 다양한 방법들이 바이어싱 전극(104)에 인가될 바람직한 클램핑 전압(VDCV) 또는 DC 바이어스 전압(예를 들면, 수학식 (15) 및/또는 (16))을 결정하기 위해 사용되는 방법들을 주로 논의하지만, 제2 PV 소스 어셈블리(197)의 클램핑 네트워크(116)에 의해 에지 제어 전극(115)에 인가될 바이어스를 결정 및 제어하기 위해 본원에서 설명되는 동작들 또는 방법들 중 하나 이상이 또한 사용될 수 있기 때문에, 이 논의는 본원에서 제공되는 개시내용의 범위에 관한 제한이 되도록 의도되지는 않는다. 하나의 예에서, 도 6a 및 도 6b와 관련하여 개시되는 동작들은 플라즈마 프로세싱 동안 바이어싱 전극(104) 및 에지 제어 전극(115)에 동시에 적용될 수 있다.[0041] Referring to FIG. 1A, the second PV source assembly 197 is biased by a clamping network 116 in which the bias applied to the edge control electrode 115 is coupled within the first PV source assembly 196. It includes a clamping network 116 so that it can be configured similarly to the bias applied to the electrode 104. Applying similarly configured PV waveforms and clamping voltages to biasing electrode 104 and edge control electrode 115 helps improve plasma processing process results by improving plasma uniformity across the surface of the substrate during processing. It can be. For simplicity of discussion, various methods described herein can be used to determine the desired clamping voltage (V DCV ) or DC bias voltage (e.g., equations (15) and/or (16)) to be applied to the biasing electrode 104. Operations described herein for determining and controlling the bias to be applied to the edge control electrode 115 by the clamping network 116 of the second PV source assembly 197, although primarily discussing the methods used to determine This discussion is not intended to be a limitation as to the scope of the disclosure provided herein, as one or more of the methods may also be used. In one example, the operations disclosed with respect to FIGS. 6A and 6B may be applied simultaneously to biasing electrode 104 and edge control electrode 115 during plasma processing.

[0042] 일부 실시예들에서, 프로세싱 챔버(100)는, 기판 지지체(105), 및/또는 지지체 베이스(107)가 부식성 프로세싱 가스들 또는 플라즈마, 세정 가스들 또는 플라즈마, 또는 이들의 부산물들과 접촉하는 것을 방지하기 위해 기판 지지체 어셈블리(136)의 일부들을 적어도 부분적으로 둘러싸는 석영 파이프(quartz pipe; 110), 또는 칼라(collar)를 더 포함한다. 전형적으로, 석영 파이프(110), 절연체 플레이트(111), 및 접지 플레이트(112)는 라이너(108)에 의해 둘러싸인다. 일부 실시예들에서, 플라즈마 스크린(109)은, 라이너(108)와 하나 이상의 측벽들(122) 사이의 플라즈마 스크린(109) 아래의 볼륨에서 플라즈마가 형성되는 것을 방지하기 위해, 캐소드 라이너(108)와 측벽들(122) 사이에서 포지셔닝된다.[0042] In some embodiments, processing chamber 100 prevents substrate support 105, and/or support base 107 from contacting corrosive processing gases or plasma, cleaning gases or plasma, or by-products thereof. It further includes a quartz pipe (110), or collar, at least partially surrounding portions of the substrate support assembly (136) to prevent Typically, quartz pipe 110, insulator plate 111, and ground plate 112 are surrounded by liner 108. In some embodiments, the plasma screen 109 has a cathode liner 108 to prevent plasma from forming in the volume beneath the plasma screen 109 between the liner 108 and one or more sidewalls 122. and the side walls 122.

[0043] 도 1b는 기판 지지체 어셈블리(136)의 하나 이상의 실시예들 내의 다양한 구조적 엘리먼트들의 전기적 특성들의 단순화된 전기적 개략적 표현을 포함하는 기판 지지체 어셈블리(136)의 확대도이다. 기판 지지체 어셈블리(136)는 기판 지지체(105), 지지체 베이스(107), 절연체 플레이트(111) 및 접지 플레이트(112)를 포함하는데, 이들 각각이 차례대로 논의될 것이다.[0043] 1B is an enlarged view of the substrate support assembly 136 that includes a simplified electrical schematic representation of the electrical characteristics of various structural elements within one or more embodiments of the substrate support assembly 136. The substrate support assembly 136 includes a substrate support 105, a support base 107, an insulator plate 111, and a ground plate 112, each of which will be discussed in turn.

[0044] 구조적으로, 기판 지지체(105)의 정전 척(ESC)(191) 버전에서, 바이어싱 전극(104)은 유전체 재료의 층(105B)에 의해 기판 지지체(105)의 기판 수용 표면(105A)으로부터 떨어져 이격되어 있다. 전형적으로, 정전 척(ESC)(191)들은 쿨롱 ESC 또는 존슨 라벡 ESC로서 공지되어 있는 두 가지 메인 클래스들의 정전 척들로 분류될 수 있다. 정전 척(191)의 타입, 예컨대 쿨롱 ESC 또는 존슨 라벡 ESC에 따라, 플라즈마(101)에 대한 바이어싱 전극(104)의 전기적 결합을 설명하기 위해 사용되는 유효 회로 엘리먼트들은 약간의 차이들을 가질 것이다. 도 1c는, 하나의 실시예에 따른, 도 1a에 예시되는 프로세스 챔버에서 사용될 수 있는 쿨롱 ESC의 기능적으로 등가의 회로 다이어그램이다. 도 1d는, 하나의 실시예에 따른, 도 1a에 예시되는 프로세스 챔버에서 사용될 수 있는 존슨 라벡 ESC의 기능적으로 등가의 회로 다이어그램이다.[0044] Structurally, in the electrostatic chuck (ESC) 191 version of the substrate support 105, the biasing electrode 104 is separated from the substrate receiving surface 105A of the substrate support 105 by a layer 105B of dielectric material. They are spaced apart. Typically, electrostatic chucks (ESCs) 191 can be classified into two main classes of electrostatic chucks, known as Coulomb ESCs or Johnson Rabek ESCs. Depending on the type of electrostatic chuck 191, such as a Coulomb ESC or a Johnson-Rabek ESC, the effective circuit elements used to describe the electrical coupling of the biasing electrode 104 to the plasma 101 will have slight differences. 1C is a functionally equivalent circuit diagram of a Coulomb ESC that may be used in the process chamber illustrated in FIG. 1A, according to one embodiment. 1D is a functionally equivalent circuit diagram of a Johnson Rabek ESC that may be used in the process chamber illustrated in FIG. 1A, according to one embodiment.

[0045] 가장 간단한 경우, 예컨대 쿨롱 ESC의 경우에, 유전체 층(105B)은 도 1b 및 도 1c, 도 2a 및 도 3b에서 도시되는 바와 같이 커패시턴스(C1)를 포함할 것이다. 전형적으로, 유전체 재료(예를 들면, 알루미늄 산화물(Al2O3) 등)의 층(105B)은 약 0.1 mm와 약 1 mm 사이의, 예컨대 약 0.1 mm와 약 0.5 mm 사이의, 예를 들면, 약 0.3 mm의 두께를 갖는다. 일부 실시예들에서, 유전체 재료 및 층 두께는, 예를 들면, 유전체 재료의 층의 커패시턴스(C1)가 약 5 nF와 약 100 nF 사이에 있도록, 예컨대, 약 7 nF와 약 20 nF 사이에 있도록 선택될 수 있다.[0045] In the simplest case, such as that of a Coulombic ESC, the dielectric layer 105B will include a capacitance C 1 as shown in FIGS. 1B and 1C, 2A and 3B. Typically, the layer 105B of dielectric material (e.g., aluminum oxide (Al 2 O 3 ), etc.) has a thickness of between about 0.1 mm and about 1 mm, such as between about 0.1 mm and about 0.5 mm, for example. , has a thickness of approximately 0.3 mm. In some embodiments, the dielectric material and layer thickness are such that the capacitance (C 1 ) of the layer of dielectric material is between about 5 nF and about 100 nF, e.g., between about 7 nF and about 20 nF. can be selected to be

[0046] 더 복잡한 경우, 예컨대 존슨 라벡 ESC 경우에서, 회로 모델은 도 1d에서 도시되는 바와 같이 유전체 재료 저항(RJR) 및 갭 커패시턴스(CJR)와 병렬로 결합되는 커패시턴스(C1)를 포함한다. "존슨 라벡 ESC"의 경우, ESC 유전체 층은, 그것이 완전한 절연체가 아니며 약간의 전도성을 갖는다는 점에서, "누설적"인데, 그 이유는, 예를 들면, 유전체 재료가 약 9의 유전율(ε)을 갖는 도핑된 알루미늄 질화물(AlN)일 수 있기 때문이다. 쿨롱 척에 대해서와 동일하게, 얇은 유전체(105B) 및 헬륨으로 충전된 갭을 통해 전극(104)과 기판(103) 사이에 직접적인 커패시턴스(C1)가 있다. 하나의 예에서, 존슨 라벡 ESC 내의 유전체 층의 체적 저항률은 약 1012 옴-cm(Ω-cm) 미만이거나, 또는 약 1010 Ω-cm 미만이거나, 또는 심지어 108 Ω-cm와 1012 Ω-cm 사이의 범위 내에 있고, 따라서 유전체 재료의 층(105B)은 106 내지 1011 Ω 사이의 범위 내의 유전체 재료 저항(RJR)을 가질 수 있다. 전형적으로 기판 지지체 표면(105A)과 기판(103)의 표면 사이에서 갭이 형성되기 때문에, 갭 커패시턴스(CJR)는 기판(103)과 기판 지지체 표면(105A) 사이의 가스 함유 공간들을 고려하기 위해 사용된다. 갭 커패시턴스(CJR)는 커패시턴스(C1)보다 약간 더 큰 커패시턴스를 갖는다는 것이 예상된다.[0046] In more complex cases, such as the Johnson Rabek ESC case, the circuit model includes a capacitance (C 1 ) coupled in parallel with the dielectric material resistance (R J R ) and the gap capacitance (C J R ), as shown in Figure 1D . do. In the case of “Johnson Rabek ESCs”, the ESC dielectric layer is “leaky” in the sense that it is not a complete insulator and has some conductivity, for example because the dielectric material has a dielectric constant (ε) of about 9. ) This is because it may be doped aluminum nitride (AlN). As for the Coulomb chuck, there is a direct capacitance C 1 between the electrode 104 and the substrate 103 through the thin dielectric 105B and the helium-filled gap. In one example, the volume resistivity of the dielectric layer within the Johnson Rabek ESC is less than about 10 12 ohm-cm (Ω-cm), or less than about 10 10 Ω-cm, or even between 10 8 Ω-cm and 10 12 Ω. -cm, and thus the layer of dielectric material 105B may have a dielectric material resistance R JR in the range between 10 6 and 10 11 Ω. Because a gap is typically formed between the substrate support surface 105A and the surface of the substrate 103, the gap capacitance (C JR ) is adjusted to account for the gas-laden spaces between the substrate 103 and the substrate support surface 105A. It is used. The gap capacitance (C JR ) is expected to have a slightly larger capacitance than the capacitance (C 1 ).

[0047] 논의의 용이성을 위해, 기판(103)이 전형적으로 저부 및 최상부 표면들 상에서 진성 유전체 층의 얇은 층을 갖는 반도체 재료 및/또는 유전체 재료로 제조되기 때문에, 기판(103)의 저부 유전체 층은, 전기적으로, 바이어싱 전극(104)과 기판 수용 표면(105A) 사이에 배치되는 유전체 층의 일부인 것으로 고려될 수 있다. 따라서, 일부 애플리케이션들에서, 바이어싱 전극(104)과 기판(103)의 최상부 표면 사이에서 형성되는 유효 커패시턴스(CE)(도시되지 않음)는 유전체 재료(105B) 및 기판 저부 층의 조합된 직렬 커패시턴스(즉, 기판 커패시턴스(Csub)(도 1b))에 의해 근사될 수 있다. 쿨롱 척 경우에, 기판 커패시턴스(Csub)가 전형적으로 매우 크거나(100 nF 초과), 또는 기판이 전도성(무한 커패시턴스)일 수 있기 때문에, 직렬 커패시턴스는 커패시턴스(C1)에 의해 지배된다. 존슨 라벡 ESC 경우에, 기판 커패시턴스(Csub)가 전형적으로 매우 크다고 가정하면, 기판을 클램핑하기 위한 유효 커패시턴스인 CE는 DC 클램핑 전압에 대한 갭 커패시턴스(CJR)에 의해 지배될 것이다(도 1d). 최상부 유전체(105B)의 유한한 저항은 갭 커패시턴스(CJR)와 직렬인 저항(RJR)을 야기하는데, 그들 둘은 전극(104)과 기판(103) 사이의 직접 결합(C1)과 병렬이다. C1은 플라즈마 프로세싱 동안 전극(104)으로부터의 RF 주파수 전압을 기판(103)에 결합하는 커패시턴스이다.[0047] For ease of discussion, the bottom dielectric layer of substrate 103 is typically made of a semiconductor material and/or dielectric material with a thin layer of an intrinsic dielectric layer on the bottom and top surfaces. may be considered to be electrically part of a dielectric layer disposed between biasing electrode 104 and substrate receiving surface 105A. Accordingly, in some applications, the effective capacitance C E (not shown) formed between biasing electrode 104 and the top surface of substrate 103 is the combined series of dielectric material 105B and substrate bottom layer. It can be approximated by the capacitance (i.e., the substrate capacitance C sub (Figure 1b)). In the Coulomb chuck case, the series capacitance is dominated by the capacitance (C 1 ) because the substrate capacitance (C sub ) is typically very large (>100 nF) or the substrate may be conductive (infinite capacitance). For the Johnson-Rabek ESC case, assuming that the substrate capacitance (C sub ) is typically very large, the effective capacitance to clamp the substrate, C E , will be dominated by the gap capacitance (C J R ) to the DC clamping voltage (Figure 1d ). The finite resistance of top dielectric 105B results in a resistance (R JR ) in series with the gap capacitance (C JR ), both of which are in parallel with the direct coupling (C 1 ) between electrode 104 and substrate 103. am. C 1 is the capacitance that couples the RF frequency voltage from electrode 104 to substrate 103 during plasma processing.

[0048] 다시 도 1b를 참조하면, 기판 지지체 어셈블리(136) 내에서 형성되는 회로의 전기적 개략적 표현은, 지지체 베이스(107)와 바이어싱 전극(104) 사이에서 포지셔닝되는 유전체 층의 커패시턴스를 나타내는 지지체 베이스 유전체 층 커패시턴스(C2)를 포함한다. 일부 실시예들에서, 지지체 베이스(107)와 바이어싱 전극(104) 사이에 배치되는 유전체 재료(105C)의 부분의 두께는 바이어싱 전극(104)과 기판(103) 사이에 배치되는 유전체 재료(105B)의 두께보다 더 크다. 일부 실시예들에서, 바이어싱 전극의 어느 한쪽에서 유전체 층들을 형성하기 위해 사용되는 유전체 재료들은 동일한 재료이며 기판 지지체(105)의 구조적 본체를 형성한다. 하나의 예에서, 지지체 베이스(107)와 바이어싱 전극(104) 사이에서 연장되는 방향에서 측정될 때, 유전체 재료(105C)(예를 들면, Al2O3 또는 AlN)의 두께는 1 mm보다 더 크며, 예컨대 약 1.5 mm와 약 100 mm 사이의 두께를 갖는다. 지지체 베이스 유전체 층 커패시턴스(C2)는 전형적으로 약 0.5와 약 10 나노패럿(nF) 사이의 커패시턴스를 가질 것이다.[0048] Referring again to FIG. 1B, an electrical schematic representation of the circuit formed within the substrate support assembly 136 illustrates the capacitance of the dielectric layer positioned between the support base 107 and the biasing electrode 104. Includes the base dielectric layer capacitance (C 2 ). In some embodiments, the thickness of the portion of dielectric material 105C disposed between support base 107 and biasing electrode 104 is greater than the thickness of the dielectric material disposed between biasing electrode 104 and substrate 103 ( 105B) is greater than the thickness. In some embodiments, the dielectric materials used to form the dielectric layers on either side of the biasing electrode are the same material and form the structural body of the substrate support 105. In one example, the thickness of dielectric material 105C (e.g., Al 2 O 3 or AlN), when measured in the direction extending between support base 107 and biasing electrode 104, is less than 1 mm. larger, for example having a thickness between about 1.5 mm and about 100 mm. The support base dielectric layer capacitance (C 2 ) will typically have a capacitance between about 0.5 and about 10 nanofarads (nF).

[0049] 기판 지지체 어셈블리(136) 내에서 형성되는 회로의 전기적 개략적 표현은 지지체 베이스 저항(RP), 절연체 플레이트 커패시턴스(C3), 및 하나의 단부 상에서 접지에 결합되는 접지 플레이트 저항(RG)을 또한 포함한다. 지지체 베이스(107) 및 접지 플레이트(111)가 전형적으로 금속 재료로부터 형성되기 때문에, 지지체 베이스 저항(RP) 및 접지 플레이트 저항(RG)은 상당히 낮은데, 예컨대 수 밀리옴 미만이다. 절연체 플레이트 커패시턴스(C3)는 지지체 베이스(107)의 저부 표면과 접지 플레이트(112)의 최상부 표면 사이에서 포지셔닝되는 유전체 층의 커패시턴스를 나타낸다. 하나의 예에서, 절연체 플레이트 커패시턴스(C3)는 약 0.1과 약 1 nF 사이의 커패시턴스를 갖는다.[0049] An electrical schematic representation of the circuit formed within the substrate support assembly 136 includes the support base resistance (R P ), the insulator plate capacitance (C 3 ), and the ground plate resistance (R G ) coupled to ground on one end. ) also includes. Since the support base 107 and ground plate 111 are typically formed from metallic materials, the support base resistance R P and ground plate resistance R G are quite low, for example less than a few milliohms. The insulator plate capacitance C 3 represents the capacitance of the dielectric layer positioned between the bottom surface of the support base 107 and the top surface of the ground plate 112 . In one example, the insulator plate capacitance C 3 has a capacitance between about 0.1 and about 1 nF.

[0050] 다시 도 1a를 참조하면, 제1 PV 소스 어셈블리(196)의 PV 파형 생성기(150)에 의해 바이어싱 전극(104)에 PV 파형이 제공되고, 제2 PV 소스 어셈블리(197)의 PV 파형 생성기(150)에 의해 에지 제어 전극(115)에 PV 파형이 제공된다. 펄스식 전압 파형들은 프로세싱 챔버(100) 내에 배치되는 부하(예를 들면, 복합 부하(130))에 제공된다. PV 파형 생성기들(150)은, 전력 전달 라인(157)을 통해 바이어싱 전극(104)에 결합되는 PV 생성기(P1), 예컨대 도 2a의 PV 생성기(P1A) 또는 도 2b의 PV 생성기(P1B)를 포함한다. 본원에서 제공되는 개시내용의 범위에 관한 제한이 되도록 의도하는 것은 아니지만, 그리고 논의를 단순화하기 위해, RF 어셈블리(예를 들면, RF 생성기 어셈블리(160) 및 RF 생성기(118)) 및 제2 PV 소스 어셈블리(197) 내의 컴포넌트들은 도 2a 및 도 2b에서 도식적으로 도시되지 않는다. PV 파형 생성기들(150) 각각으로부터의 PV 파형의 전달의 전반적인 제어는 컨트롤러(126)로부터 제공되는 신호들의 사용에 의해 제어된다. 하나의 실시예에서, 도 2a 및 도 2b에 예시되는 바와 같이, PV 파형 생성기(150)는 트랜지스터-트랜지스터 로직(transistor-transistor logic; TTL) 소스로부터의 신호의 사용에 의해 사전 결정된 길이의 시간 간격들로 주기적인 전압 함수를 출력하도록 구성된다. 주기적인 전압 함수는 사전 결정된 음의 또는 양의 전압과 제로 사이의 2 상태 DC 펄스들일 수 있다. 하나의 실시예에서, PV 파형 생성기(150)는, 하나 이상의 스위치들을 사전 결정된 레이트로 반복적으로 폐쇄하고 개방함으로써, 사전 결정된 길이의 규칙적으로 되풀이되는 시간 간격들 동안 자신의 출력(즉, 접지로의) 전체에 걸쳐 사전 결정된 실질적으로 일정한 음의 전압을 유지하도록 구성된다. 하나의 예에서, 펄스 간격의 제1 국면(phase) 동안 제1 스위치는 고전압 공급부를 바이어싱 전극(104)에 연결하기 위해 사용되고, 펄스 간격의 제2 국면 동안, 제2 스위치는 바이어싱 전극(104)을 접지에 연결하기 위해 사용된다. 다른 실시예에서, 도 2b에 예시되는 바와 같이, PV 파형 생성기(150)는, 사전 결정된 레이트로 자신의 내부 스위치(도시되지 않음)를 반복적으로 폐쇄하고 개방함으로써, 사전 결정된 길이의 규칙적으로 되풀이되는 시간 간격들 동안 자신의 출력(즉, 접지로의) 양단에서 사전 결정된 실질적으로 일정한 양의 전압을 유지하도록 구성된다. 도 2b에서 도시되는 실시예의 하나의 구성에서, 펄스 간격의 제1 국면 동안 제1 스위치는 바이어싱 전극(104)을 접지에 연결하기 위해 사용되고, 펄스 간격의 제2 국면 동안 제2 스위치는 고전압 공급부를 바이어싱 전극(104)에 연결하기 위해 사용된다. 도 2b에서 도시되는 실시예의 대안적인 구성에서, 펄스 간격의 제1 국면 동안 제1 스위치는 개방 상태에서 포지셔닝되고, 그 결과, 바이어싱 전극(104)은 고전압 공급부로부터 분리되고 바이어싱 전극(104)은 임피던스 네트워크(예를 들면, 직렬로 연결되는 인덕터 및 저항기)를 통해 접지에 결합된다. 그 다음, 펄스 간격의 제2 국면 동안 제1 스위치는 바이어싱 전극(104)에 고전압 공급부를 연결하기 위해 닫힌 상태로 포지셔닝되는 한편, 바이어싱 전극(104)은 임피던스 네트워크를 통해 접지에 결합된 상태로 유지된다.[0050] Referring again to FIG. 1A, a PV waveform is provided to the biasing electrode 104 by the PV waveform generator 150 of the first PV source assembly 196, and the PV waveform of the second PV source assembly 197 A PV waveform is provided to the edge control electrode 115 by the waveform generator 150 . Pulsed voltage waveforms are provided to a load (eg, composite load 130) disposed within processing chamber 100. PV waveform generators 150 may be a PV generator (P 1 ), such as the PV generator (P1 A ) of FIG. 2A or the PV generator (P1 A) of FIG. 2B, which is coupled to the biasing electrode 104 via a power transfer line 157. Includes P1 B ). Although not intended to be a limitation as to the scope of the disclosure provided herein, and to simplify the discussion, an RF assembly (e.g., RF generator assembly 160 and RF generator 118) and a second PV source Components within assembly 197 are not shown schematically in FIGS. 2A and 2B. The overall control of the delivery of the PV waveform from each of the PV waveform generators 150 is controlled by the use of signals provided from the controller 126. In one embodiment, as illustrated in FIGS. 2A and 2B, PV waveform generator 150 generates a time interval of a predetermined length by use of a signal from a transistor-transistor logic (TTL) source. It is configured to output a periodic voltage function. The periodic voltage function may be two-state DC pulses between zero and a predetermined negative or positive voltage. In one embodiment, PV waveform generator 150 switches its output (i.e., to ground) for regularly recurring time intervals of predetermined length by repeatedly closing and opening one or more switches at a predetermined rate. ) is configured to maintain a predetermined substantially constant negative voltage throughout. In one example, during the first phase of the pulse interval, a first switch is used to couple the high voltage supply to the biasing electrode (104), and during the second phase of the pulse interval, the second switch is used to connect the biasing electrode (104). 104) is used to connect to ground. In another embodiment, as illustrated in FIG. 2B, PV waveform generator 150 generates regularly recurring waves of a predetermined length by repeatedly closing and opening its internal switches (not shown) at a predetermined rate. It is configured to maintain a predetermined, substantially constant positive voltage across its output (i.e., to ground) during time intervals. In one configuration of the embodiment shown in Figure 2B, a first switch is used to connect the biasing electrode 104 to ground during the first phase of the pulse interval, and a second switch is used to connect the high voltage supply during the second phase of the pulse interval. It is used to connect the part to the biasing electrode 104. In an alternative configuration of the embodiment shown in Figure 2b, during the first phase of the pulse interval the first switch is positioned in the open state, so that the biasing electrode 104 is disconnected from the high voltage supply and the biasing electrode 104 is coupled to ground through an impedance network (e.g., an inductor and resistor connected in series). Then, during the second phase of the pulse interval, the first switch is positioned in the closed state to connect the high voltage supply to the biasing electrode 104, while the biasing electrode 104 is coupled to ground via an impedance network. is maintained.

[0051] 도 2a 및 도 2b에서, PV 파형 생성기들(150)은 바이어싱 전극(104)에서 원하는 펄스식 전압 파형을 확립함에 있어서 자신의 역할을 이해하는 데 중요한 컴포넌트들의 최소 조합으로 감소되었다. 각각의 PV 파형 생성기(150)는 PV 생성기(P1A 또는 P1B) 및 PV 파형을 출력에 제공하도록 구성되는, 예컨대 높은 반복 레이트 스위치들(도시되지 않음), 커패시터들(도시되지 않음), 인덕터들(도시되지 않음), 플라이백(fly back) 다이오드들(도시되지 않음), 전력 트랜지스터들(도시되지 않음) 및/또는 저항기들(도시되지 않음)을 포함하는 그러나 이들로 제한되지는 않는 하나 이상의 전기 컴포넌트들을 포함할 것이다. 나노초 펄스 생성기로서 구성될 수 있는 실제 PV 파형 생성기(150)는 임의의 개수의 내부 컴포넌트들을 포함할 수 있고 도 2a 및 도 2b에 예시되는 것보다 더 복잡한 전기 회로에 기초할 수 있다. 도 2a 및 도 2b의 개략적인 다이어그램들 각각은 PV 파형 생성기의 동작의 기본 원리, 프로세싱 볼륨에서 플라즈마와의 그것의 상호 작용, 및 바이어싱 전극(104)에서 펄스식 전압 파형, 예컨대 도 3a의 펄스식 전압 파형(301) 또는 도 4a의 펄스식 파형(401)을 확립함에 있어서의 그것의 역할을 설명하는 데 도움을 주기 위해 제공된다.[0051] In FIGS. 2A and 2B, the PV waveform generators 150 have been reduced to the minimum combination of components that are important to understand their role in establishing the desired pulsed voltage waveform at the biasing electrode 104. Each PV waveform generator 150 includes a PV generator (P1 A or P1 B ) and a PV waveform configured to provide the output, such as high repetition rate switches (not shown), capacitors (not shown), and inductors. (not shown), fly back diodes (not shown), power transistors (not shown), and/or resistors (not shown). It will include the above electrical components. A practical PV waveform generator 150, which may be configured as a nanosecond pulse generator, may include any number of internal components and may be based on a more complex electrical circuit than that illustrated in FIGS. 2A and 2B. The schematic diagrams in FIGS. 2A and 2B each illustrate the basic principles of operation of the PV waveform generator, its interaction with the plasma in the processing volume, and the pulsed voltage waveform at the biasing electrode 104, such as the pulse in FIG. 3A. It is provided to help explain its role in establishing the linear voltage waveform 301 or the pulsed waveform 401 of FIG. 4A.

[0052] 전력 전달 라인(157)(도 1a 및 도 1b)은 PV 파형 생성기(150)의 출력을 선택적인 필터 어셈블리(151) 및 바이어싱 전극(104)에 전기적으로 연결한다. 하기의 논의가 PV 파형 생성기(150)를 바이어싱 전극(104)에 결합하기 위해 사용되는 제1 PV 소스 어셈블리(196)의 전력 전달 라인(157)을 주로 논의하지만, PV 파형 생성기(150)를 에지 제어 전극(115)에 결합하는 제2 PV 소스 어셈블리(197)의 전력 전달 라인(158)은 동일한 또는 유사한 컴포넌트들을 포함할 것이다. 도 2a 및 도 2b에서, PV 파형 생성기(150)의 출력은 노드(N3)에 제공된다. 전력 전달 라인(157)의 다양한 부분들 내의 전기 도체(들)는 다음의 것을 포함할 수 있다: (a) 단단한 동축 송신 라인과 직렬로 연결되는 플렉시블 동축 케이블을 포함할 수 있는 동축 송신 라인(예를 들면, 동축 라인(106)), (b) 절연된 고전압 내코로나성 훅업 와이어(insulated high-voltage corona-resistant hookup wire), (c) 베어 와이어(bare wire), (d) 금속 막대, (e) 전기 커넥터, 또는 (f) (a) 내지 (e)의 전기 엘리먼트들의 임의의 조합. 전력 전달 라인(157), 예컨대 지지체 샤프트(138)(도 1a) 및 바이어싱 전극(104) 내의 전력 전달 라인(157)의 부분은 접지에 대해 어떤 조합된 표류 커패시턴스(Cstray)(도시되지 않음)를 가질 것이다. 선택적인 필터 어셈블리(151)는 RF 생성기(118)의 출력에 의해 생성되는 전류가 전력 전달 라인(157)을 통해 흘러 PV 파형 생성기(150)를 손상시키는 것을 실질적으로 방지하도록 구성되는 하나 이상의 전기 엘리먼트들을 포함한다. 선택적인 필터 어셈블리(151)는 RF 생성기(118)에 의해 생성되는 RF 신호에 대해 하이 임피던스(예를 들면, 하이 Z)로서 작용하며, 따라서 PV 파형 생성기(150)로의 전류의 흐름을 억제한다.[0052] Power delivery line 157 (FIGS. 1A and 1B) electrically couples the output of PV waveform generator 150 to optional filter assembly 151 and biasing electrode 104. Although the following discussion primarily discusses the power transfer line 157 of the first PV source assembly 196 used to couple the PV waveform generator 150 to the biasing electrode 104, the PV waveform generator 150 The power delivery line 158 of the second PV source assembly 197 that couples to the edge control electrode 115 may include the same or similar components. 2A and 2B, the output of PV waveform generator 150 is provided to node N 3 . The electrical conductor(s) within the various portions of the power transmission line 157 may include: (a) a coaxial transmission line, which may include a flexible coaxial cable in series with a rigid coaxial transmission line (e.g. For example, coaxial line 106), (b) insulated high-voltage corona-resistant hookup wire, (c) bare wire, (d) metal rod, ( e) an electrical connector, or (f) any combination of the electrical elements of (a) to (e). The power transmission line 157, such as the portion of the power transmission line 157 within the support shaft 138 (FIG. 1A) and the biasing electrode 104, has some combined stray capacitance (C stray ) with respect to ground (not shown). ) will have. The optional filter assembly 151 is one or more electrical elements configured to substantially prevent current generated by the output of the RF generator 118 from flowing through the power delivery line 157 and damaging the PV waveform generator 150. includes them. Optional filter assembly 151 acts as a high impedance (e.g., high Z) to the RF signal generated by RF generator 118, thus inhibiting the flow of current to PV waveform generator 150.

[0053] 일부 실시예들에서, 도 1a 및 도 2a 및 도 2b에서 도시되는 바와 같이, 제1 PV 소스 어셈블리(196)의 PV 파형 생성기(150)는 펄스식 전압 파형 신호를 바이어싱 전극(104)에 제공하도록 구성되고, 결국에는, 생성된 펄스식 전압 파형들을 노드(N3) 및 차단 커패시터(C5), 필터 어셈블리(151), 고전압 라인 인덕턴스(L1), 및 커패시턴스(C1)를 통해 전달함으로써, 복합 부하(130)에 제공하도록 구성된다. PV 파형 생성기(150)는 접지 노드(NG)와 노드(N3) 사이에서 연결된다. 커패시터(C5)는 노드(N3)와 클램핑 네트워크(116)가 부착되는 노드(N1) 사이에서 추가로 연결된다. 클램핑 네트워크(116)는 노드(N1)와 접지 노드(NG) 사이에서 연결된다. 하나의 실시예에서, 도 2a에서 도시되는 바와 같이, 클램핑 네트워크(116)는 적어도 다이오드(D1), 커패시터(C6), DC 전압 소스(P2), 전류 제한 저항기(R2) 및 차단 저항기(R1)를 포함한다. 이 구성에서, 다이오드(D1) 및 차단 저항기(R1)는 노드(N1)와 노드(N2) 사이에서 연결되고, 커패시터(C6) 및 전류 제한 저항기(R2)와 직렬인 DC 전압 소스(P2)는 노드(N2)와 접지 노드(NG) 사이에서 연결된다. 다른 실시예들에서, 도 2b에서 도시되는 바와 같이, 클램핑 네트워크(116)는 커패시터(C6), DC 전압 소스(P2), 저항기(R2), 및 차단 저항기(R1)를 포함한다. 이 구성에서, 차단 저항기(R1)는 노드(N1)와 노드(N2) 사이에서 연결되고, 커패시터(C6) 및 전류 제한 저항기(R2)와 직렬인 DC 전압 소스(P2)는 노드(N2)와 접지 노드(NG) 사이에서 연결된다. 일반적으로 DC 전압 소스(P2)는, 커패시터(C6) 양단의 전압 차이인 클램핑 네트워크(116)의 출력 전압을 확립하기 위해 사용된다.[0053] In some embodiments, as shown in FIGS. 1A and 2A and 2B, the PV waveform generator 150 of the first PV source assembly 196 generates a pulsed voltage waveform signal to the biasing electrode 104. ) and, ultimately, the generated pulsed voltage waveforms to the node (N 3 ) and blocking capacitor (C 5 ), filter assembly 151, high voltage line inductance (L 1 ), and capacitance (C 1 ). It is configured to provide to the composite load 130 by transmitting it through. The PV waveform generator 150 is connected between the ground node (N G ) and the node (N 3 ). A capacitor C 5 is additionally connected between node N 3 and node N 1 to which the clamping network 116 is attached. Clamping network 116 is connected between node N 1 and ground node N G . In one embodiment, as shown in Figure 2A, clamping network 116 includes at least a diode (D 1 ), a capacitor (C 6 ), a DC voltage source (P 2 ), a current limiting resistor (R 2 ), and a blocking circuit. Includes a resistor (R 1 ). In this configuration, a diode (D 1 ) and a blocking resistor (R 1 ) are connected between node (N 1 ) and node (N 2 ), and a DC voltage circuit in series with the capacitor (C 6 ) and current limiting resistor (R 2 ). The voltage source (P 2 ) is connected between the node (N 2 ) and the ground node (N G ). In other embodiments, as shown in Figure 2B, clamping network 116 includes a capacitor (C 6 ), a DC voltage source (P 2 ), a resistor (R 2 ), and a blocking resistor (R 1 ). . In this configuration, a blocking resistor (R 1 ) is connected between node (N 1 ) and node (N 2 ), and a DC voltage source (P 2 ) in series with a capacitor (C 6 ) and a current limiting resistor (R 2 ). is connected between the node (N 2 ) and the ground node (N G ). Typically a DC voltage source (P 2 ) is used to establish the output voltage of the clamping network 116 which is the voltage difference across the capacitor (C 6 ).

[0054] 클램핑 네트워크(116)는, 도 2a 및 도 2b에서 도시되는 바와 같이, 조합하여 사용될 때, PV 생성기로부터의 PV 파형들에 대한 전류 억제/필터링 회로를 형성하고, 그 결과, PV 파형들은 클램핑 네트워크(116)를 통해 접지로 유의미한 전류를 유도하지 않는다. PV 생성기(P1A)(도 2a) 또는 PV 생성기(P1B)(도 2b)의 동작에 대한 클램핑 네트워크(116)의 영향은, 적절하게 큰 차단 커패시터(C5) 및 차단 저항기(R1)를 선택함으로써 무시 가능하게 만들어질 수 있다. 차단 저항기(R1)는 클램핑 네트워크(116)를 전력 전달 라인(157) 내의 한 지점, 예컨대 노드(N1)에 연결하는 컴포넌트들 내에 포지셔닝되는 저항기를 개략적으로 예시한다. 차단 커패시터(C5)의 메인 기능은 DC 전압 소스(P2)에 의해 생성되는 DC 전압으로부터 PV 펄스 생성기(P1A)를 보호하는 것이며, 따라서 그 DC 전압은 차단 커패시터(C5) 양단에서 강하되며 PV 파형 생성기의 출력을 교란시키지 않는다. 차단 커패시터(C5)의 값은, 펄스식 전압 대부분이 복합 부하(130)로 전달되도록, DC 전압 소스(P2)에 의해 생성되는 DC 전압만을 차단하는 동안 그것이 노드(N3)에 제공되는 펄스식 바이어스 생성기의 펄스식 전압 출력에 무시 가능한 임피던스를 생성하도록 선택된다. 충분히 큰 차단 커패시터(C5) 커패시턴스(예를 들면, 10-80 nF)를 선택함으로써, 차단 커패시터(C5)는, 그것이 시스템의 임의의 다른 관련 커패시턴스보다 훨씬 더 크고 이 엘리먼트 양단의 전압 강하가 다른 관련 커패시터들 양단의 것, 예컨대 외장 커패시턴스(CSH 및 CWALL)(도 2a 및 도 2b)에 비교하여 비해 매우 작다는 점에서, 예를 들면, PV 파형 생성기(150)에 의해 생성되는 400 kHz PV 파형 신호에 대해 거의 투명하다.[0054] The clamping network 116, when used in combination, as shown in FIGS. 2A and 2B, forms a current suppression/filtering circuit for PV waveforms from the PV generator, resulting in the PV waveforms It induces no significant current to ground through clamping network 116. The influence of the clamping network 116 on the operation of the PV generator P1 A (Figure 2a) or the PV generator P1 B (Figure 2b) depends on the appropriately large blocking capacitor C5 and blocking resistor R1 . It can be made ignorable by selecting . The blocking resistor R 1 schematically illustrates a resistor positioned within the components connecting the clamping network 116 to a point in the power transmission line 157 , such as a node N 1 . The main function of the blocking capacitor (C 5 ) is to protect the PV pulse generator (P1 A ) from the DC voltage generated by the DC voltage source (P 2 ), so that the DC voltage drops across the blocking capacitor (C 5 ). and does not disturb the output of the PV waveform generator. The value of blocking capacitor C 5 is such that it provides to node N 3 while blocking only the DC voltage generated by DC voltage source P 2 such that most of the pulsed voltage is delivered to composite load 130. It is selected to produce negligible impedance at the pulsed voltage output of the pulsed bias generator. By choosing a sufficiently large blocking capacitance (e.g., 10-80 nF), the blocking capacitor (C 5 ) ensures that it is much larger than any other relevant capacitance in the system and that the voltage drop across this element is 400 generated by PV waveform generator 150, in that it is very small compared to that across other relevant capacitors, such as the external capacitances C SH and C WALL (FIGS. 2A and 2B). Almost transparent to kHz PV waveform signals.

[0055] 도 2a 및 도 2b를 참조하면, 클램핑 네트워크(116)의 차단 저항기(R1)의 목적은 PV 파형 생성기(150)에 의한 생성된 펄스식 전압을, DC 전압 공급부(P2)에서 그것이 유도하는 전류를 최소화할 만큼 충분히, 차단하는 것이다. 이 차단 저항기(R1)는 자신을 통과하는 전류(i1)를 효율적으로 최소화할 수 있을 만큼 충분히 크게 되도록 사이즈가 조정된다. 예를 들면, PV 파형 생성기(150)에 의한 노드(N1)로의 클램핑 네트워크(116) 안으로의 400 kHz 펄스식 전압 신호의 전달로부터 생성되는 전류를 무시 가능하게 만들기 위해, 200 kOhm 이상의, 예컨대 1 MOhm보다 더 큰, 또는 10 MOhms보다 더 큰, 또는 심지어 200 kOhms과 50 MOhms 사이의 범위 내의 저항이 사용된다. 평균 유도 DC 전류는 바람직하게는 약 40 mA 미만, 예컨대, 30 mA 미만, 또는 20 mA 미만, 또는 10 mA 미만, 또는 5 mA 미만이거나, 또는 심지어 1 ㎂ 내지 20 mA 사이에 있다.[0055] Referring to FIGS. 2A and 2B, the purpose of the blocking resistor (R 1 ) of the clamping network 116 is to divert the pulsed voltage generated by the PV waveform generator 150 from the DC voltage supply (P 2 ). It blocks enough to minimize the current it induces. This blocking resistor (R 1 ) is sized to be large enough to effectively minimize the current (i 1 ) passing through it. For example, to make negligible the current resulting from the transmission of the 400 kHz pulsed voltage signal into the clamping network 116 by the PV waveform generator 150 to the node N 1 , the current is greater than 200 kOhm, for example 1. Resistors greater than MOhms, or greater than 10 MOhms, or even in the range between 200 kOhms and 50 MOhms are used. The average induced DC current is preferably less than about 40 mA, such as less than 30 mA, or less than 20 mA, or less than 10 mA, or less than 5 mA, or even between 1 μA and 20 mA.

[0056] 일부 구성들에서, 차단 저항기(R1)는, 다이오드(D1)가 순방향 바이어스 모드에 있지 않을 때, 커패시터(C1) 양단에서 형성되는 클램핑 전압을 재설정하는 데 유용한 충전/방전 경로를 제공한다. 예를 들면, 플라즈마 프로세스의 시작에서, 커패시터(C1)를 사전 결정된 전압까지 충전함으로써 기판은 정전 척 표면(105A)에 클램핑된다. 커패시터(C1)에 공급되는 그러한 충전 전류는 클램핑 네트워크(116)에 의해 저항기(R1)(도 2a 및 도 2b)를 통해 제공될 수 있다. 유사하게, 기판의 디척킹 단계에서 커패시터(C1)로부터의 방전 전류는 R1을 통해 흐를 수 있다. 커패시터(C1)의 충전 또는 방전 전류는 기판의 클램핑(예를 들면, 척킹) 또는 디척킹 중 어느 하나의 정상 상태에 도달하는 속도를 결정한다. 따라서, 일부 실시예들에서, 차단 저항기(R1)는 그 저항이 너무 크지 않도록, 예컨대 약 50 MOhms 미만이 되도록 선택된다.[0056] In some configurations, blocking resistor (R 1 ) is a charge/discharge path useful for resetting the clamping voltage that builds up across capacitor (C 1 ) when diode (D 1 ) is not in forward bias mode. provides. For example, at the beginning of the plasma process, the substrate is clamped to the electrostatic chuck surface 105A by charging capacitor C 1 to a predetermined voltage. Such charging current supplied to capacitor C 1 may be provided by clamping network 116 via resistor R 1 ( FIGS. 2A and 2B ). Similarly, during the dechucking step of the substrate, the discharge current from the capacitor C 1 may flow through R 1 . The charging or discharging current of the capacitor C 1 determines the rate at which the steady state of either clamping (eg, chucking) or dechucking of the substrate is reached. Accordingly, in some embodiments, blocking resistor R 1 is selected such that its resistance is not too large, such as less than about 50 MOhms.

[0057] 프로세싱 챔버(100)의 하나의 실시예에서, 도 5a에 예시되는 바와 같이, RF 파형은 RF 소스 어셈블리(163)에 의해, 노드(N5)에 포지셔닝되는 지지체 베이스(107)에 제공된다. 일부 실시예들에서, RF 소스 어셈블리(163)는 다중 주파수 RF 소스일 수 있다. 이러한 구성에서, RF 소스 어셈블리(163)는 RF 매치(162) 및 제1 필터 어셈블리(161)의 일부인 유효 커패시턴스(C8)를 통해, 전극, 예컨대 지지체 베이스(107)에 결합되고, 클램핑 네트워크(116)는 전력 전달 라인(157)을 통해 바이어싱 전극(104)에 결합된다. RF 파형은 프로세싱 챔버(100) 내에 배치되는 부하(예를 들면, 복합 부하(130))에 제공된다. 도 5a의 RF 소스 어셈블리(163)는 지지체 베이스(107)에 대한 RF 전력 전달을 통해 부하(130)에 용량 결합된다. 본원에서 제공되는 개시내용의 범위에 관한 제한이 되도록 의도하는 것은 아니지만, 그리고 논의를 단순화하기 위해, 이 예에서 선택적인 하나 이상의 PV 소스 어셈블리들은 도 5a에서 도식적으로 도시되어 있지 않다. RF 파형 전달의 전반적인 제어는 컨트롤러(126)로부터 제공되는 신호들의 사용에 의해 제어된다. 도 5b에 예시되는 바와 같이, RF 소스 어셈블리(163)로부터 프로세싱 영역(129)으로 제공되는 사인파 RF 파형은 버스트 기간(510) 동안 제공되고, 버스트 오프 기간(burst-off period; 514) 동안 중단된다. 도 5a에서, RF 소스 어셈블리(163)는 지지체 베이스(107)에 대한 원하는 RF 파형을 확립하는 그것의 역할을 이해하는 데 중요한 컴포넌트들의 최소 조합으로 축소되었다. 상기에서 논의되는 바와 같이, RF 소스 어셈블리(163)는 RF 매칭 회로(162) 및 제1 필터 어셈블리(161) 내의 컴포넌트들을 포함할 수 있다.[0057] In one embodiment of the processing chamber 100, as illustrated in FIG. 5A, the RF waveform is provided by the RF source assembly 163 to the support base 107, which is positioned at node N 5 do. In some embodiments, RF source assembly 163 may be a multi-frequency RF source. In this configuration, the RF source assembly 163 is coupled to an electrode, such as a support base 107, via an effective capacitance C 8 that is part of the RF match 162 and the first filter assembly 161, and a clamping network ( 116) is coupled to the biasing electrode 104 through a power transmission line 157. The RF waveform is provided to a load (e.g., composite load 130) disposed within processing chamber 100. RF source assembly 163 of FIG. 5A is capacitively coupled to load 130 through RF power transfer to support base 107. While not intended to be a limitation as to the scope of the disclosure provided herein, and to simplify the discussion, one or more optional PV source assemblies in this example are not schematically shown in FIG. 5A. The overall control of RF waveform propagation is controlled by the use of signals provided from controller 126. As illustrated in FIG. 5B , a sinusoidal RF waveform provided from RF source assembly 163 to processing region 129 is provided during a burst period 510 and interrupted during a burst-off period 514. . In FIG. 5A , the RF source assembly 163 has been reduced to the minimal combination of components that are important to understand its role in establishing the desired RF waveform for the support base 107. As discussed above, RF source assembly 163 may include components within RF matching circuit 162 and first filter assembly 161.

프로세스 모니터링 및 제어 예들Process monitoring and control examples

[0058] 일부 실시예들에서, 도 1a에 예시되는 바와 같이, 프로세싱 챔버(100)는, 도 1e에 예시되는, 복수의 신호 라인들(187)의 사용에 의해 프로세싱 챔버(100) 내에서 발견되는 하나 이상의 전기 컴포넌트들에 전기적으로 결합되는 신호 검출 모듈(188)을 더 포함한다. 도 1e는 프로세싱 챔버(100) 내의 다양한 전기 컴포넌트들에 결합되며, 신호 검출 모듈(188) 내에서 발견되는 신호 검출 엘리먼트들에 전기 신호들을 전달하도록 구성되는 다수의 신호 트레이스들(192)을 포함하는 프로세싱 챔버(100)의 개략도를 예시한다. 일반적으로, 신호 검출 모듈(188)은 하나 이상의 입력 채널들(172) 및 고속 데이터 획득 모듈(120)을 포함한다. 하나 이상의 입력 채널들(172) 각각은 신호 트레이스(192)로부터 전기 신호들을 수신하도록 구성되고, 고속 데이터 획득 모듈(120)에 전기적으로 결합된다. 수신된 전기 신호들은 PV 파형 생성기(150) 및/또는 RF 생성기(118)에 의해 생성되는 파형들의 하나 이상의 특성들을 포함할 수 있다. 일부 실시예들에서, 고속 데이터 획득 모듈(120)은 프로세싱 동안 실질적으로 일정한 클램핑 전압을 자동으로 제어 및 유지하기 위해 사용되는 제어 신호를 생성하도록 구성되어, 플라즈마 프로세싱 동안 기판의 개선된 클램핑으로 이어진다. 게다가, 고속 데이터 획득 모듈(120)은 하나 이상의 획득 채널들(122)을 포함한다. 컨트롤러(126)는 하나 이상의 신호 라인들(187)을 통해 신호 검출 모듈(188)로 제공되고, 컴포넌트들에 의해 프로세싱되어 고속 데이터 획득 모듈(120)로, 그 다음 컨트롤러(126)에 의해 수신되는 신호 정보에 기초하여 클램핑 전압을 자동으로 제어 및 유지하기 위해 사용되는 제어 신호를 생성하도록 구성된다. 그 다음, 컨트롤러(126)에 의해 수신되는 신호 정보는 수신된 신호 정보의 분석된 특성들에 기초하여 클램핑 네트워크(116)의 DC 전압 공급부(P2)에 의해 인가되는 전압의 원하는 실시간 조정이 제공될 수 있도록 분석될 수 있다.[0058] In some embodiments, as illustrated in FIG. 1A, the processing chamber 100 is configured to detect within the processing chamber 100 by use of a plurality of signal lines 187, illustrated in FIG. 1E. It further includes a signal detection module 188 electrically coupled to one or more electrical components. 1E includes a number of signal traces 192 coupled to various electrical components within processing chamber 100 and configured to convey electrical signals to signal detection elements found within signal detection module 188. Illustrative schematic diagram of processing chamber 100. Typically, signal detection module 188 includes one or more input channels 172 and a high-speed data acquisition module 120. Each of the one or more input channels 172 is configured to receive electrical signals from signal trace 192 and is electrically coupled to high-speed data acquisition module 120. Received electrical signals may include one or more characteristics of the waveforms generated by PV waveform generator 150 and/or RF generator 118. In some embodiments, high-speed data acquisition module 120 is configured to generate control signals used to automatically control and maintain a substantially constant clamping voltage during processing, resulting in improved clamping of the substrate during plasma processing. Additionally, high-speed data acquisition module 120 includes one or more acquisition channels 122. Controller 126 provides signal via one or more signal lines 187 to signal detection module 188, processed by components to high-speed data acquisition module 120, and then received by controller 126. It is configured to generate a control signal used to automatically control and maintain the clamping voltage based on the signal information. The signal information received by the controller 126 then provides the desired real-time adjustment of the voltage applied by the DC voltage supply P 2 of the clamping network 116 based on the analyzed characteristics of the received signal information. It can be analyzed to make it possible.

[0059] 도 1e는 각각이 고속 데이터 획득 모듈(120)의 대응하는 획득 채널(122)에 전기적으로 결합되는 다수의 입력 채널들(172)을 포함하는 신호 검출 모듈(188)의 한 예를 개략적으로 예시한다. 다수의 입력 채널들(172), 예컨대 입력 채널들(1721-1723)은, 프로세싱 동안 이들 연결 지점들로부터 전기 데이터를 측정하고 수집하기 위해, 제1 PV 소스 어셈블리(196)의 다양한 부분들에서 포지셔닝되는 연결 지점들에 결합된다. 추가로, 다수의 입력 채널들(172), 예컨대 입력 채널들(1724-172N)은, 프로세싱 동안 RF 소스 어셈블리(163) 내의 하나 이상의 지점들 또는 노드들로부터 전기 데이터를 측정하고 수집하기 위해, RF 소스 어셈블리(163)(도 1a)의 다양한 부분들에 포지셔닝되는 연결 지점들에 결합된다. 하나의 예에서, 입력 채널들(1724-172N)은 플라즈마 프로세싱 동안 RF 소스 어셈블리(163) 내의 상이한 지점들에서 확립되는 RF 파형(181)을 검출하도록 구성된다. 다수의 입력 채널들(172)은, 프로세싱 챔버(100) 내의 다양한 지점들에서 전기 데이터를 측정하고 수집하도록 구성되는 다양한 전기 감지 엘리먼트들, 예컨대 전류 센서에 또한 결합될 수 있다. 도 1e가 단지 몇 개의 입력 채널들(172)만이 제1 PV 소스 어셈블리(196) 및 RF 소스 어셈블리(163) 내의 지점들에 결합되는 구성을 개략적으로 예시하지만, 입력 채널들(172)의 수가 원하는 챔버 프로세싱 애플리케이션들을 제어하기 위해 필요시 증가되거나 또는 감소될 수 있기 때문에, 이 구성은 본원에서 제공되는 개시내용의 범위에 관한 제한이 되도록 의도되는 것은 아니다. 일부 실시예들에서, 하나 이상의 입력 채널들(172)은 제1 PV 소스 어셈블리(196), 제2 PV 소스 어셈블리(197) 및 RF 소스 어셈블리(163)의 상이한 부분들에 연결된다.[0059] FIG. 1E schematically illustrates an example of a signal detection module 188 including multiple input channels 172, each electrically coupled to a corresponding acquisition channel 122 of the high-speed data acquisition module 120. Example: A plurality of input channels 172, such as input channels 172 1 - 172 3 , connect various portions of the first PV source assembly 196 to measure and collect electrical data from these connection points during processing. It is connected to the connection points positioned at. Additionally, multiple input channels 172, such as input channels 172 4 - 172 N , for measuring and collecting electrical data from one or more points or nodes within RF source assembly 163 during processing. , coupled to connection points positioned at various parts of the RF source assembly 163 (Figure 1A). In one example, input channels 172 4 - 172 N are configured to detect RF waveforms 181 that establish at different points within RF source assembly 163 during plasma processing. Multiple input channels 172 may also be coupled to various electrical sensing elements, such as current sensors, configured to measure and collect electrical data at various points within processing chamber 100. 1E schematically illustrates a configuration in which only a few input channels 172 are coupled to points within the first PV source assembly 196 and the RF source assembly 163, the number of input channels 172 may be as desired. This configuration is not intended to be a limitation on the scope of the disclosure provided herein, as it can be increased or decreased as needed to control chamber processing applications. In some embodiments, one or more input channels 172 are connected to different portions of the first PV source assembly 196, the second PV source assembly 197, and the RF source assembly 163.

[0060] 입력 채널들(172) 중 하나 이상은, 예를 들면, 입력 채널(1721)의 컨디셔닝 회로(1711) 및 입력 채널(1722)의 컨디셔닝 회로(1712)와 같은 컨디셔닝 회로(171)를 포함할 수 있다. 게다가, 하나 이상의 입력 채널들(172)은 컨디셔닝된 출력 파형들을 생성하도록 구성된다. 일부 실시예들에서, 컨디셔닝 회로들(171) 각각은 분압기, 저역 통과 필터, 또는 분압기(voltage divider) 및 저역 통과 필터 둘 모두를 포함할 수 있거나, 또는 심지어 일부 경우들에서는 분압기도 또는 저역 통과 필터도 포함하지 않을 수 있는데, 이는 본원에서 비감쇠 컨디셔닝 회로로서 지칭된다. 다양한 컨디셔닝 회로 엘리먼트들의 예들, 예컨대 분압기들 및 필터들, 및 입력 채널들과의 그들의 통합은, 인용에 의해 그 전체가 본원에 통합되는 미국 특허 번호 제10,916,408호에 추가로 설명되어 있다.[0060] One or more of the input channels 172 may include a conditioning circuit, such as, for example, a conditioning circuit 171 1 of the input channel 172 1 and a conditioning circuit 171 2 of the input channel 172 2 . 171) may be included. Additionally, one or more input channels 172 are configured to generate conditioned output waveforms. In some embodiments, each of conditioning circuits 171 may include a voltage divider, a low-pass filter, or both a voltage divider and a low-pass filter, or even in some cases a voltage divider or a low-pass filter. may also not include, which is referred to herein as an unattenuated conditioning circuit. Examples of various conditioning circuit elements, such as voltage dividers and filters, and their integration with input channels are further described in U.S. Pat. No. 10,916,408, which is incorporated herein by reference in its entirety.

[0061] 도 1e는 신호 검출 모듈(188)이 복수의 입력 채널들, 예컨대 입력 채널들(1721-172N)을 포함하는 구성을 예시하는데, 여기서 N은 일반적으로 1보다 더 큰 수이다. 입력 채널들(1721-172N) 각각은 플라즈마 프로세싱 챔버(100) 내의 상이한 지점들에 연결될 수 있다. 예를 들면, 입력 채널(1721)은 PV 파형 생성기(150)를 차단 커패시터(C5)(도 1e)에 결합하기 위해 사용되는 전기적 도체에 연결될 수 있다. 입력 채널(1721)이 PV 파형 생성기(150)의 출력과 차단 커패시터(C5) 사이에 결합되는 실시예들에서, 입력 채널(1721)은 입력 펄스식 전압 파형(input pulsed voltage waveform)(예를 들면, 제1 입력 펄스식 전압 파형(182)(도 1e))을 수신하고 컨디셔닝 회로(1711)는 출력 파형(예를 들면, 컨디셔닝된 파형)을 생성한다. 하나의 예에서, 수신된 또는 측정된 입력 펄스식 전압 파형은 전압 펄스들 각각의 상이한 국면들 내에서 양의 그리고 음의 전압 레벨들을 그리고 입력 펄스식 전압 파형 내의 펄스의 다양한 국면들 내에서 고주파 발진들을 포함하는 전압 펄스들을 포함하는데, 그 수신된 또는 측정된 입력 펄스식 전압 파형은 컨디셔닝 회로(1711) 내의 컴포넌트들, 예컨대 분압기에 의해 컨디셔닝될 때, 분압기의 사용에 기인하여 적어도 더 낮은 전압 레벨에서 제공되는 출력 파형을 형성한다. 하나의 예에서, 전압 펄스들 각각의 상이한 국면들 내에서 양의 그리고 음의 전압 레벨들을 그리고 입력 펄스식 전압 파형 내의 각각의 펄스의 국면들 중 적어도 하나 내에서 고주파 발진들을 포함하는 전압 펄스들을 포함하는 입력 펄스식 전압 파형은 입력 채널(1721)에 의해 수신되고, 그 다음, 컨디셔닝 회로(1711) 내의 컴포넌트들, 예컨대 분압기 및 저역 통과 필터에 의해 컨디셔닝되어 감소된 전압 레벨에 있는 필터링된 파형인 출력 파형을 형성한다. 입력 채널(1722)이 차단 커패시터(C5)와 바이어싱 전극(104) 사이에 결합되는 실시예들에서, 입력 채널(1722)은 입력 펄스식 전압 파형(예를 들면, 제2 입력 펄스식 전압 파형)을 수신하고 컨디셔닝 회로(1712)는 출력 파형(예를 들면, 컨디셔닝된 파형)을 생성한다. 일반적으로, 입력 채널(1721)에 의해 수신되는 제1 입력 펄스식 전압 파형은 입력 채널(1722)에 의해 수신되는 제2 입력 펄스식 전압 파형과는, 플라즈마 프로세싱 챔버(100) 내에서 전력 전달 라인(157)을 따르는 그들 개개의 연결 지점들의 포지션에 기인하여, 상이한 파형 특성들을 가질 것이다.[0061] FIG. 1E illustrates a configuration where the signal detection module 188 includes a plurality of input channels, such as input channels 172 1 - 172 N , where N is generally a number greater than 1. Each of the input channels 172 1 - 172 N may be connected to different points within the plasma processing chamber 100 . For example, input channel 172 1 may be connected to an electrical conductor used to couple PV waveform generator 150 to blocking capacitor C 5 (FIG. 1E). In embodiments where the input channel 172 1 is coupled between the output of the PV waveform generator 150 and the blocking capacitor C 5 , the input channel 172 1 generates an input pulsed voltage waveform ( For example, receiving a first input pulsed voltage waveform 182 (FIG. 1E), conditioning circuit 171 1 generates an output waveform (e.g., a conditioned waveform). In one example, the received or measured input pulsed voltage waveform exhibits positive and negative voltage levels within each of the different phases of the voltage pulses and high frequency oscillations within various phases of the pulse within the input pulsed voltage waveform. voltage pulses comprising voltage pulses, wherein the received or measured input pulsed voltage waveform, when conditioned by components within the conditioning circuit 171 1 , such as a voltage divider, results in at least a lower voltage level due to the use of the voltage divider. Forms the output waveform provided by . In one example, the voltage pulses include voltage pulses that include positive and negative voltage levels within different phases of each of the voltage pulses and high frequency oscillations within at least one of the phases of each pulse in the input pulsed voltage waveform. The input pulsed voltage waveform is received by the input channel 172 1 and then conditioned by components within the conditioning circuit 171 1 , such as a voltage divider and a low-pass filter, to produce a filtered waveform at a reduced voltage level. Forms an output waveform. In embodiments where input channel 172 2 is coupled between blocking capacitor C 5 and biasing electrode 104 , input channel 172 2 is coupled to an input pulsed voltage waveform (e.g., a second input pulse receives the equation voltage waveform) and the conditioning circuit 171 2 generates an output waveform (e.g., a conditioned waveform). Generally, the first input pulsed voltage waveform received by input channel 172 1 has a power level within the plasma processing chamber 100 that is different from the second input pulsed voltage waveform received by input channel 172 2 . Due to the position of their individual connection points along the transmission line 157, they will have different waveform characteristics.

[0062] 고속 데이터 획득 모듈(120)은 일반적으로 아날로그 전압 파형들(예를 들면, 컨디셔닝된 파형들)을 수신하도록 그리고 디지털화된 전압 파형들을 송신하도록 구성된다. 고속 데이터 획득 모듈(120)은 각각이 제1 입력 채널(172)의 개개의 컨디셔닝 회로(171)에 전기적으로 결합되는 하나 이상의 획득 채널들(122)을 포함하고, 고속 데이터 획득 모듈(120)은 수신된 컨디셔닝된 전압 파형(예를 들면, 출력 파형)으로부터 디지털화된 전압 파형을 생성하도록 구성되는데, 고속 데이터 획득 모듈(120)의 데이터 획득 컨트롤러(123)는 제1 디지털화된 전압 파형을 분석함으로써 컨디셔닝된 전압 파형의 하나 이상의 파형 특성들을 결정하도록 구성된다.[0062] High-speed data acquisition module 120 is generally configured to receive analog voltage waveforms (e.g., conditioned waveforms) and to transmit digitized voltage waveforms. The high-speed data acquisition module 120 includes one or more acquisition channels 122, each of which is electrically coupled to a respective conditioning circuit 171 of the first input channel 172, and the high-speed data acquisition module 120 includes configured to generate a digitized voltage waveform from a received conditioned voltage waveform (e.g., an output waveform), wherein the data acquisition controller 123 of the high-speed data acquisition module 120 performs conditioning by analyzing the first digitized voltage waveform. and configured to determine one or more waveform characteristics of the voltage waveform.

[0063] 도 1e에 예시되는 바와 같이, 고속 데이터 획득 모듈(120)은 복수의 획득 채널들(1221-122N), 데이터 획득 컨트롤러(123) 및 메모리(124)(예를 들면, 불휘발성 메모리)를 포함한다. 획득 채널들(122) 각각은, 획득 채널(122)이 입력 채널들(172) 중 대응하는 입력 채널로부터 출력 파형을 수신하도록 입력 채널들(172) 중 대응하는 입력 채널의 출력에 전기적으로 결합된다. 예를 들면, 획득 채널(1221)은 입력 채널(1721)의 출력 단(output end)에 전기적으로 결합되고, 입력 채널(1721)의 입력 단(input end)의 연결 지점의 포지션에 따라, 어느 하나의 제1 출력 파형을 수신한다. 게다가, 획득 채널(1222)은 입력 채널(1722)의 출력 단에 전기적으로 결합되고 제2 출력 파형을 수신한다. 추가로 또는 대안으로, 획득 채널(1223)은 입력 채널(1723)의 출력 단에 전기적으로 결합되고 제3 출력 파형을 수신한다. 획득 채널(122N)은 입력 채널(172N)의 출력 단에 전기적으로 결합되고 N 번째 출력 파형을 수신한다.[0063] As illustrated in FIG. 1E, the high-speed data acquisition module 120 includes a plurality of acquisition channels 122 1 -122 N , a data acquisition controller 123, and memory 124 (e.g., non-volatile memory). Each of the acquisition channels 122 is electrically coupled to the output of a corresponding one of the input channels 172 such that the acquisition channel 122 receives an output waveform from the corresponding one of the input channels 172. . For example, the acquisition channel 122 1 is electrically coupled to the output end of the input channel 172 1 , and is connected according to the position of the connection point of the input end of the input channel 172 1 . , receives any one first output waveform. Additionally, acquisition channel 122 2 is electrically coupled to the output end of input channel 172 2 and receives a second output waveform. Additionally or alternatively, acquisition channel 122 3 is electrically coupled to the output end of input channel 172 3 and receives a third output waveform. Acquisition channel 122 N is electrically coupled to the output terminal of input channel 172 N and receives the Nth output waveform.

[0064] 데이터 획득 컨트롤러(123)는 획득 채널들(122) 각각의 출력에 전기적으로 결합되고, 획득 채널들(122) 각각으로부터 디지털화된 전압 파형을 수신하도록 구성된다. 게다가, 데이터 획득 컨트롤러(123)의 메모리(124) 내에 저장되는 알고리즘들은 디지털화된 전압 파형들 각각을 분석함으로써 컨디셔닝된 파형들 각각의 하나 이상의 파형 특성들을 결정하도록 적응된다. 분석은 디지털화된 전압 파형에서 수신되는 정보와, 메모리(124)에 저장되며 하기에서 추가로 논의되는 하나 이상의 저장된 파형 특성들에 관한 정보의 비교를 포함할 수 있다.[0064] The data acquisition controller 123 is electrically coupled to the output of each of the acquisition channels 122 and is configured to receive a digitized voltage waveform from each of the acquisition channels 122. Additionally, algorithms stored within memory 124 of data acquisition controller 123 are adapted to determine one or more waveform characteristics of each of the conditioned waveforms by analyzing each of the digitized voltage waveforms. The analysis may include comparison of information received in the digitized voltage waveform with information regarding one or more stored waveform characteristics stored in memory 124 and discussed further below.

[0065] 데이터 획득 컨트롤러(123)는 아날로그 대 디지털 컨버터(analog-to-digital converter; ADC)(도시되지 않음), 프로세서(121)(도 1e), 통신 인터페이스(도시되지 않음), 클록(도시되지 않음) 및 선택적인 드라이버(도시되지 않음) 중 하나 이상을 포함할 수 있다. 프로세서는 임의의 일반적인 컴퓨팅 프로세서일 수 있다. 게다가, 프로세서는 필드 프로그래머블 게이트 어레이(Field Programmable Gate Array; FPGA)일 수 있다. ADC는 출력 파형들 내의 신호를 아날로그 도메인으로부터 디지털 도메인으로 변환하고, ADC의 출력 디지털 신호는 프로세싱을 위해 프로세서(121)로 제공된다. 데이터 획득 컨트롤러(123)의 프로세서(121)는 ADC로부터 제공되는 출력 디지털 신호를 분석함으로써 출력 파형의 하나 이상의 파형 특성들을 결정한다.[0065] Data acquisition controller 123 includes an analog-to-digital converter (ADC) (not shown), a processor 121 (FIG. 1E), a communication interface (not shown), and a clock (not shown). and optional drivers (not shown). The processor may be any general computing processor. Additionally, the processor may be a Field Programmable Gate Array (FPGA). The ADC converts the signal in the output waveforms from the analog domain to the digital domain, and the ADC's output digital signal is provided to the processor 121 for processing. Processor 121 of data acquisition controller 123 determines one or more waveform characteristics of the output waveform by analyzing the output digital signal provided from the ADC.

[0066] 다양한 실시예들에서, 고속 데이터 획득 모듈(120)은 추가로 메모리(124)를 포함한다. 메모리(124)는 임의의 불휘발성 메모리일 수 있다. 게다가, 데이터 획득 컨트롤러(123)는 메모리(124)와 전기적으로 결합되고, 파형 특성들로 하여금 메모리(124) 내에 저장되게 하도록 구성된다. 다양한 실시예들에서, 메모리(124)는 데이터 획득 컨트롤러(123)로 하여금 수신된 출력 파형들을 분석하게 하기 위한 및/또는 수신된 출력 파형들의 분석에 기초하여 결정된 파형 특성들에 대응하는 정보를 송신하게 하기 위한 데이터 획득 컨트롤러(123)에 의해 실행 가능한 명령들을 포함한다. 메모리(124)에 저장되는 파형 분석기는 데이터 획득 컨트롤러(123)에 의해 실행 가능하며, 실행되는 경우, 데이터 획득 컨트롤러(123)로 하여금 출력 파형들을 분석하여 파형 특성들을 결정하게 하는 명령들을 포함한다. 그 다음, 분석된 파형 특성들에 관한 정보는 피드백 프로세서(125) 및/또는 컨트롤러(126) 중 하나 이상으로 송신될 수 있다. 데이터 획득 컨트롤러(123)에 의해 수행되는 분석은 파형 특성들 및 메모리에 저장되는 하나 이상의 파형 특성 임계 값들의 비교를 포함할 수 있다.[0066] In various embodiments, high-speed data acquisition module 120 additionally includes memory 124. Memory 124 may be any non-volatile memory. Additionally, data acquisition controller 123 is electrically coupled to memory 124 and is configured to cause waveform characteristics to be stored in memory 124. In various embodiments, memory 124 may cause data acquisition controller 123 to analyze the received output waveforms and/or transmit information corresponding to waveform characteristics determined based on the analysis of the received output waveforms. It includes instructions executable by the data acquisition controller 123 to do this. The waveform analyzer stored in memory 124 is executable by data acquisition controller 123 and includes instructions that, when executed, cause data acquisition controller 123 to analyze output waveforms to determine waveform characteristics. Information regarding the analyzed waveform characteristics may then be transmitted to one or more of feedback processor 125 and/or controller 126. The analysis performed by data acquisition controller 123 may include comparison of waveform characteristics and one or more waveform characteristic threshold values stored in memory.

[0067] 일부 실시예들에서, 고속 데이터 획득 모듈(120)은 데이터 통신 인터페이스(125A)를 통해 피드백 프로세서(125)에 결합되는데, 피드백 프로세서(125)는 데이터 획득 컨트롤러(123) 내에 배치되는 프로세서에 의해 실행되는 하나 이상의 알고리즘들에 의해 결정되는 하나 이상의 파형 특성들을 사용하여 하나 이상의 제어 파라미터들을 생성하도록 구성된다. 일반적으로, 피드백 프로세서(125)는 임의의 일반적인 컴퓨팅 프로세서일 수 있다. 일부 실시예들에서, 피드백 프로세서(125)는 일반적으로: 데이터 통신 인터페이스를 통해 고속 데이터 획득 모듈(120)에 연결되는 외부 프로세서; 고속 데이터 획득 모듈(120) 내에 통합되는 내부 프로세서 중 하나이거나; 또는 데이터 통신 인터페이스를 통해 고속 데이터 획득 모듈에 연결되는 기판 프로세싱 챔버 컨트롤러(예를 들면, 컨트롤러(126))의 일부이다. 데이터 획득 모듈(120)은 수신된 출력 파형들 중 하나 이상에 대응하는 정보를 피드백 프로세서(125)로 전달할 수 있다. 예를 들면, 데이터 획득 모듈(120)은 수신된 출력 파형들 중 하나 이상 출력 파형들의 하나 이상의 검출된 및/또는 프로세싱된 파형 특성들에 관련되는 정보를 피드백 프로세서(125)로 전달할 수 있다. 게다가, 피드백 프로세서(125)는 통신 링크(350)(도 3b)를 통해 플라즈마 프로세싱 시스템(100)과 통신 가능하게 결합될 수 있다.[0067] In some embodiments, high-speed data acquisition module 120 is coupled to feedback processor 125 via data communication interface 125A, where feedback processor 125 is executed by a processor disposed within data acquisition controller 123. configured to generate one or more control parameters using one or more waveform characteristics determined by one or more algorithms. In general, feedback processor 125 may be any general computing processor. In some embodiments, feedback processor 125 generally includes: an external processor coupled to high-speed data acquisition module 120 via a data communication interface; one of the internal processors integrated within the high-speed data acquisition module 120; or is part of a substrate processing chamber controller (e.g., controller 126) coupled to a high-speed data acquisition module through a data communication interface. Data acquisition module 120 may transmit information corresponding to one or more of the received output waveforms to feedback processor 125. For example, data acquisition module 120 may convey information related to one or more detected and/or processed waveform characteristics of one or more of the received output waveforms to feedback processor 125. Additionally, feedback processor 125 may be communicatively coupled with plasma processing system 100 via communication link 350 (FIG. 3B).

[0068] 다양한 실시예들에서, 피드백 프로세서(125)는 본원에서 설명되는 방법들 중 하나 이상의 부분들을 수행할 것을 피드백 프로세서(125) 내의 프로세서에 지시하기 위한 소프트웨어 알고리즘을 더 포함하는 메모리를 포함한다. 하나 이상의 알고리즘들은, 고속 데이터 획득 모듈의 프로세서(121)에 의해 실행될 때 고속 데이터 획득 모듈로 하여금 하나 이상의 출력 파형들(예를 들면, 컨디셔닝된 전압 파형들)을 프로세싱하여 수신된 출력 파형들의 하나 이상의 파형 특성들을 결정하게 하는 명령들을 포함한다. 컨트롤러(126), 또는 컨트롤러(126) 내에 배치되는 피드백 프로세서(125)는, 프로세서(CPU)에 의해 실행될 때, 컨트롤러(126) 또는 피드백 프로세서(125)로 하여금, 고속 데이터 획득 모듈(120)로부터 제공되는 결정된 하나 이상의 파형 특성들을 사용하여 하나 이상의 제어 파라미터들을 생성하게 하는 명령들을 포함하는 메모리를 포함한다. 컨트롤러(126) 또는 피드백 프로세서(125)에 의해 실행되는 명령들은 또한, 통신 링크(350)(도 3b)를 따라, 클램핑 네트워크(116)에 대한 생성된 하나 이상의 제어 파라미터들에 관한 정보의 송신을 야기하도록 추가로 구성될 수 있다. 클램핑 네트워크(116) 및/또는 컨트롤러(126)는, 클램핑 네트워크(116) 및/또는 컨트롤러(126)의 프로세서에 의해 실행될 때, 클램핑 네트워크(116)로 하여금, 피드백 프로세서(125)에 의해 생성되는 하나 이상의 제어 파라미터들에 기초하여 바이어싱 전극(104)에서 원하는 척킹 전압 레벨을 확립하게 하는 명령들을 포함하는 메모리를 또한 포함할 수 있다.[0068] In various embodiments, feedback processor 125 includes memory that further includes software algorithms for instructing a processor within feedback processor 125 to perform one or more portions of the methods described herein. The one or more algorithms, when executed by the processor 121 of the high-speed data acquisition module, cause the high-speed data acquisition module to process one or more output waveforms (e.g., conditioned voltage waveforms) to process one or more of the received output waveforms. Contains commands that allow you to determine waveform characteristics. Controller 126, or feedback processor 125 disposed within controller 126, when executed by a processor (CPU), causes controller 126 or feedback processor 125 to: and a memory containing instructions to generate one or more control parameters using the provided determined one or more waveform characteristics. Instructions executed by controller 126 or feedback processor 125 may also cause transmission of information regarding the generated one or more control parameters to clamping network 116 along communication link 350 (FIG. 3B). It may be further configured to cause. Clamping network 116 and/or controller 126, when executed by a processor of clamping network 116 and/or controller 126, causes clamping network 116 to: It may also include memory containing instructions to establish a desired chucking voltage level at the biasing electrode 104 based on one or more control parameters.

[0069] 하나 이상의 실시예들에서, 고속 데이터 획득 모듈(120)은 프로세싱 챔버(100)의 컨트롤러(126)와 전기적으로(유선으로 또는 무선으로) 결합될 수 있다. 예를 들면, 고속 데이터 획득 모듈(120)은 컨트롤러(126)로 데이터를 송신하고 그리고/또는 그로부터 데이터를 수신한다. 예를 들면, 고속 데이터 획득 모듈(120)은 하나 이상의 파형 특성들에 관련되는 정보를 컨트롤러(126)에 전달한다. 게다가, 프로세싱 챔버 컨트롤러(126)는 통신 링크(350)를 통해 프로세싱 챔버(100)의 클램핑 네트워크(116)와 통신 가능하게 결합될 수 있다. 다양한 실시예들에서, 프로세싱 챔버 컨트롤러(126)는 생략된다. 프로세싱 챔버 컨트롤러(126)의 메모리 내에 저장되는 알고리즘은, 컨트롤러 CPU에 의해 실행될 때, 데이터 획득 컨트롤러(123)에 의해 결정되는 하나 이상의 파형 특성들에 관련되는 정보에 기초하여, 다양한 프로세스 챔버 세트 포인트들, 예컨대 척킹 전력 공급부 상의 척킹 전압 세트 포인트로 하여금 조정되게 하는 명령들을 포함할 수 있다.[0069] In one or more embodiments, high-speed data acquisition module 120 may be electrically coupled (wired or wirelessly) with the controller 126 of processing chamber 100. For example, high-speed data acquisition module 120 may transmit data to and/or receive data from controller 126. For example, high-speed data acquisition module 120 communicates information related to one or more waveform characteristics to controller 126. Additionally, processing chamber controller 126 may be communicatively coupled to clamping network 116 of processing chamber 100 via communication link 350. In various embodiments, processing chamber controller 126 is omitted. The algorithm stored within the memory of the processing chamber controller 126, when executed by the controller CPU, determines various process chamber set points based on information related to one or more waveform characteristics determined by the data acquisition controller 123. , for example, may include instructions that cause the chucking voltage set point on the chucking power supply to be adjusted.

클램핑 모듈 제어 방법들 및 하드웨어 예들Clamping module control methods and hardware examples

[0070] 상기에서 논의되는 바와 같이, 플라즈마 프로세싱 동안 클램핑 전극(예를 들면, 바이어싱 전극(104))에 인가되는 클램핑 전압 레벨에 대한 실시간 제어를 제공하는 능력은 반복 가능한 플라즈마 프로세싱 결과들을 개선 및 달성하는 데 그리고 프로세싱 동안 클램핑된 기판들이 손상되지 않는 것을 보장하는 데 유용하다. 도 3a는 생성되어 하나 이상의 소스들로부터 프로세스 챔버(100)에 배치되는 하나 이상의 전극들로 전달되는 복수의 파형들을 포함하는 펄스식 전압 파형들의 버스트(316)를 예시한다. 예를 들면, 파형들(301-304) 각각은 PV 파형 생성기(150)에 의해 생성되는 펄스식 전압 파형(도시되지 않음)의 전달에 의해 시스템(300)(도 3b) 내의 상이한 지점들에서 확립된다. 일부 실시예들에서, 버스트 오프 기간(314)에 의해 각각 분리되는 일련의 개개의 버스트들(316)이 바이어싱 전극(104)에 제공된다. 펄스식 전압 파형들의 버스트(316) 및 순차적으로 수행된 버스트 오프 기간(314)을 포함하는 버스트 사이클(317)은, 도 3a 및 도 4b 내지 도 4d와 관련하여 하기에서 추가로 논의되는 바와 같이, 기판 프로세싱 동안 다수 회 반복될 수 있다.[0070] As discussed above, the ability to provide real-time control over the clamping voltage level applied to the clamping electrode (e.g., biasing electrode 104) during plasma processing is helpful in improving and achieving repeatable plasma processing results. And it is useful to ensure that clamped boards are not damaged during processing. FIG. 3A illustrates a burst 316 of pulsed voltage waveforms comprising a plurality of waveforms generated and transmitted from one or more sources to one or more electrodes disposed in the process chamber 100 . For example, each of waveforms 301-304 may be established at different points within system 300 (FIG. 3B) by delivery of a pulsed voltage waveform (not shown) generated by PV waveform generator 150. do. In some embodiments, a series of individual bursts 316 are provided to the biasing electrode 104, each separated by a burst off period 314. A burst cycle 317 comprising a burst of pulsed voltage waveforms 316 and a burst off period 314 performed sequentially, as discussed further below with respect to FIGS. 3A and 4B-4D, This may be repeated multiple times during substrate processing.

[0071] 시스템(300)은, 예를 들면, 제1 PV 소스 어셈블리(196)(도 1a)의 PV 파형 생성기(150)로부터 기판 지지체 어셈블리(136) 내에 배치되는 바이어싱 전극(104)까지를 포함하는 프로세스 챔버(100)의 부분을 일반적으로 나타내는 단순화된 개략도이다. 시스템(300) 내의 컴포넌트들은 상이한 시간들에서 시스템(300) 내의 상이한 지점들에서 검출되는 전기 신호들의 특성들을 검출함으로써 PV 파형 생성기(150)로부터 전달되는 하나 이상의 PV 파형들의 파형 특성들을 검출하고 결정하기 위해 사용된다. 신호 라인들(321-325)은 도 1e에 예시되는 복수의 신호 라인들(187)과 유사하고, 따라서 프로세싱 시스템 내의 다양한 지점들과 신호 검출 모듈(188)의 입력 채널들(172)(도 3b에는 도시되지 않음) 사이의 연결들을 예시하도록 의도된다.[0071] System 300 may include, for example, a process that includes from a PV waveform generator 150 of a first PV source assembly 196 (FIG. 1A) to a biasing electrode 104 disposed within a substrate support assembly 136. This is a simplified schematic diagram generally representing portions of chamber 100. Components within system 300 detect and determine waveform characteristics of one or more PV waveforms transmitted from PV waveform generator 150 by detecting characteristics of electrical signals detected at different points within system 300 at different times. It is used for. Signal lines 321-325 are similar to the plurality of signal lines 187 illustrated in Figure 1E and thus are connected to various points within the processing system and input channels 172 of signal detection module 188 (Figure 3B). is intended to illustrate connections between (not shown).

[0072] 도 3a에 예시되는 바와 같이, 복수의 측정된 PV 파형들(301-304)은 PV 파형 버스트(316) 동안 제공되는 일련의 펄스들을 포함한다. 이 예에서, 일련의 펄스들 중 마지막 세 개가 버스트(316) 내에서 도시된다. PV 파형들(301-304) 각각 내의 세 개 펄스들 각각은 파형 주기(Tp)를 갖는다. 버스트 온 기간(burst-on period; 310)을 갖는 펄스들의 버스트(316)의 전달 이후, PV 파형 생성기(150)의 출력은 시스템(300)이 PV 파형 생성기(150)에 의해 어떠한 PV 파형들도 생성되지 않는 시간의 기간을 경험하도록 정지된다. 어떠한 PV 파형들도 형성되지 않는 시간은 본원에서 비 버스트 기간(non-burst period; 314) 또는 "버스트 오프" 기간(314)으로 지칭된다. 버스트(316)와 비 버스트 기간(314)의 정상 상태 부분 사이에는 전이 영역이 있는데, 이것은 본원에서 플라즈마 완화 기간(312)으로 지칭된다. 비 버스트 기간(314)의 끝에서, 복수의 펄스들을 포함하는 제2 버스트(도시되지 않음)가 생성되어 PV 파형 생성기(150)로부터 전달된다. 기판의 프로세싱 동안, 일련의 버스트들 내의 각각의 버스트(316)가 버스트 오프 기간들(314)에 의해 분리되는 것이 전형적이며, 그 결과, 버스트(316) 및 버스트 오프 기간(314)(즉, 버스트 사이클들(317))이 다수 회 순차적으로 형성된다. 따라서, 버스트(316) 및 버스트 오프 기간(314)을 포함하는 단일의 버스트 사이클(317)은 버스트 온 기간(310)(즉, TON)과 버스트 오프 기간(314)(즉, TOFF)의 합과 동일한 길이를 갖는데, 이것은 본원에서 버스트 기간(TBD)(도 4b)으로서 또한 지칭된다. 하나의 예에서, 버스트 온 기간(310)은 약 100 마이크로초(㎲)와 약 10밀리초(ms) 사이, 예컨대 약 200 ㎲ 내지 약 5 ms에 있다. 하나의 예에서, 파형 주기(Tp)는 약 1 ㎲와 약 10 ㎲ 사이에 있고, 예컨대 약 2.5 ㎲이다. 버스트 듀티 사이클은 약 5 % 내지 약 100 % 사이, 예컨대 약 50 %와 95 % 사이에 있을 수 있는데, 여기서 듀티 사이클은 버스트 온 기간(310)을 버스트 온 기간(310)과 비 버스트 기간(314)을 더한 값에 의해 나눈 비율이다.[0072] As illustrated in FIG. 3A, the plurality of measured PV waveforms 301-304 include a series of pulses provided during a PV wave burst 316. In this example, the last three of the series of pulses are shown within burst 316. Each of the three pulses within each of the PV waveforms 301-304 has a waveform period (T p ). After delivery of the burst 316 of pulses having a burst-on period 310, the output of the PV waveform generator 150 is such that the system 300 generates any PV waveforms by the PV waveform generator 150. We are suspended to experience a period of time that is not created. The time during which no PV waveforms are formed is referred to herein as the non-burst period (314) or “burst off” period (314). There is a transition region between the burst 316 and the steady state portion of the non-burst period 314, which is referred to herein as the plasma relaxation period 312. At the end of the non-burst period 314, a second burst (not shown) comprising a plurality of pulses is generated and delivered from the PV waveform generator 150. During processing of a substrate, it is typical for each burst 316 within a series of bursts to be separated by burst off periods 314, resulting in burst 316 and burst off periods 314 (i.e., burst off periods 314). Cycles 317) are sequentially formed multiple times. Accordingly, a single burst cycle 317 comprising burst 316 and burst off period 314 is a burst cycle of burst on period 310 (i.e., T ON ) and burst off period 314 (i.e., T OFF ). It has the same length as the sum, which is also referred to herein as the burst period (T BD ) (Figure 4b). In one example, the burst on period 310 is between about 100 microseconds (μs) and about 10 milliseconds (ms), such as between about 200 μs and about 5 ms. In one example, the waveform period (T p ) is between about 1 μs and about 10 μs, such as about 2.5 μs. The burst duty cycle may be between about 5% and about 100%, such as between about 50% and 95%, where the duty cycle divides the burst on period 310 into a burst on period 310 and a non-burst period 314. It is a ratio divided by the added value.

[0073] PV 파형(301)은, 예컨대 도 3b에 노드(N1)로서 예시되는, 차단 커패시터(C5)와 바이어싱 전극(104) 사이의 한 지점에서 측정된다. 따라서, 측정된 전압은 프로세싱 챔버에서 수행되는 프로세싱 시퀀스의 상이한 국면들 동안 바이어싱 전극(104)에서 측정되는 실제 전압에 관련된다. 본원에서 전극 전압(VE)으로서 또한 지칭되는 PV 파형의 측정된 전압은, 일련의 버스트들(316) 및 비 버스트 기간들(314)이 프로세싱 동안 PV 파형 생성기(150)로부터 바이어싱 전극(104)으로 제공됨에 따라, 시간이 지남에 따라 변한다. 하나의 실시예에서, PV 파형(301)은 노드(N1)에 포지셔닝되는 전기 결합 어셈블리(도시되지 않음)에 의해 측정된다. 전기 결합 어셈블리는 신호 검출 모듈(188) 내의 입력 채널(172)과 통신하는 신호 트레이스(324)에 결합된다.[0073] The PV waveform 301 is measured, for example, at a point between the blocking capacitor C 5 and the biasing electrode 104, illustrated as node N 1 in FIG. 3B. Accordingly, the measured voltage is related to the actual voltage measured at the biasing electrode 104 during different phases of the processing sequence performed in the processing chamber. The measured voltage of the PV waveform, also referred to herein as the electrode voltage V ), it changes over time. In one embodiment, PV waveform 301 is measured by an electrical coupling assembly (not shown) positioned at node N 1 . An electrical coupling assembly is coupled to a signal trace 324 that communicates with an input channel 172 within signal detection module 188.

[0074] 일부 실시예들에서, PV 파형 생성기(150)의 출력에서 생성되는 PV 파형(도시되지 않음)은, 노드(N3)에 포지셔닝되는 전기 결합 어셈블리(도시되지 않음)에서 형성되는 전압을 측정함으로써 본원에서 설명되는 프로세스들 중 하나 이상에서 측정되고 활용된다. PV 파형 생성기(150)에서 측정되는 PV 파형은 PV 파형(301)을 밀접하게 추적할 것이며, 적어도 DC 전압 공급부(P2)의 세트 포인트에 관련되는 양만큼 PV 파형(301)으로부터 오프셋되는 측정된 전압을 가질 것이다. 이 구성에서, 도 3b에서 도시되는 바와 같이, 전기 결합 어셈블리는 신호 검출 모듈(188) 내의 입력 채널(172)과 통신하는 신호 트레이스(321)에 결합된다.[0074] In some embodiments, the PV waveform (not shown) generated at the output of PV waveform generator 150 generates a voltage formed at an electrical coupling assembly (not shown) positioned at node N 3 . Measurements are made and utilized in one or more of the processes described herein. The PV waveform measured in the PV waveform generator 150 will closely track the PV waveform 301 and the measured waveform will be offset from the PV waveform 301 by at least an amount related to the set point of the DC voltage supply (P 2 ). It will have voltage. In this configuration, as shown in FIG. 3B, an electrical coupling assembly is coupled to a signal trace 321 that communicates with an input channel 172 within signal detection module 188.

[0075] PV 파형(302)은 PV 파형 생성기(150)로부터 제공되는 PV 파형들의 전달에 기인하여 프로세싱 동안 기판(103) 상에서 확립되는 전압을 나타내도록 의도된다. 도 3a에서 도시되는 바와 같이, PV 파형(302)은 측정된 PV 파형(301)을 매우 밀접하게 추적하고, 그 결과, PV 파형(302)은, 전형적으로, PV 파형(301)으로부터 고정된 양만큼 오프셋될 것으로 간주된다. 전극(104)과 기판(103) 사이의 프로세싱 동안 형성되는 오프셋 전압은 본원에서 클램핑 전압으로 지칭되고, 주로 DC 전압 공급부(P2)의 세트 포인트에 의해 설정된다. 일부 구성들에서, PV 파형(302)은 기판(103)의 전면 또는 후면과 양호하게 접촉하며 신호 검출 모듈(188) 내의 입력 채널(172)과 통신하는 신호 트레이스(322)에 부착되는 전압 프로브에 의해 측정될 수 있다. 대부분의 프로세스 챔버 하드웨어 구성들에서, 기판 전압은 ESC 하드웨어 제한들, 측정 신호 무결성 이슈들 및 챔버 컴포넌트 관련 이슈들 사이의 용량 결합에 기인하여 직접적으로 측정하기가 쉽지 않다. 본원에서 설명되는 방법들의 사용에 의해, 기판 전압의 직접적인 측정에 대한 필요성이 본원에서 설명되는 다양한 측정 기술들 및 프로세스들의 사용에 의해 방지될 수 있다.[0075] PV waveform 302 is intended to represent the voltage established on substrate 103 during processing due to propagation of PV waveforms provided from PV waveform generator 150. As shown in FIG. 3A , PV waveform 302 tracks measured PV waveform 301 very closely, and as a result, PV waveform 302 is typically a fixed amount from PV waveform 301. It is considered to be offset by The offset voltage formed during processing between the electrode 104 and the substrate 103 is referred to herein as the clamping voltage and is mainly set by the set point of the DC voltage supply P 2 . In some configurations, the PV waveform 302 is connected to a voltage probe attached to a signal trace 322 in good contact with the front or back side of the substrate 103 and in communication with the input channel 172 within the signal detection module 188. It can be measured by In most process chamber hardware configurations, substrate voltage is not easy to measure directly due to capacitive coupling between ESC hardware limitations, measurement signal integrity issues, and chamber component-related issues. By use of the methods described herein, the need for direct measurement of substrate voltage can be avoided by use of the various measurement techniques and processes described herein.

[0076] 일부 실시예에서, PV 파형(303)은 프로세싱 챔버(100) 내에 포지셔닝되는 제2 전도체 플레이트에 직접 결합되는 노드에서 측정된다. 하나의 실시예에서, 제2 전도체 플레이트는 도 3b의 노드(N5)에 포지셔닝되는 지지체 베이스(107)이다. 도 3b에서 도시되는 바와 같이, 제2 전도체 플레이트는 커패시턴스(C3)와 커패시턴스(C2) 사이에서 포지셔닝되는데, 이들은, 각각, 절연체 플레이트(111) 및 유전체 층(105C)의 존재에 의해 형성되는 커패시턴스들을 나타내도록 의도된다. 따라서, 측정된 전압은 프로세싱 챔버에서 수행되는 프로세싱 시퀀스의 상이한 국면들 동안 지지체 베이스(107)에서 측정되는 실제 전압에 관련된다. 본원에서 전압(VC)으로서 지칭되는 PV 파형의 측정된 전압은, 일련의 버스트들(316) 및 비 버스트 기간들(314)이 프로세싱 동안 PV 파형 생성기(150)로부터 바이어싱 전극(104)으로 제공됨에 따라, 시간에 걸쳐 변한다. 일부 실시예들에서, PV 파형(303)은 전도체 플레이트(107)를 통해 챔버에 결합되는 RF 소스 어셈블리(163)와 같은 소스의 사용에 의해 형성된다. PV 파형(303)은, 노드(N5)에 포지셔닝되며 신호 검출 모듈(188) 내의 입력 채널(172)과 통신하는 신호 트레이스(323)에 결합되는 전기 결합 어셈블리의 사용에 의해 측정될 수 있다.[0076] In some embodiments, the PV waveform 303 is measured at a node that is directly coupled to a second conductor plate positioned within the processing chamber 100. In one embodiment, the second conductor plate is a support base 107 positioned at node N 5 in FIG. 3B. As shown in Figure 3b, the second conductor plate is positioned between capacitance C 3 and capacitance C 2 , which are formed by the presence of insulator plate 111 and dielectric layer 105C, respectively. It is intended to represent capacitances. Accordingly, the measured voltage is related to the actual voltage measured at the support base 107 during different phases of the processing sequence performed in the processing chamber. The measured voltage of the PV waveform, referred to herein as voltage V C , is transferred from the PV waveform generator 150 to the biasing electrode 104 during processing of a series of bursts 316 and non-burst periods 314. As it is provided, it changes over time. In some embodiments, PV waveform 303 is formed by the use of a source, such as RF source assembly 163, coupled to the chamber through conductor plate 107. PV waveform 303 can be measured by the use of an electrical coupling assembly coupled to a signal trace 323 positioned at node N 5 and communicating with an input channel 172 within signal detection module 188.

[0077] 일부 실시예들에서, PV 파형(304)은 PV 소스(150)에 직접적으로 결합되는 노드에서 측정된다. 본원에서 전압(VR)으로서 지칭되는 PV 파형(304)의 측정된 전압은 일련의 버스트들(316) 및 비 버스트 기간들(314)이 PV 파형 생성기(150)로부터 제공됨에 따라, 시간에 걸쳐 변한다. 일부 실시예들에서, PV 파형(304)은 비 버스트 기간들(314) 동안 원하는 전압(V4)을 달성하도록 구성되고, 따라서 비 버스트 기간들(314) 동안 전기적으로 플로팅하지(float) 않는다. 일부 실시예들에서, PV 파형(304)은 비 버스트 기간들(314) 동안 전기적으로 플로팅하도록 구성된다. PV 파형(304)은 노드(N3)에 결합되며 신호 검출 모듈(188) 내의 입력 채널(172)과 통신하는 신호 트레이스(321)에 결합되는 전기 결합 어셈블리의 사용에 의해 측정될 수 있다.[0077] In some embodiments, PV waveform 304 is measured at a node that is directly coupled to PV source 150. The measured voltage of PV waveform 304, referred to herein as voltage V R , is generated over time as a series of bursts 316 and non-burst periods 314 are provided from PV waveform generator 150 It changes. In some embodiments, PV waveform 304 is configured to achieve a desired voltage (V 4 ) during non-burst periods 314 and thus does not electrically float during non-burst periods 314. In some embodiments, PV waveform 304 is configured to float electrically during non-burst periods 314. PV waveform 304 can be measured by use of an electrical coupling assembly coupled to node N 3 and signal trace 321 in communication with input channel 172 within signal detection module 188.

[0078] 도 4a는 파형 주기(Tp)의 한 부분 동안 PV 소스 어셈블리 내의 PV 파형 생성기(150) 및 어셈블리(116)의 DC 전압 소스(P2)의 사용에 의한 바이어싱 전극(104)에 대한 PV 파형(401)의 한 예를 예시한다. PV 파형(402)은, 도 4a에서 도시되는 바와 같이, PV 파형(401)이 PV 파형 생성기(150) 및 DC 전압 소스(P2)에 의해 바이어싱 전극(104)에서 확립되는 것에 기인하여 기판에서 확립되는 일련의 PV 파형들(예를 들면, VW)을 포함한다. PV 파형들(401 및 402)은 도 3a에 예시되는 PV 파형들(301 및 302)의 부분들의 더욱 상세한 예들을 예시하도록 의도된다.[0078] FIG. 4A shows biasing electrode 104 by use of a DC voltage source (P 2 ) of assembly 116 and a PV waveform generator 150 within a PV source assembly during a portion of the waveform period (T p ). An example of the PV waveform 401 is illustrated. The PV waveform 402 is generated at the substrate due to the PV waveform 401 being established at the biasing electrode 104 by the PV waveform generator 150 and the DC voltage source P 2 , as shown in Figure 4A. It includes a series of PV waveforms (e.g., V W ) established in . PV waveforms 401 and 402 are intended to illustrate more detailed examples of portions of PV waveforms 301 and 302 illustrated in FIG. 3A.

[0079] 컨트롤러(126)의 메모리에 저장되는 플라즈마 프로세싱 레시피의 설정에 의해 제어될 수 있는 PV 파형 생성기(150)의 출력은, 본원에서 펄스 전압 레벨(Vpp)로 또한 지칭되는 피크 대 피크 전압을 포함하는 PV 파형(401)을 형성한다. PV 파형(401)의 전달에 기인하여 기판(103)에 의해 보이는 파형인 PV 파형(402)은 지점(420)과 지점(421) 사이에서 연장되는 외장 붕괴 및 재충전 국면(450)(또는 논의의 간략화를 위해 외장 붕괴 국면(450)), 지점(421)과 지점(422) 사이에 연장되는 외장 형성 국면(451), 및 지점(422)과 다음번 순차적으로 확립된 펄스 전압 파형의 지점(420)에 있는 시작 지점 사이에서 다시 연장되는 이온 전류 국면(452)을 포함하는 것을 특징으로 한다. 원하는 플라즈마 프로세싱 조건들에 따라, 적어도 PV 파형 특성들, 예컨대 PV 파형 주파수(1/TP), 펄스 전압 레벨(Vpp), 펄스 전압 온 시간, 및/또는 버스트(316) 내의 PV 파형들의 다른 파라미터들을 제어하고 설정하여 기판 상에서 바람직한 플라즈마 프로세싱 결과들을 달성하는 것이 바람직하다. 하나의 예에서, 이온 전류 시간 기간(예를 들면, 도 4a의 지점(422)과 후속하는 지점(420) 사이의 시간) 대 파형 주기(Tp)의 비율로서 정의되는 펄스 전압(PV) 온 시간은, 에칭 레이트를 조정하기 위해 하나의 플라즈마 프로세싱 레시피로부터 다른 것으로 변경된다. 일부 실시예들에서, PV 온 시간은 50 % 초과, 또는 70 % 초과, 예컨대, 80 %와 95 % 사이이다.[0079] The output of PV waveform generator 150, which can be controlled by settings of a plasma processing recipe stored in the memory of controller 126, is a peak-to-peak voltage, also referred to herein as pulse voltage level (V pp ). A PV waveform 401 containing is formed. PV waveform 402, which is the waveform seen by substrate 103 due to the propagation of PV waveform 401, extends between point 420 and point 421, causing sheath decay and recharge phase 450 (or For simplicity, a sheath collapse phase 450), a sheath formation phase 451 extending between points 421 and 422, and a sheath formation phase 451 extending between points 422 and the next sequentially established point 420 of the pulse voltage waveform. characterized in that it comprises an ion current phase 452 extending back between the starting point at . Depending on the desired plasma processing conditions, at least PV waveform characteristics, such as PV waveform frequency (1/T P ), pulse voltage level (V pp ), pulse voltage on time, and/or other characteristics of the PV waveforms within burst 316 It is desirable to control and set parameters to achieve desirable plasma processing results on a substrate. In one example, the pulse voltage (PV) is defined as the ratio of the ion current time period (e.g., the time between point 422 and the subsequent point 420 in FIG. 4A) to the waveform period (T p ). Time is varied from one plasma processing recipe to another to adjust the etch rate. In some embodiments, the PV on time is greater than 50%, or greater than 70%, such as between 80% and 95%.

[0080] 도 4b는 펄스식 전압 파형들의 일련의 버스트들(462)이 바이어싱 전극(104) 및 기판 표면에서 확립되는 PV 파형들을 예시한다. 도 4b에 예시되는 예에서, 각각의 버스트(462) 내의 복수의 펄스들(461)은 바이어싱 전극(104)에서 확립되는 일련의 PV 파형들(401)을 포함한다. 이 예에서, 버스트들(462) 각각은 일관된 펄스식 전압 형상(예를 들면, 각각의 PV 파형(401)의 한 부분 동안 일정한 전압 크기가 제공됨)을 갖는 PV 파형을 갖는 펄스들(461), 시간이 지남에 따라 하나의 버스트(462)로부터 다른 버스트로 변하지 않는 버스트 전달 길이(TON), 및 시간이 지남에 따라 다양한 길이를 갖지 않는 버스트 휴지 길이(burst rest length)(TOFF)를 포함한다. 버스트 휴지 길이(TOFF)는 시간의 한 기간 동안 버스트 전달 길이(TON) 시간 동안 제공되는 PV 파형들의 전달을 중단함으로써 형성된다. 이 예에서, 버스트 동안 복수의 펄스들이 전달되는 시간의 길이(즉, 버스트 전달 길이(TON))를 버스트 기간의 지속 기간(즉, TBD = TON + TOFF)에 의해 나눈 비율인 버스트들(462)의 듀티 사이클도 또한 일정하다. 논의의 명확화를 위해, 도 3a에 참조되는 버스트 온 기간(310)과 버스트 오프 기간(314)의 총합은 도 4b에 참조되는 버스트 기간(TBD)과 동등하게 되도록 의도된다. 다른 프로세싱 방법들에서, 복수의 펄스들(461)이 음의 펄스 파형들, 성형된 펄스 파형들 또는 양의 펄스 파형들, 또는 이들의 조합들을 포함할 수 있다는 것이 인식될 것이다. 도 4b에 예시되는 바와 같이, 버스트 휴지 길이(TOFF) 동안 바이어싱 전극 전위 곡선(436)은 바이어스 보상 모듈(116)에 의해 인가되고 제어되는 척킹 전압에 의해 주로 제어되며, 따라서, 플라즈마 전위와는 상이한 전압 레벨에 있을 수 있다.[0080] FIG. 4B illustrates PV waveforms in which a series of bursts 462 of pulsed voltage waveforms are established at the biasing electrode 104 and the substrate surface. In the example illustrated in FIG. 4B , the plurality of pulses 461 within each burst 462 include a series of PV waveforms 401 that are established at the biasing electrode 104 . In this example, the bursts 462 each have pulses 461 with a PV waveform having a consistent pulsed voltage shape (e.g., a constant voltage magnitude is provided during a portion of each PV waveform 401); a burst propagation length (T ON ) that does not vary from one burst 462 to another over time, and a burst rest length (T OFF ) that does not vary in length over time. do. The burst pause length (T OFF ) is formed by stopping the propagation of the PV waveforms provided during the burst propagation length (T ON ) for a period of time. In this example, the burst ratio is the ratio of the length of time over which a plurality of pulses are delivered during a burst (i.e., the burst delivery length (T ON )) divided by the duration of the burst period (i.e., T BD = T ON + T OFF ). The duty cycle of fields 462 is also constant. For clarity of discussion, the sum of the burst on period 310 and burst off period 314 referenced in FIG. 3A is intended to be equal to the burst period T BD referenced in FIG. 4B. It will be appreciated that in other processing methods, the plurality of pulses 461 may include negative pulse waveforms, shaped pulse waveforms or positive pulse waveforms, or combinations thereof. As illustrated in FIG. 4B , the biasing electrode potential curve 436 during the burst pause length (T OFF ) is primarily controlled by the chucking voltage applied and controlled by the bias compensation module 116, and thus the plasma potential and may be at different voltage levels.

[0081] 도 4c는 전극, 예컨대 바이어싱 전극(104)에서 펄스식 전압 파형들의 다중 레벨의 일련의 버스트들(490)이 확립되는 PV 파형을 예시한다. 프로세싱 동안, 복수의 버스트들(491 및 492) 및 버스트 오프 기간(493)을 포함하는 일련의 버스트들(490)이 바이어싱 전극(104)에 제공된다. 버스트 오프 기간(493)이 후속되는 일련의 버스트들(491 및 492)을 포함하는 일련의 버스트들(490)은 한 번 이상 순차적으로 반복될 수 있다. 하나의 예에서, 복수의 버스트들(491 및 492) 각각은, 버스트들(491 및 492) 각각의 피크들 각각의 레벨들에서의 차이에 의해 예시되는 바와 같이, 상이한 전압 레벨들에서 공급되는 복수의 PV 파형들(401)을 포함한다. 일부 실시예들에서, 버스트(492)로부터 버스트(491)로의 전이는 버스트 오프 기간(493)에 의해 분리되는 한편, 버스트(491)로부터 버스트(492)로의 전이는 버스트 오프 기간(493)에 의해 분리되지 않는다. 일련의 버스트들(490)은, 버스트(491) 동안 바이어싱 전극(104)에 제공되는 펄스 파형들이 버스트(492) 동안 바이어싱 전극(104)에 제공되는 펄스 파형들보다 더 높은 펄스 전압 레벨(Vpp)(도 4a)을 갖는 것에 기인하여, "높은-낮은" 일련의 버스트들로서 종종 지칭된다. 버스트들(491)은 "하이" 펄스 전압 레벨(Vpp)을 포함하는 것으로 본원에서 종종 언급되고, 버스트들(492)은 "낮은" 펄스 전압 레벨(Vpp)을 포함하는 것으로 본원에서 종종 언급된다.[0081] Figure 4C illustrates a PV waveform in which a series of multi-level bursts 490 of pulsed voltage waveforms are established at an electrode, such as a biasing electrode 104. During processing, a series of bursts 490 is provided to the biasing electrode 104, including a plurality of bursts 491 and 492 and a burst off period 493. The series of bursts 490, including the series of bursts 491 and 492 followed by a burst off period 493, may be sequentially repeated one or more times. In one example, each of the plurality of bursts 491 and 492 is supplied at different voltage levels, as illustrated by the difference in the levels of the respective peaks of each of the bursts 491 and 492. Includes PV waveforms 401. In some embodiments, the transition from burst 492 to burst 491 is separated by burst off period 493, while the transition from burst 491 to burst 492 is separated by burst off period 493. not separated. The series of bursts 490 is such that the pulse waveforms provided to the biasing electrode 104 during burst 491 have a higher pulse voltage level ( Due to having V pp ) (Figure 4a), it is often referred to as a “high-low” series of bursts. Bursts 491 are often referred to herein as comprising a “high” pulse voltage level (V pp ), and bursts 492 are often referred to herein as comprising a “low” pulse voltage level (V pp ). do.

[0082] 도 4d는 전극, 예컨대 바이어싱 전극(104)에서 펄스식 전압 파형들의 다중 레벨의 일련의 버스트들(494)이 확립되는 PV 파형을 예시한다. 상이한 "낮은-높은" 구성으로 배향되는 복수의 버스트들(491 및 492) 및 버스트 오프 기간(493)을 또한 포함하는 일련의 버스트들(494)은 한 번 이상 순차적으로 반복될 수 있다. 하나의 예에서, 복수의 버스트들(491 및 492) 각각은, 버스트들(491 및 492) 각각의 피크들 각각의 레벨들에서의 차이에 의해 예시되는 바와 같이, 상이한 전압 레벨들에서 공급되는 복수의 PV 파형들(401)을 포함한다. 일부 실시예들에서, 버스트(492)로부터 버스트(491)로의 전이는 버스트 오프 기간(493)에 의해 분리되지 않고, 한편 버스트(491)로부터 다음번 버스트(492)로의 전이는 버스트 오프 기간(493)에 의해 분리된다.[0082] Figure 4D illustrates a PV waveform in which a series of multi-level bursts 494 of pulsed voltage waveforms are established at an electrode, such as biasing electrode 104. The series of bursts 494, which also includes a plurality of bursts 491 and 492 oriented in different “low-high” configurations and a burst off period 493, may be sequentially repeated one or more times. In one example, each of the plurality of bursts 491 and 492 is supplied at different voltage levels, as illustrated by the difference in the levels of the respective peaks of each of the bursts 491 and 492. Includes PV waveforms 401. In some embodiments, the transition from burst 492 to burst 491 is not separated by a burst off period 493, while the transition from burst 491 to the next burst 492 is separated by a burst off period 493. is separated by

[0083] 도 5b는 생성되어 RF 소스 어셈블리(163)로부터 프로세스 챔버(100)에 배치되는 전극으로 전달되는 복수의 파형들을 포함하는 RF 파형들의 버스트(516)를 예시한다. 예를 들면, 파형들(502-504) 각각은 RF 생성기(118)에 의해 생성되는 RF 파형(501)의 전달에 의해 시스템(500)(도 5a) 내의 상이한 지점들에서 각각 확립된다. 파형들(502-504)은 기판에서 형성되는 파형(VW), 정전 척의 표면에서 형성되는 파형(VS), 및 바이어싱 전극(104)에서 형성되는 파형(VE)을 각각 포함한다. 도 5a는 상이한 시간들에서 시스템(500) 내의 상이한 지점들에서 검출되는 전기 신호들의 특성들을 검출함으로써 RF 생성기(118)로부터 전달되는 하나 이상의 RF 파형들의 파형 특성들을 검출하고 결정하기 위해 사용되는 시스템(500) 구성의 한 예를 예시한다. 신호 트레이스들(322-325)은 도 1e에 예시되는 복수의 신호 라인들(187)의 복수의 신호 트레이스들(192)과 유사하며, 따라서, 프로세싱 시스템 내의 다양한 지점들과 신호 검출 모듈(188)의 입력 채널들(172)(도 3b에서 도시되지 않음) 사이의 연결들을 예시하도록 의도된다.[0083] FIG. 5B illustrates a burst of RF waveforms 516 comprising a plurality of waveforms generated and transmitted from the RF source assembly 163 to an electrode disposed in the process chamber 100. For example, waveforms 502-504 are each established at different points within system 500 (FIG. 5A) by propagation of RF waveform 501 generated by RF generator 118. The waveforms 502 - 504 include a waveform formed at the substrate (V W ), a waveform formed at the surface of the electrostatic chuck (V S ), and a waveform formed at the biasing electrode 104 (V E ), respectively. FIG. 5A illustrates a system ( 500) Illustrates an example of a configuration. Signal traces 322-325 are similar to the plurality of signal traces 192 of the plurality of signal lines 187 illustrated in FIG. 1E and thus are used at various points within the processing system and signal detection module 188. It is intended to illustrate connections between input channels 172 (not shown in FIG. 3B).

[0084] 도 5b에 예시되는 바와 같이, 복수의 측정된 RF 파형들(501-504)은 RF 버스트(516) 동안 제공되는 일련의 펄스들을 포함한다. 이 예에서, RF 파형의 두 개 사이클들이 버스트(516) 내에서 도시된다. 측정된 RF 파형들, 예컨대 RF 파형들(501-504)은 RF 생성기(118)에 의해 제어되는 파형 주파수를 가지는데, 이것은 100 kHz 내지 120 MHz에 있을 수 있다. 버스트 기간(510)을 갖는 RF 버스트(516)의 전달 이후, RF 생성기(118)의 출력은, 시스템(500)이 RF 생성기(118)에 의해 어떠한 RF 파형들도 생성되고 있지 않은 시간의 기간을 경험하도록 정지된다. 어떠한 RF 파형들도 형성되지 않는 시간은 본원에서 비 버스트 기간(514) 또는 "버스트 오프" 기간(514)으로 지칭된다. 버스트(516)와 비 버스트 기간(514)의 정상 상태 부분 사이에는 전이 영역이 있는데, 이것은 본원에서 플라즈마 완화 기간(512)으로 지칭된다. 비 버스트 기간(514)의 끝에서, 복수의 RF 파형들을 포함하는 제2 버스트(도시되지 않음)가 생성되어 RF 생성기(118)로부터 전달된다. 기판의 프로세싱 동안, 일련의 버스트들 내의 각각의 버스트(516)가 비 버스트 기간들(514)에 의해 분리되는 것이 전형적이며, 그 결과, 일련의 버스트들(516) 및 비 버스트 기간들(514)은 다수 회 순차적으로 형성된다. 하나의 예에서, 버스트 기간(510)은 약 20 마이크로초(㎲) 내지 약 100 밀리초(ms), 예컨대 약 200 ㎲ 내지 약 5 ms에 있다. 버스트 듀티 사이클은 약 5 % 내지 100 %, 예컨대 약 50 % 내지 약 95 %에 있을 수 있는데, 여기서 듀티 사이클은 버스트 기간(510)을 버스트 기간(510)과 비 버스트 기간(514)을 더한 값에 의해 나눈 비율이다.[0084] As illustrated in FIG. 5B, the plurality of measured RF waveforms 501-504 include a series of pulses provided during an RF burst 516. In this example, two cycles of the RF waveform are shown within burst 516. The measured RF waveforms, such as RF waveforms 501-504, have a waveform frequency controlled by RF generator 118, which may be between 100 kHz and 120 MHz. After delivery of the RF burst 516 having a burst period 510, the output of the RF generator 118 is such that the system 500 has a period of time in which no RF waveforms are being generated by the RF generator 118. Stopped to experience. The time during which no RF waveforms are formed is referred to herein as a non-burst period 514 or a “burst off” period 514. There is a transition region between the burst 516 and the steady state portion of the non-burst period 514, which is referred to herein as the plasma relaxation period 512. At the end of the non-burst period 514, a second burst (not shown) comprising a plurality of RF waveforms is generated and delivered from the RF generator 118. During processing of a substrate, it is typical for each burst 516 within a series of bursts to be separated by non-burst periods 514, resulting in a series of bursts 516 and non-burst periods 514. is formed sequentially multiple times. In one example, the burst period 510 is between about 20 microseconds (μs) and about 100 milliseconds (ms), such as between about 200 μs and about 5 ms. The burst duty cycle may be between about 5% and 100%, such as between about 50% and about 95%, where the duty cycle is equal to the burst period 510 plus the burst period 510 and the non-burst period 514. It is a ratio divided by

플라즈마 전위 분석Plasma potential analysis

[0085] 플라즈마 프로세스 동안 원하는 클램핑 전압(VDCV)을 신뢰성 있게 생성하기 위해, 프로세싱 동안 클램핑 전극에 클램핑 전압을 전달할 때 플라즈마 전위에서의 변화들이 고려될 필요가 있다. 상기에서 논의되는 바와 같이, 생산 환경에서 다수의 기판들을 연속적으로 프로세싱하도록 구성되는 프로세싱 챔버에서 플라즈마 전위를 신뢰성 있게 측정하고 모니터링하는 능력은 중요한 태스크이다. 본원에서 제공되는 개시내용의 실시예들 중 하나 이상에서, 플라즈마 전위는 기판 프로세싱 시퀀스의 상이한 부분들 동안 플라즈마 프로세싱 시스템 내의 상이한 지점들에서 이루어지는 측정들에 기초하여 결정된다. 도 6a는 바람직한 클램핑 전압이 신뢰성 있게 제어되고 기판 지지체 내에 배치되는 클램핑 전극에 인가될 수 있도록 프로세싱 챔버에서 형성되는 플라즈마의 속성(attribute)들을 측정, 모니터링 및 제어하기 위해 사용될 수 있는 프로세싱 방법을 예시한다. 커패시턴스(C1)는 유전체 층(105B)의 순 직렬 커패시턴스, 유전체 표면(105A)과 기판 후면 사이의 갭, 및 기판 후면 표면 상의 가능한 얇은 유전체 층인 것으로 가정된다.[0085] In order to reliably generate the desired clamping voltage (V DCV ) during the plasma process, changes in the plasma potential need to be taken into account when delivering the clamping voltage to the clamping electrode during processing. As discussed above, the ability to reliably measure and monitor plasma potential in a processing chamber configured to continuously process multiple substrates in a production environment is a critical task. In one or more of the embodiments of the disclosure provided herein, the plasma potential is determined based on measurements made at different points within the plasma processing system during different portions of the substrate processing sequence. 6A illustrates a processing method that can be used to measure, monitor, and control the attributes of a plasma formed in a processing chamber such that the desired clamping voltage can be reliably controlled and applied to a clamping electrode disposed within the substrate support. . The capacitance C 1 is assumed to be the net series capacitance of dielectric layer 105B, the gap between dielectric surface 105A and the substrate backside, and the thinnest dielectric layer possible on the substrate backside surface.

[0086] 도 4a에서 도시되는 플라즈마 전위 곡선(433)은 PV 파형 생성기(150)의 사용에 의해 바이어싱 전극(104)에서 확립되는 PV 파형(401)의 전달 동안 국소적 플라즈마 전위를 예시한다. 프로세싱 동안, 플라즈마 전위는 일반적으로 버스트 온 기간(310)의 대부분에 걸쳐 그리고 버스트 오프 기간(314) 동안 제로 볼트에서 또는 거의 제로 볼트에서 유지된다. 플라즈마 전위는 외장 붕괴 국면(450) 동안 자신의 피크 값(VPL)을 달성할 것인데, 이것은 도 3a 및 도 4a의 시간(T1)과 일치한다. 추가로, 시간(T1)에서, 다중 위상 PV 파형(401)이 자신의 피크 값에 도달하는 경우, 바이어싱된 전극(예를 들면, 바이어스 전극(104))에서의 전압은 DC 전압 소스(P2)에 의해 공급되는 출력 전압(VBCM)과 동일할 것이다. 따라서, 플라즈마 전위의 변동은 대략 1 kV 이상일 수 있고, 따라서, 프로세싱 챔버(100) 내의 하나 이상의 전극들로의 바이어스의 전달에 기인하는 플라즈마 전위의 변동들을 고려하지 않는 기판 클램핑 시스템들은 불량한 플라즈마 프로세싱 결과들 및/또는 기판에 대한 손상으로 이어질 수 있다. 도 4a를 참조하면, 시간들(T2 및 T3)은, 각각, 버스트 오프 기간의 시작 및 전이 기간(312)의 끝을 예시한다. 시간들(T2 및 T3) 사이의 시간의 기간은 본원에서 플라즈마 완화 시간으로 지칭되는데, 이것은 일반적으로, 일단 버스트 오프 기간(314) 동안 PV 파형들 및 RF 전력 전달이 중단되면, 플라즈마가 소멸되는 데 걸리는 시간이다. 시간(T4)은 전이 기간(312)이 종료된 이후에 그리고 다음번 버스트(316)(도시되지 않음)가 시작되기 이전에 포지셔닝되는 측정 시간을 나타내도록 의도된다.[0086] The plasma potential curve 433 shown in FIG. 4A illustrates the local plasma potential during propagation of the PV waveform 401 established at the biasing electrode 104 by use of the PV waveform generator 150. During processing, the plasma potential is generally maintained at or near zero volts throughout most of the burst on period 310 and during the burst off period 314. The plasma potential will achieve its peak value (V PL ) during the sheath collapse phase 450, which coincides with the time (T 1 ) in FIGS. 3A and 4A. Additionally, at time T 1 , when the multi-phase PV waveform 401 reaches its peak value, the voltage at the biased electrode (e.g., bias electrode 104) is connected to the DC voltage source ( It will be equal to the output voltage (V BCM ) supplied by P 2 ). Accordingly, fluctuations in plasma potential can be on the order of 1 kV or more, and thus substrate clamping systems that do not account for fluctuations in plasma potential due to transfer of bias to one or more electrodes within processing chamber 100 may result in poor plasma processing. This may lead to damage to the substrate and/or the substrate. Referring to Figure 4A, times T 2 and T 3 illustrate the beginning of the burst off period and the end of the transition period 312, respectively. The period of time between times T 2 and T 3 is referred to herein as the plasma relaxation time, which generally indicates that once PV waveforms and RF power delivery cease during the burst off period 314, the plasma dissipates. It's the time it takes to get there. Time T 4 is intended to represent the time of the positioning measurement after the end of the transition period 312 and before the start of the next burst 316 (not shown).

[0087] 노드(N1)에서 원하는 클램핑 전압(VDCV)을 제공하기 위한 노력에서, DC 전압 소스(P2)의 세트 포인트인, 노드(N2)에서의 VBCM은 플라즈마 전위에서의 변화를 결정하도록 따라서 그 변화를 고려하도록 구성되는 컴퓨터 구현 명령들의 사용에 의해 조정된다. 원하는 클램핑 전압(VDCV) 세트 포인트는 일반적으로, 프로세싱 동안 사용되고 있는 정전 척의 타입에 대한 클램프 전압 세트 포인트(Vclamp)를 더한, 플라즈마 프로세싱 조건들 및 기판 표면 재료에 의해 영향을 받는 피크 플라즈마 전위 전위(VPL)와 동일하다. 따라서, 원하는 클램핑 전압 세트 포인트(VDCV)는 수학식 (1)에서 나타내어지는 바와 같이 작성될 수 있다.[0087] In an effort to provide a desired clamping voltage (V DCV ) at node N 1 , V BCM at node N 2 , which is the set point of DC voltage source P 2 , is a function of the change in plasma potential. is adjusted by the use of computer-implemented instructions configured to take that change into account accordingly. The desired clamping voltage (V DCV ) set point is generally the peak plasma potential affected by plasma processing conditions and substrate surface material, plus the clamp voltage set point (V clamp ) for the type of electrostatic chuck being used during processing. Same as (V PL ). Accordingly, the desired clamping voltage set point (V DCV ) can be written as shown in equation (1).

Figure pct00001
Figure pct00001

[0088] 클램프 전압 세트 포인트(VClamp)는 실제 정전 척의 정전 척킹 특성들 또는 정전 척의 타입(예를 들면, 쿨롱 정전 척)의 사전 테스트 및 평가를 통해 결정된 일정한 전압 값이다. 사전 테스트 및 평가 결과들은, 기판이 유전체 표면(105A)과 양호한 열 접촉을 가지며 기판이 플라즈마 프로세싱 동안 기판 지지체(105)의 표면에 클램핑될 때 기판 지지체(105)의 외부 밀봉 밴드를 통해 무시 가능한 헬륨이 누설될 것이라는 것을 보장하기 위한 최소 기판 클램핑 힘 전압을 결정하기 위해 사용된다. 클램프 전압 세트 포인트(VClamp) 값은 사용되고 있는 정전 척의 타입(예를 들면, 쿨롱 또는 존슨 라벡 정전 척), 프로세싱 동안 사용되고 있는 후면 가스 압력, 및 플라즈마 프로세싱 동안 유전체(105A)의 온도에 기인하여 변할 것이다.[0088] The clamp voltage set point (V Clamp ) is a constant voltage value determined through preliminary testing and evaluation of the electrostatic chucking characteristics of an actual electrostatic chuck or a type of electrostatic chuck (eg, Coulomb electrostatic chuck). Preliminary testing and evaluation results indicate that the substrate has good thermal contact with the dielectric surface 105A and that there is negligible helium flow through the outer sealing band of the substrate support 105 when the substrate is clamped to the surface of the substrate support 105 during plasma processing. This is used to determine the minimum substrate clamping force voltage to ensure that there will be no leakage. The clamp voltage set point (V Clamp ) value will vary due to the type of electrostatic chuck being used (e.g., Coulomb or Johnson Rabek electrostatic chuck), the back gas pressure being used during processing, and the temperature of the dielectric 105A during plasma processing. will be.

[0089] 클램핑 네트워크(116)의 일부 실시예들에서, 다이오드(D1)는 노드들(N1 및 N2)을 전기적으로 연결하고(도 2a 및 도 3b 참조), 전류가 노드(N1)로부터 N2로의 방향에서만 흐르는 것을 허용하도록 구성된다(즉, 다이오드(D1)의 애노드 측이 노드(N1)에 결합되고 다이오드(D1)의 캐소드 측이 노드(N2)에 결합됨). 다이오드(D1)의 구성에 기인하여, 노드(N1)에서의 전압은 항상 노드(N2)에서의 전압(VBCM)보다 더 높지 않은 값으로 제한된다. 따라서, PV 파형의 각각의 펄스 주기(Tp)(도 3a) 동안, 노드(N1)에서의 피크 전압은 노드(N2)의 전압(VBCM)으로 재설정되는데, 이것은 큰 커패시턴스(C6)(예를 들면, 0.5 내지 10 ㎌)가 사용될 때 정상 상태에서 DC 전압 소스(P2)의 출력 전압이다. 노드(N1)에서의 피크 전압은 피크 플라즈마 전위(VPL)와 커패시터(C1) 양단의 실제 클램프 전압의 합이다. 클램프 전압 세트 포인트를 달성하기 위해, DC 전압 소스(P2)의 세트 포인트(VBCM)는, 아래의 수학식 (1)을 재작성된 버전에서 나타내어지는 바와 같이, 원하는 클램핑 전압 세트 포인트(VDCV)와 동일해야 한다.[0089] In some embodiments of clamping network 116, diode D 1 electrically connects nodes N 1 and N 2 (see FIGS. 2A and 3B), and current flows through node N 1 ) to N 2 (i.e., the anode side of the diode D 1 is coupled to the node N 1 and the cathode side of the diode D 1 is coupled to the node N 2 ). Due to the configuration of the diode D 1 , the voltage at node N 1 is always limited to a value that is no higher than the voltage at node N 2 (V BCM ). Therefore, during each pulse period T p of the PV waveform (Figure 3a), the peak voltage at node N 1 is reset to the voltage V BCM at node N 2 , which has a large capacitance C 6 ) (e.g., 0.5 to 10 μF) is the output voltage of the DC voltage source (P 2 ) in steady state when used. The peak voltage at the node (N 1 ) is the sum of the peak plasma potential (V PL ) and the actual clamp voltage across the capacitor (C 1 ). To achieve the clamp voltage set point, the set point (V BCM ) of the DC voltage source (P 2 ) is equal to the desired clamping voltage set point (V DCV ), as shown in a rewritten version of equation (1) below: ) must be the same as

Figure pct00002
Figure pct00002

[0090] 그러나 클램핑 네트워크(116)의 일부 실시예들에서, 노드들(N1 및 N2)을 연결하기 위해 다이오드(D1)가 사용되지 않는다(도 2b 및 도 5a 참조). 이 구성에서, 노드(N2)의 전압(VBCM)은 큰 C6(예를 들면, 0.5 내지 10 ㎌)이 주어지면 정상 상태에서의 DC 전압 소스(P2)의 전압과 여전히 동일할 것이다. 일부 실시예들에서, 클램핑 네트워크(116)에서의 저항기(R1) 및 커패시터(C6) 값들은, R1*C6의 시상수가 버스트 기간(TBD)(도 4b)보다 훨씬 더 크도록 선택되고, 그 결과, 노드(N2)에서의 전압은 하나의 버스트 기간(TBD) 내에서 실질적으로 일정하다. 노드들(N1 및 N2)이 고저항 값 저항기인 저항기(R1)를 통해 연결되기 때문에, 노드(N1)에서의 (버스트 기간(TBD)의) 시간 평균된 전압은, 클램핑 전압(VBCM)과 동일한 노드(N2)에서의 (버스트 기간(TBD)의) 시간 평균된 전압과 동일할 것이다. 커패시터(C1) 양단에서 클램프 전압 세트 포인트(VClamp)를 달성하기 위해, 노드(N1)에서의 (버스트 기간(TBD)의) 시간 평균된 전압은 클램프 전압 세트 포인트(VClamp)를 더한 (버스트 기간(TBD)의) 시간 평균된 기판 전압 값이어야 한다. 하기에서 추가로 논의되는 바와 같이, 시간 평균된 기판 전압은 PV 파형 생성기(150)에 의해 생성되는 PV 파형 및 피크 플라즈마 전위(VPL)의 사용에 의해 근사될 수 있다. 따라서, DC 전압 소스(P2)의 세트 포인트(DC 전압 소스 출력 전압(VBCM))는 펄스 발생기(pulser) 전압 파형, 피크 플라즈마 전위(VPL), 및 클램프 전압 세트 포인트(VClamp)에 의해 결정될 수 있다.[0090] However, in some embodiments of clamping network 116, diode D 1 is not used to connect nodes N 1 and N 2 (see FIGS. 2B and 5A). In this configuration, the voltage at node N 2 (V BCM ) will still be equal to the voltage at the DC voltage source (P 2 ) at steady state given a large C 6 (e.g. 0.5 to 10 μF). . In some embodiments, the resistor (R 1 ) and capacitor (C 6 ) values in clamping network 116 are such that the time constant of R 1 *C 6 is much greater than the burst period (T BD ) (FIG. 4B). is selected, so that the voltage at node N 2 is substantially constant within one burst period T BD . Since nodes N 1 and N 2 are connected via resistor R 1 , which is a high-resistance value resistor, the time-averaged voltage (of the burst period T BD ) at node N 1 is the clamping voltage. (V BCM ) will be equal to the time averaged voltage (of the burst period (T BD )) at the same node (N 2 ). To achieve the clamp voltage set point (V Clamp ) across the capacitor (C 1 ), the time-averaged voltage (of the burst period (T BD )) at the node (N 1 ) sets the clamp voltage set point (V Clamp ). This should be the time averaged board voltage value (over the burst period (T BD )). As discussed further below, the time-averaged substrate voltage can be approximated by use of a PV waveform generated by PV waveform generator 150 and peak plasma potential (V PL ). Therefore, the set point of the DC voltage source (P 2 ) (DC voltage source output voltage (V BCM )) is dependent on the pulse generator (pulser) voltage waveform, peak plasma potential (V PL ), and clamp voltage set point (V Clamp ). can be determined by

[0091] 도 4a에 예시되는 바와 같이, 플라즈마 전위(VPlasma)(즉, 곡선(433))는 프로세싱 동안 대부분의 시간 동안 제로와 동일하거나 또는 거의 제로에 가깝고 시간(T1)에서 피크 레벨에 도달한다. 따라서, 시간(T1)에서 형성되는 기판의 표면의 피크 플라즈마 전위(VPL)를 결정하기 위해, 플라즈마 전위에 영향을 끼칠 다양한 인자들 모두를 고려하는 측정치들이 측정되고, 원하는 클램핑 전압(VDCV)을 달성하도록 DC 전압 소스(P2)의 출력 전압(VBCM)을 조정하기 위해 사용된다. 피크 플라즈마 전위(VPL)를 결정하기 위해, 먼저, 버스트 기간(TBD)의 시간 규모에서 전극 바이어싱 회로 내의 하나 이상의 노드들에서 전하 보존이 유지되도록 시스템이 구성된다는 것이 가정된다. 일부 실시예들에서, 도 2a 및 도 2b, 도 3b 및 도 5a에서 도시되는 바와 같이, 전하 보존은 전극 바이어싱 회로의 노드(N1)에서 유지된다. 하나의 실시예에서, 커패시터들(C1, C2, 및 C5)이 노드(N1)에 직접적으로 결합되고, 인덕터(L1)(예를 들면, 도 2a 및 도 2b에 예시되는 라인 인덕턴스)가 PV 파형 생성기(150)에 의해 생성되는 PV 전압들과 비교하여 무시 가능한 전압 발진을 유도할 만큼 충분히 작다는 것이 가정된다. 도 2a 및 도 2b, 도 3b 및 도 5a에 예시되는 바와 같이, 노드(N1)가 또한 저항기(R1)에 결합되고 그 다음 커패시터(C6)에 결합된다. 따라서, 버스트 기간(TBD)의 시간 규모에서 저항기(R1)를 통해 흐르는 총 전하(QT)는 대략 QT

Figure pct00003
TBD * VBCM/R1이다. 일부 실시예들에서, 저항기(R1)의 저항은, 그것이 충분히 크도록 선택되고, 그 결과, 버스트 기간(TBD)의 시간 규모에서 저항기(R1)를 통해 흐르는 전하는, 예를 들면, 커패시터들(C1, C2, 및 C5)에 저장되는 전하들과 비교하여 무시 가능하다. 따라서, 이 구성에서, 큰 차단 저항기(R1)의 존재는, 커패시터(C6)로 하여금, 기능적으로 노드(N1)에 직접적으로 결합되지 않는 것처럼 보이게 할 것이고, 노드(N1)에 연관되는 정전하(electrostatic charge)는, 노드(N1)에 직접적으로 결합되는 커패시터들(C1, C2, 및 C5)에 저장되는 정전하의 합이 될 것이다.[0091] As illustrated in FIG. 4A, the plasma potential V Plasma (i.e., curve 433) is equal to or near zero for most of the time during processing and is at a peak level at time T 1 . reach Therefore, in order to determine the peak plasma potential (V PL ) of the surface of the formed substrate at time T 1 , measurements are taken that take into account all the various factors that will affect the plasma potential, and the desired clamping voltage (V DCV) is determined. ) is used to adjust the output voltage (V BCM ) of the DC voltage source (P 2 ) to achieve To determine the peak plasma potential (V PL ), it is first assumed that the system is configured such that charge conservation is maintained at one or more nodes in the electrode biasing circuit on the time scale of the burst period (T BD ). In some embodiments, charge conservation is maintained at node N 1 of the electrode biasing circuit, as shown in FIGS. 2A and 2B, 3B and 5A. In one embodiment, capacitors C 1 , C 2 , and C 5 are coupled directly to node N 1 and inductor L 1 (e.g., line illustrated in FIGS. 2A and 2B It is assumed that the inductance) is small enough to induce negligible voltage oscillations compared to the PV voltages generated by the PV waveform generator 150. As illustrated in FIGS. 2A and 2B , 3B and 5A , node N 1 is also coupled to resistor R 1 and then to capacitor C 6 . Therefore, on the time scale of the burst period (T BD ), the total charge (Q T ) flowing through the resistor (R 1 ) is approximately Q T
Figure pct00003
T BD * V BCM /R 1 . In some embodiments, the resistance of resistor R 1 is selected such that it is sufficiently large, such that the charge flowing through resistor R 1 on the time scale of the burst period T BD is greater than that of, for example, a capacitor. It is negligible compared to the charges stored in (C 1 , C 2 , and C 5 ). Therefore, in this configuration, the presence of a large blocking resistor R 1 will cause capacitor C 6 to appear functionally not directly coupled to node N 1 , but rather connected to node N 1 . The resulting electrostatic charge will be the sum of the electrostatic charges stored in the capacitors (C 1 , C 2 , and C 5 ) directly coupled to the node (N 1 ).

[0092] 하기의 수학식 (2)는 전극 바이어싱 회로의 노드에서 전하 보존을 설명하기 위해 사용되는데, 버스트 온 기간(310)의 한 부분 동안 측정되는 정전하(QBurst)의 합이 버스트 온 기간(310) 직후 버스트 오프 기간(314) 동안 측정되는 저장된 전하(QOff)의 양과 동일하다는 것을 의미한다.[0092] Equation (2) below is used to describe charge conservation at the node of the electrode biasing circuit, where the sum of the electrostatic charges (Q Burst ) measured during one portion of the burst on period 310 is the burst on This means that it is equal to the amount of stored charge (Q Off ) measured during the burst off period 314 immediately after period 310 .

Figure pct00004
Figure pct00004

[0093] 도 2a 및 도 2b 및 도 3a는 커패시턴스들(C1, C2 및 C5) 내에 저장되는 전하들이 보존될 것으로 가정될 수 있는 시스템 구성 예들을 제공하고, 따라서, 피크 플라즈마 전위(VPL)가 본원에서 설명되는 방법들 중 하나 이상의 방법들의 사용에 의해 결정되는 것을 허용한다. 본원에서 설명되는 하나 이상의 방법들 내에서 검출되는 전기 신호들은 PV 파형 생성기(150) 및/또는 RF 생성기(118)에 의해 생성되는 파형들의 하나 이상의 특성들을 포함할 수 있다. 검출된 하나 이상의 파형 특성들은, 펄스 내에서 하나 이상의 시간들에서의 전압, 펄스 내에서 하나 이상의 시간들에서의 기울기, 펄스 주기, 및 펄스 반복 주파수를 포함할 수 있지만, 그러나 이들로 제한되지는 않는다. 그러나 노드, 예컨대 도 2a 및 도 2b 및 도 3b의 노드(N1) 주변 영역에서 전하가 보존된다는 가정은, 접지로 흐르는 전류, 예컨대 차단 저항기(R1)를 통해 접지로 흐르는 전류(i1)(도 3b)의 크기에 기인하는 저장된 전하 손실의 양에 의해 제한되거나 또는 그 양에 의존한다. 하기에서 추가로 논의되는 바와 같이, 피크 플라즈마 전위(VPL)를 정확하게 결정하는 능력은, 적어도 하나의 버스트 온 기간(310) 및 버스트 오프 기간(314)을 포함하는 버스트 시퀀스의 국면들 중 하나 이상 동안 생성된 전기 신호들을 신호 검출 모듈(188)이 측정하기 전에 전하 손실의 양이 무시 가능하다는 것을 보장하는 차단 저항기의 능력에 의존한다. 상기에서 언급되는 바와 같이, 저항기(R1)의 저항은, 예를 들면, 100 kOhm을 초과해야 하는 것이 바람직하다.[0093] FIGS. 2A, 2B and 3A provide examples of system configurations in which the charges stored in the capacitances C 1 , C 2 and C 5 can be assumed to be conserved, and thus the peak plasma potential V Allows PL ) to be determined by use of one or more of the methods described herein. Electrical signals detected within one or more methods described herein may include one or more characteristics of the waveforms generated by PV waveform generator 150 and/or RF generator 118. One or more waveform characteristics detected may include, but are not limited to, voltage at one or more times within a pulse, slope at one or more times within a pulse, pulse period, and pulse repetition frequency. . However, the assumption that charge is conserved in the area around the node, e.g., node N 1 in FIGS. 2A and 2B and 3B, means that the current flowing to ground, e.g., the current flowing to ground through the blocking resistor R 1 (i 1 ) (Figure 3b) is limited by or dependent on the amount of stored charge loss due to its size. As discussed further below, the ability to accurately determine peak plasma potential (V PL ) depends on one or more of the phases of the burst sequence, including at least one burst on period 310 and burst off period 314. It relies on the ability of the blocking resistor to ensure that the amount of charge loss is negligible before the signal detection module 188 measures the electrical signals generated during the circuit. As mentioned above, the resistance of the resistor R 1 should preferably exceed, for example, 100 kOhm.

예 1Example 1

[0094] 하나의 예에서, 도 3a 및 도 3b에 예시되는 시스템(300) 구성에 기초하여, 노드(N1)에 대해, 수학식 (2)는 수학식 (3)에서 도시되는 바와 같이 재작성될 수 있다.[0094] In one example, based on the system 300 configuration illustrated in FIGS. 3A and 3B, for node N 1 , equation (2) is rewritten as shown in equation (3): can be written

Figure pct00005
Figure pct00005

수학식 (3)에서, C1, C2, 및 C5는 공지되어 있는 커패시턴스들이고, ΔV1, ΔV2, 및 ΔV5는 노드(N1)에 직접적으로 결합되는 커패시터 플레이트들의 전압들에서 버스트 온 기간(310) 또는 버스트 오프 기간(314) 중 어느 하나 동안 측정되는 커패시턴스들(C1, C2 및 C5)에 대한 대향하는 커패시터 플레이트들의 전압들을 뺀 값이다. 따라서, 버스트 온 기간(310) 동안 행해지는 측정이 시간상 시간(T1) 순간들 중 하나에서 이루어지고, 버스트 오프 기간(314) 동안 이루어지는 측정이 시간(T4)에서 이루어지는 경우, 수학식 (3)은 수학식 (4)로서 재작성될 수 있다.In equation (3), C 1 , C 2 , and C 5 are known capacitances, and ΔV 1 , ΔV 2 , and ΔV 5 are the burst voltages of the capacitor plates directly coupled to node N 1 It is a value obtained by subtracting the voltages of opposing capacitor plates for the capacitances C 1 , C 2 and C 5 measured during either the on period 310 or the burst off period 314. Accordingly, if the measurement made during the burst on period 310 is made at one of the time T 1 moments in time, and the measurement made during the burst off period 314 is made at time T 4 , then equation (3) ) can be rewritten as equation (4).

Figure pct00006
Figure pct00006

수학식 (4)에서, 전압(V1)은 버스트 온 기간(310) 동안 시간(T1)에서의 전극(104)의 전압이고, 피크 플라즈마 전위(VPL)는 버스트 온 기간(310) 동안 시간(T1)에서의 플라즈마 전위이고, 전압(V5)은 버스트 온 기간(310) 동안 시간(T1)에 노드(N5)에서 측정되는 전압이고, 전압(V3)은 버스트 온 기간(310) 동안 시간(T1)에 노드(N3)에서 측정되는 전압이고, 전압(V2)은 버스트 오프 기간(314) 동안 노드(N1)에서 측정되는 전압이고, 전압(V6)은 버스트 오프 기간(314) 동안 노드(N5)에서 측정되는 전압이고, 그리고 전압(V4)은 버스트 오프 기간(314) 동안 노드(N3)에서 측정되는 전압이다. 상기에서 언급되는 바와 같이, 플라즈마 전위는 버스트 오프 기간 동안 사실상 실질적으로 제로이고 따라서 버스트 오프 기간(314) 동안 커패시터(C1)에 저장되는 전하는 전압(V2)과 커패시턴스(C1)를 곱한 값과 실질적으로 동일하다. 버스트 오프 기간 동안의 실제 클램핑 전압은 V2이다. 따라서, 수학식 (5)에서 나타내어지는 수학식 (4)를 재편제한 이후, 피크 플라즈마 전위(VPL)는 도 3b에 예시되는 시스템 구성에 대해 수학식 (5)를 푸는 것에 의해 구해질 수 있다.In equation (4), voltage V 1 is the voltage of electrode 104 at time T 1 during burst on period 310 and peak plasma potential (V PL ) is during burst on period 310 is the plasma potential at time T 1 , voltage V 5 is the voltage measured at node N 5 at time T 1 during the burst on period 310, and voltage V 3 is the burst on period 310 is the voltage measured at node N 3 at time T 1 during 310, and voltage V 2 is the voltage measured at node N 1 during burst off period 314, and voltage V 6 is the voltage measured at node N 5 during the burst off period 314 , and voltage V 4 is the voltage measured at node N 3 during the burst off period 314 . As noted above, the plasma potential is substantially zero during the burst off period and therefore the charge stored in capacitor C 1 during burst off period 314 is the product of voltage V 2 and capacitance C 1 is substantially the same as The actual clamping voltage during the burst-off period is V 2 . Therefore, after reorganizing equation (4) shown in equation (5), the peak plasma potential (V PL ) can be obtained by solving equation (5) for the system configuration illustrated in FIG. 3B .

Figure pct00007
Figure pct00007

논의의 간략화를 위해, 수학식 (5), 및 하기에서 제공되는 수학식들 중 임의의 것에서의 전압 차이 항들과 곱해지는 커패시턴스 항들 각각은, 조합된 회로 커패시턴스 값을 갖는 "조합된 회로 커패시턴스"로서 본원에서 일반적으로 지칭되는데, 조합된 회로 커패시턴스 값은 원하는 노드(예를 들면, 노드(N1))에 대한 다양한 연결된 회로 엘리먼트들(예를 들면, 정전 척(191), RF 생성기(118), 및 PV 파형 생성기(150))의 구성에 기초한 커패시턴스들(예를 들면, 수학식 (5)의 커패시턴스들(C1, C2, 및 C5))의 산술적 조합(arithmetic combination)에 의해 결정된다.For simplicity of discussion, each of the capacitance terms multiplied by the voltage difference terms in Equation (5), and any of the equations provided below, is referred to as the "combined circuit capacitance" with a combined circuit capacitance value. As is generally referred to herein, the combined circuit capacitance value is a function of the various connected circuit elements (e.g., electrostatic chuck 191, RF generator 118, etc.) for a desired node (e.g., node N 1 ). and capacitances (e.g., capacitances C 1 , C 2 , and C 5 in equation (5)) based on the configuration of the PV waveform generator 150). .

[0095] 그러나 노드(N3)에서 연결되는 바이어싱 엘리먼트(예를 들면, PV 소스(150))가 버스트 오프 기간 동안 플로팅되거나, 또는 버스트 오프 기간 동안 접지로부터 분리되는 구성들에서, 노드(N3)에 직접적으로 결합되는 커패시터(C5)는 버스트 온에서 버스트 오프로의 전이하는 동안 자신을 통과하는 전류를 가지지 않을 것이다. 다시 말하면, 커패시터(C5)에 저장되는 전하는 버스트 온에서 버스트 오프로의 전이 동안 동일하며, 따라서 그것의 효과는 전하 보존 수학식들 (2), (3) 및 (4)로부터 제거될 수 있다. 전압(VPL)을 구하기 위해 사용되는 수학식은 수학식 (6)으로 단순화될 수 있다.[0095] However, in configurations where the biasing element (e.g., PV source 150) connected at node N 3 is floating during the burst off period, or is disconnected from ground during the burst off period, node N A capacitor (C 5 ) directly coupled to 3 ) will have no current passing through it during the transition from burst on to burst off. In other words, the charge stored in the capacitor C 5 is the same during the burst-on to burst-off transition, so its effect can be eliminated from the charge conservation equations (2), (3), and (4) . The equation used to calculate the voltage (V PL ) can be simplified to equation (6).

Figure pct00008
Figure pct00008

[0096] 일부 실시예들에서, 버스트 온에서 버스트 오프로의 전이 동안 RF 소스 어셈블리(163)를 통해 노드(N5)에 결합되는 커패시터(C2)로 흐르는 무시 가능한 전류가 있으며, 그 결과, C2를 통해 흐르는 대부분의 전류는 C3을 통해 또한 흐른다. 따라서, 일련의 C2 및 C3은 값 (C2C3)/(C2 + C3)의 하나의 커패시터로 취급되고 접지될 수 있다. 따라서, 수학식 (6)에서, V5 = V6 = 0이고 C2는 (C2C3)/(C2 + C3)에 의해 대체된다.[0096] In some embodiments, there is negligible current flowing through RF source assembly 163 and into capacitor C 2 coupled to node N 5 during the burst on to burst off transition, resulting in: Most of the current flowing through C 2 also flows through C 3 . Therefore, the series C 2 and C 3 can be treated as one capacitor of value (C 2 C 3 )/(C 2 + C 3 ) and grounded. Therefore, in equation (6), V 5 = V 6 = 0 and C 2 is replaced by (C 2 C 3 )/(C 2 + C 3 ).

Figure pct00009
Figure pct00009

[0097] 따라서, 커패시턴스(C1)가 전형적으로 대부분의 시스템들의 커패시턴스들(C2 및 C3)보다 훨씬 더 크기 때문에, 수학식 (7)은, 이 예에서, 수학식 (8)에서 나타내어지는 플로팅 바이어싱 엘리먼트의 간단한 수학식으로 축소될 수 있다.[0097] Therefore, since the capacitance (C 1 ) is typically much larger than the capacitances (C 2 and C 3 ) of most systems, equation (7) is expressed in equation (8), in this example: can be reduced to a simple mathematical equation for a floating biasing element.

Figure pct00010
Figure pct00010

[0098] 어떤 경우든, 수학식들 (5), (6), (7) 또는 (8) 중 어느 하나, C1, C2, C3, 및/또는 C5의 커패시턴스 값들의 지식, 및 신호 검출 모듈(188)의 사용에 의해 버스트 온 기간(310) 및 버스트 오프 기간(314) 동안 검출되는 측정된 전압들을 사용하여, 원하는 클램핑 전압(VDCV)이 결정될 수 있도록 피크 플라즈마 전위(VPL)는 계산될 수 있다.[0098] In any case, knowledge of the capacitance values of any of equations (5), (6), (7) or (8), C 1 , C 2 , C 3 , and/or C 5 , and Using the measured voltages detected during the burst on period 310 and burst off period 314 by use of the signal detection module 188, the peak plasma potential (V PL ) can be determined so that the desired clamping voltage (V DCV ) can be determined. ) can be calculated.

예 2Example 2

[0099] 다른 예에서, 노드(N3)에서 연결되는 바이어싱 엘리먼트(예를 들면, PV 소스(150))는 버스트 오프 기간 동안 일정한 전압(V4)(예컨대 제로)에서 제어된다. 일부 실시예들에서, 버스트 온에서 버스트 오프로의 전이 동안 RF 소스 어셈블리(163)를 통해 노드(N5)에 결합되는 커패시터(C2)로 흐르는 무시 가능한 전류가 있으며, 그 결과, C2를 통해 흐르는 대부분의 전류는 C3을 통해 또한 흐른다. 그 다음, 전압(VPL)은 도 3b에 예시되는 시스템 구성에 대한 수학식 (9)를 푸는 것에 의해 구해질 수 있다.[0099] In another example, a biasing element (e.g., PV source 150) connected at node N 3 is controlled at a constant voltage V 4 (e.g., zero) during the burst off period. In some embodiments, during the burst on to burst off transition there is negligible current flowing through RF source assembly 163 into capacitor C 2 coupled to node N 5 , resulting in C 2 Most of the current flowing through also flows through C 3 . The voltage V PL can then be obtained by solving equation (9) for the system configuration illustrated in FIG. 3B.

Figure pct00011
Figure pct00011

[0100] 이 경우, 수학식 (9), C1, C2, C3, 및/또는 C5의 커패시턴스 값들의 지식, 및 신호 검출 모듈(188)의 사용에 의해 버스트 온 기간(310) 및 버스트 오프 기간(314) 동안의 측정된 전압들을 사용하여, 원하는 클램핑 전압(VDCV)이 결정될 수 있도록 피크 플라즈마 전위(VPL)는 계산될 수 있다.[0100 ] In this case , the burst on period 310 and Using the measured voltages during the burst off period 314, the peak plasma potential (V PL ) can be calculated so that the desired clamping voltage (V DCV ) can be determined.

예 3Example 3

[0101] 다른 예에서, 도 5a에 예시되는 시스템(500) 구성에 기초하여, 수학식 (2)는 수학식 (10)에서 나타내어지는 바와 같이 재작성될 수 있다. 이 예에서, 도 5a에서 개략적으로 도시되는 바와 같이, RF 소스 어셈블리(163)는 노드(N5)에 연결되고 플라즈마 프로세싱 동안 기판 바이어스 전압을 생성하도록 활용된다. 이 예에서, PV 파형 생성기(150)는 시스템(500)에 연결되지 않는다. 따라서, 수학식 (2)는 수학식 (10)에서 나타나는 바와 같이 재작성될 수 있다.[0101] In another example, based on the system 500 configuration illustrated in FIG. 5A, equation (2) can be rewritten as shown in equation (10). In this example, as schematically shown in Figure 5A, RF source assembly 163 is connected to node N 5 and utilized to generate a substrate bias voltage during plasma processing. In this example, PV waveform generator 150 is not coupled to system 500. Therefore, equation (2) can be rewritten as shown in equation (10).

Figure pct00012
Figure pct00012

[0102] 따라서, 전압(VPL)은 수학식 (11)의 사용에 의해 구해질 수 있다.[0102] Therefore, the voltage (V PL ) can be obtained by using equation (11).

Figure pct00013
Figure pct00013

[0103] 이 경우, 수학식 (11), C1 및 C2의 커패시턴스 값들의 지식, 및 신호 검출 모듈(188)의 사용에 의해 버스트 온 기간(510) 및 버스트 오프 기간(514) 동안의 측정된 전압들을 사용하여, 원하는 클램핑 전압(VDCV)이 결정될 수 있도록 피크 플라즈마 전위(VPL)는 계산될 수 있다.[0103] In this case, measurements during burst on period 510 and burst off period 514 by use of equation (11), knowledge of the capacitance values of C 1 and C 2 , and signal detection module 188. Using the voltages, the peak plasma potential (V PL ) can be calculated so that the desired clamping voltage (V DCV ) can be determined.

플라즈마 프로세싱 방법 예들Plasma processing method examples

[0104] 도 6a는 프로세싱 챔버에서 기판의 플라즈마 프로세싱 동안 사용되는 프로세스 레시피의 적용에 기초하여 원하는 클램핑 전압을 결정하기 위한 방법(600)의 프로세스 흐름도이다. 도 6a에 더하여, 방법(600)은 도 1a 및 도 5b를 참조하여 설명된다. 하나의 실시예에서, 방법(600)은, 컨트롤러(126)의 메모리(134) 내에 저장되는 컴퓨터 구현 명령들을 CPU(133)에 의해 실행함으로써 수행될 수 있다. 하나의 실시예에서, 방법(600)은 동작들(606-614)을 포함하는 클램핑 전압 결정 프로세스(605)를 적어도 포함한다.[0104] FIG. 6A is a process flow diagram of a method 600 for determining a desired clamping voltage based on application of a process recipe used during plasma processing of a substrate in a processing chamber. In addition to Figure 6A, method 600 is described with reference to Figures 1A and 5B. In one embodiment, method 600 may be performed by CPU 133 executing computer implemented instructions stored within memory 134 of controller 126. In one embodiment, method 600 includes at least a clamping voltage determination process 605 that includes operations 606-614.

[0105] 동작(602)에서, 프로세싱 챔버(100)에서, 플라즈마(101)로 하여금 프로세싱 챔버(100)의 프로세싱 영역(129)에서 형성되게 하는 프로세싱 레시피가 개시된다. 일부 실시예들에서, 이 동작 동안, RF 소스 어셈블리(163)는 플라즈마(101)를 형성하기 위해 프로세싱 챔버 내의 전극에 RF 주파수에서 충분한 RF 전력을 전달한다. 하나의 예에서, RF 소스 어셈블리(163)는 기판 지지체 어셈블리(136) 내에 배치되는 지지체 베이스(107)에 400 kHz 내지 100 MHz의, 예컨대 40 MHz의 RF 주파수에서 RF 전력을 전달한다. 지지체 베이스(107)로 전달되는 RF 전력은 프로세싱 볼륨(129) 내에 배치되는 프로세싱 가스들의 사용에 의해 형성되는 프로세싱 플라즈마(101)를 점화 및 유지하도록 구성된다.[0105] At operation 602 , in processing chamber 100 , a processing recipe is initiated that causes plasma 101 to form in processing region 129 of processing chamber 100 . In some embodiments, during this operation, RF source assembly 163 delivers sufficient RF power at an RF frequency to an electrode within the processing chamber to form plasma 101. In one example, RF source assembly 163 delivers RF power at an RF frequency between 400 kHz and 100 MHz, such as 40 MHz, to support base 107 disposed within substrate support assembly 136. RF power delivered to the support base 107 is configured to ignite and maintain a processing plasma 101 formed by the use of processing gases disposed within the processing volume 129.

[0106] 동작(604)에서, 컨트롤러(126)는 바이어싱 전극(104)에서 제1 클램핑 전압을 개시하고 확립하기 위해 DC 전압 소스(P2)에 커맨드 신호를 전송한다. 제1 클램핑 전압의 크기는 컨트롤러(126)의 메모리에 저장되는 레시피의 클램핑 전압으로 설정된다. 레시피 세트 포인트는, 일반적으로, 초기 테스트를 통해 또는 일반적인 지식에 의해, 기판 지지체 내의 최상부 유전체 층의 절연 파괴를 야기하지 않을 만큼 충분히 낮은 크기를 갖는, 그러나 기판 후면 가스(예를 들면, 헬륨)를 충분히 밀봉하기 위해 기판 수용 표면(105A)과 양호한 열 접촉을 달성할 만큼 충분히 높은 크기를 갖는 레벨로 설정된다.[0106] In operation 604, the controller 126 sends a command signal to the DC voltage source P 2 to initiate and establish a first clamping voltage at the biasing electrode 104. The size of the first clamping voltage is set to the clamping voltage of the recipe stored in the memory of the controller 126. The recipe set point is generally determined by initial testing or by common knowledge to have a magnitude low enough not to cause dielectric breakdown of the top dielectric layer within the substrate support, but with sufficient ventilation of the substrate backside gas (e.g., helium). It is set at a level with a dimension high enough to achieve good thermal contact with the substrate receiving surface 105A for sealing.

[0107] 동작(606)에서, 하나의 실시예에서, PV 파형 생성기(150)는 바이어싱 전극(104)에서 PV 파형을 확립하는 일련의 PV 파형들을 생성하기 시작한다. 동작(606) 동안, PV 생성기(150)는 PV 파형들의 버스트들(316)을 생성하여 프로세싱 챔버(100) 내의 바이어싱 전극(104)에 제공하도록 구성될 수 있다. 대안적인 실시예에서, RF 소스 어셈블리(163)는, 프로세싱 챔버(100) 내의 전극(예를 들면, 지지체 베이스(107))에서, 도 5b와 관련하여 논의되는 바와 같이, RF 파형들의 버스트들을 생성하기 시작한다.[0107] At operation 606, in one embodiment, PV waveform generator 150 begins generating a series of PV waveforms that establish the PV waveform at biasing electrode 104. During operation 606 , PV generator 150 may be configured to generate bursts 316 of PV waveforms and provide them to biasing electrode 104 within processing chamber 100 . In an alternative embodiment, RF source assembly 163 generates bursts of RF waveforms at an electrode (e.g., support base 107) within processing chamber 100, as discussed with respect to FIG. 5B. I start to do it.

[0108] 일부 실시예들에서, 동작(606) 동안, 전극, 예컨대 바이어싱 전극(104)에 인가되는 펄스 전압 레벨(예를 들면, Vpp)이 저항기들(R1 및 R2)(도 3b)을 통해 커패시터들(C5 및 C6)을, 각각, 충전 또는 방전하는 레이트보다 더 크지 않은 원하는 램프 레이트(ramp rate)에서 제어되는 것이 바람직하고, 그 결과, VDCV 및 VPL이 펄스 전압(Vpp)과 함께 램핑되는(ramped) 동안, 커패시터(C1) 양단의 실제 클램프 전압은 일정하게 유지된다. 그러한 램프 레이트 관계가 수학식 (1)에 따라 충족되는 경우, 커패시터(C1) 양단의 실제 클램프 전압은 펄스 전압 램핑(pulse voltage ramping) 동안 클램프 전압 세트 포인트(VClamp)에 가깝게 유지될 것이다. 저항기(R1)를 통한 커패시터(C5)의 충전 또는 방전 레이트는 RC 시상수에 의해 결정된다.[0108] In some embodiments, during operation 606, the pulse voltage level (e.g., V pp ) applied to an electrode, such as biasing electrode 104, is controlled by resistors R 1 and R 2 (FIG. Via 3b), the capacitors C 5 and C 6 are preferably controlled at a desired ramp rate that is no greater than the rate at which they are charged or discharged, respectively, so that V DCV and V PL are pulsed. While ramped with voltage V pp , the actual clamp voltage across capacitor C 1 remains constant. If such ramp rate relationship is satisfied according to equation (1), the actual clamp voltage across capacitor C 1 will remain close to the clamp voltage set point V Clamp during pulse voltage ramping. The charging or discharging rate of the capacitor (C 5 ) through the resistor (R 1 ) is determined by the RC time constant.

Figure pct00014
Figure pct00014

저항기(R2)를 통한 커패시터(C6)의 충전 또는 방전 레이트는 RC 시상수에 의해 결정된다.The charging or discharging rate of the capacitor (C 6 ) through the resistor (R 2 ) is determined by the RC time constant.

Figure pct00015
Figure pct00015

따라서, 펄스 전압 레벨(Vpp) 변화에 대한 램프 시간은 RC 시상수들(

Figure pct00016
Figure pct00017
)보다 더 커야 한다. 일부 실시예들에서, 펄스 전압 레벨(Vpp)에 대한 램프 시간은 RC 시상수들() 중 더 큰 것의 적어도 세 배가 되도록 설정된다.Therefore, the ramp time for a change in pulse voltage level (V pp ) is determined by the RC time constants (
Figure pct00016
and
Figure pct00017
) must be larger than In some embodiments, the ramp time for pulse voltage level (V pp ) is determined by the RC time constants ( and ) is set to be at least three times the larger of the

[0109] 동작(608)에서, 바이어싱 전극(104)에 인가되는 펄스 전압 레벨(예를 들면, Vpp)을 램핑하는 동안, 신호 검출 모듈(188)은 플라즈마 프로세싱 레시피의 실행 동안 프로세싱 챔버(100)의 상이한 부분들 내에서 확립되는 파형들을 모니터링하기 위해 사용된다. 하나의 예에서, 신호 검출 모듈(188)은, 펄스식 전압 레벨이 램핑되는 동안, 시간에 걸쳐 바이어싱 전극(104) 및 지지체 베이스(107)에서 확립되는 파형들을 모니터링하도록 구성된다. 하나의 예에서, 바이어싱 전극(104) 및 지지체 베이스(107)에서 확립되는 파형들은 도 3b 또는 도 5a에서, 각각, 예시되는 시스템(300 또는 500) 내의 노드들(N1 및 N5)에서 확립되는 파형 신호들을 측정함으로써 검출될 수 있다. 일반적으로, 동작(608) 동안 신호 검출 모듈(188)은, 도 3a, 도 4a 또는 도 5b에 예시되는 시간들(T1-T5) 중 하나 이상에서 파형 신호들을 검출하는 것과 같이, 시간이 지남에 따라 시스템 내의 다양한 노드들에서 확립되는 파형 신호들을 연속적으로 모니터링하기 위해 또는 반복적으로 샘플링하기 위해 사용된다.[0109] At operation 608, while ramping the pulse voltage level (e.g., V pp ) applied to the biasing electrode 104, the signal detection module 188 controls the processing chamber ( 100) is used to monitor the waveforms established within different parts of the device. In one example, signal detection module 188 is configured to monitor waveforms that establish at biasing electrode 104 and support base 107 over time while the pulsed voltage level is ramped. In one example, the waveforms established at biasing electrode 104 and support base 107 are at nodes N 1 and N 5 within illustrated system 300 or 500, respectively, in FIG. 3B or 5A. It can be detected by measuring the waveform signals that are established. Generally, during operation 608, signal detection module 188 detects waveform signals at one or more of the times T 1 -T 5 illustrated in Figures 3A, 4A, or 5B. It is used to continuously monitor or repeatedly sample waveform signals that are established at various nodes in the system over time.

[0110] 동작(610)에서, 동작(608) 동안 수집되는 정보는 수학식 (2), 예컨대 수학식들 (5), (6), (7), (8), (9) 또는 (11)로부터 유도되는 적어도 하나의 수학식의 사용에 의해 플라즈마 프로세스 동안 플라즈마 전위를 계산하기 위해 사용된다. 피크 플라즈마 전위(VPL)를 결정하기 위해 사용될 원하는 수학식은 플라즈마 프로세싱 동안 사용되고 있는 시스템 구성의 지식 및/또는 메모리 내에 저장되는 소프트웨어 명령들에서 발견되는 설정에 기초한다. 전형적으로, 펄스 전압 레벨, RF 전력, 또는 다른 플라즈마 관련 파라미터들(예를 들면, 압력, 가스 조성 등)이 플라즈마 프로세싱 동안 변경되는 경우, 컨트롤러(126)의 메모리에 저장되는 명령들 내에 통합되는 관련 수학식들 중 하나 이상은, 프로세싱 동안 임의의 시간에 피크 플라즈마 전위(VPL)를 결정하기 위해, CPU(133)에 의한 저장된 명령들의 실행 동안 사용될 수 있다.[0110] In operation 610, the information collected during operation 608 is Equation (2), such as Equations (5), (6), (7), (8), (9), or (11) ) is used to calculate the plasma potential during the plasma process by use of at least one equation derived from The desired equation to be used to determine the peak plasma potential (V PL ) is based on knowledge of the system configuration being used during plasma processing and/or settings found in software instructions stored in memory. Typically, when pulse voltage levels, RF power, or other plasma-related parameters (e.g., pressure, gas composition, etc.) change during plasma processing, relevant instructions are incorporated into instructions stored in the memory of controller 126. One or more of the equations may be used during execution of stored instructions by CPU 133 to determine peak plasma potential (V PL ) at any time during processing.

[0111] 동작(612)에서, 현재 플라즈마 프로세스의 후속하는 부분 동안 사용될 원하는 클램핑 전압(VDCV)은 수학식 (1) 및 동작(610)의 결과들의 사용에 의해 결정된다. 상기에서 논의되는 바와 같이, 수학식 (1)에서 구해지는 클램프 전압 세트 포인트(VClamp)는, 전형적으로 컨트롤러(126)의 메모리 내에 저장되는 사전 결정된 값인, 레시피의 클램프 전압 세트 포인트이다.[0111] In operation 612, the desired clamping voltage (V DCV ) to be used during the subsequent portion of the current plasma process is determined by use of equation (1) and the results of operation 610. As discussed above, the clamp voltage set point (V Clamp ), obtained from equation (1), is the clamp voltage set point of the recipe, which is typically a predetermined value stored within the memory of the controller 126.

[0112] 동작(614)에서, 그 다음, DC 전압 소스(P2) 전압을, 상기에서 논의되는 바와 같이, 적절하게 설정함으로써 원하는 클램핑 전압(VDCV)이 바이어싱 전극(104)에 인가될 수 있도록, 커맨드 신호가 컨트롤러(126), 또는 피드백 프로세서(125)에 의해, DC 전압 소스(P2)로 전송된다. 일부 실시예들에서, 클램핑 전압 결정 프로세스(605)의 동작들(606-614)은 펄스 전압 램핑 국면 동안 적어도 한 번 더 반복되거나, 또는 플라즈마 프로세싱 동안 버스트 온 기간(310) 동안 원하는 펄스 전압 레벨(예를 들면, Vpp)이 달성될 때까지 반복된다. 일부 다른 실시예들에서는, 클램핑 전압 결정 프로세스(605)의 동작들(608-614)만이 플라즈마 프로세싱 동안 한 번 이상 반복된다. 하나의 예에서, 일단 버스트 온 기간(310) 동안 원하는 펄스 전압 레벨(예를 들면, Vpp)이 달성되면, 동작들(608-614)은 한 번 이상 반복된다.[0112] In operation 614, the desired clamping voltage (V DCV ) is then applied to the biasing electrode 104 by appropriately setting the DC voltage source (P 2 ) voltage, as discussed above. A command signal is transmitted to the DC voltage source (P 2 ) by the controller 126, or the feedback processor 125. In some embodiments, the operations 606-614 of the clamping voltage determination process 605 are repeated at least one more time during the pulse voltage ramping phase, or during the burst on period 310 during plasma processing to achieve the desired pulse voltage level ( For example, it is repeated until V pp ) is achieved. In some other embodiments, only operations 608-614 of the clamping voltage determination process 605 are repeated more than once during plasma processing. In one example, once the desired pulse voltage level (e.g., V pp ) is achieved during burst on period 310, operations 608-614 are repeated one or more times.

[0113] 동작들(608-614)을 한 번 이상 수행한 이후 펄스 전압 레벨(예를 들면, Vpp)에 대한 정상 상태 값이 달성된 이후, DC 전압 소스(P2), 또는 DC 전압 소스 출력 전압(VBCM)의 세트 포인트가 메모리에 저장되는 동작(616)이 수행된다. 일부 실시예들에서, DC 전압 소스 출력 전압(VBCM) 값들(예를 들면, 펄스 전압 램핑 국면 동안 결정되는 비 최종 값들)의 중간 세트 포인트를, 그들이 미래의 플라즈마 프로세싱 시퀀스에서 베이스라인으로서 사용될 수 있도록, 메모리에 저장하는 것이 바람직하다. 메모리에 저장되는 DC 전압 소스 출력 전압(VBCM)의 세트 포인트는 동일한 또는 유사한 플라즈마 프로세싱 레시피를 사용하여 프로세싱되는 추가적인 기판들에 대해 수행되는 미래의 플라즈마 프로세스들에서 사용될 수 있다. 상기에서 간략하게 논의되는 바와 같이, 플라즈마 프로세싱 레시피들은 프로세싱 챔버 내에 배치되는 기판에 대해 수행되는 하나 이상의 플라즈마 프로세싱 파라미터들을 제어하도록 적응되는 하나 이상의 프로세싱 단계들을 일반적으로 포함한다. 하나 이상의 플라즈마 프로세싱 파라미터들은 PV 파형 특성들(예를 들면, 듀티 사이클, 펄스 전압 레벨(Vpp), 버스트 기간, 버스트 오프 기간, 펄스 전압 온 시간 등), 챔버 압력, 기판 온도, 가스 유량들, 가스 조성, 및 다른 유용한 파라미터들을 포함할 수 있다. 예를 들면, PV 파형 생성기(150)는 0.01 kV부터 10 kV까지의 펄스 전압 레벨(예를 들면, Vpp)을 갖는 펄스들을 제공하도록 설정되고, 클램핑 네트워크(116)의 DC 전압 소스 출력 전압(VBCM)은 -3 kV 내지 +3 kV 사이의, 예컨대 +2.5 kV의 일정한 DC 전압으로 설정된다.[0113] After performing operations 608-614 one or more times and a steady-state value for the pulse voltage level (e.g., V pp ) is achieved, a DC voltage source (P 2 ), or a DC voltage source An operation 616 is performed in which the set point of the output voltage (V BCM ) is stored in the memory. In some embodiments, an intermediate set point of DC voltage source output voltage (V BCM ) values (e.g., non-final values determined during the pulse voltage ramping phase) can be used as a baseline in future plasma processing sequences. It is desirable to store it in memory. The set point of the DC voltage source output voltage (V BCM ) stored in memory can be used in future plasma processes performed on additional substrates processed using the same or similar plasma processing recipe. As briefly discussed above, plasma processing recipes generally include one or more processing steps adapted to control one or more plasma processing parameters performed on a substrate disposed within a processing chamber. One or more plasma processing parameters include PV waveform characteristics (e.g., duty cycle, pulse voltage level (V pp ), burst period, burst off period, pulse voltage on time, etc.), chamber pressure, substrate temperature, gas flow rates, gas composition, and other useful parameters. For example, the PV waveform generator 150 is configured to provide pulses with a pulse voltage level (e.g., V pp ) from 0.01 kV to 10 kV, and the DC voltage source output voltage of the clamping network 116 ( V BCM ) is set to a constant DC voltage between -3 kV and +3 kV, for example +2.5 kV.

[0114] 도 4c를 참조하면, 일부 실시예들에서, 동작(606) 동안 형성되는 생성된 일련의 PV 파형들은 버스트(490) 내에서 일련의 PV 파형들을 확립하는 것을 포함한다. 버스트(492) 동안 형성되는 PV 파형들에서 발견되는 "낮은" 펄스 전압 레벨(Vpp)은 버스트(491)에서 발견되는 "높은" 펄스 전압 레벨(Vpp)보다 상당히 더 낮은 크기를 갖는다. 버스트(491)에서 발견되는 "높은" 펄스 전압 레벨(Vpp)은, 더 큰 피크 대 피크 펄스 전압에 기인하여, 원하는 클램핑 전압(VDCV) 세트 포인트에 대해 가장 큰 영향을 끼칠 것이다. 따라서, 일부 실시예들에서, 전극에 결합되는 전극 바이어싱 회로의 영역 내에서 전하 보존이 유지되도록 시스템이 구성되기 때문에, "높은" 펄스 전압 레벨(Vpp) 동안 달성되는 피크 플라즈마 전위(VPL)는, 비록 버스트(492)를 포함하는 "낮은" 펄스 전압 레벨(Vpp)이 버스트(491)를 포함하는 "높은" 펄스 전압 레벨(Vpp)과 버스트 오프 기간(493) 사이에서 포지셔닝되더라도, DC 전압 소스 출력 전압(VBCM)의 세트 포인트를 결정하기 위해 사용될 수 있다. 하나의 예에서, 수학식들 (5), (6), (7), (8), (9) 또는 (11) 중 하나가 플라즈마 프로세싱 동안 플라즈마 전위를 결정하기 위해 사용될 수 있다.[0114] Referring to FIG. 4C, in some embodiments, the generated series of PV waveforms formed during operation 606 includes establishing a series of PV waveforms within burst 490. The “low” pulse voltage level (V pp ) found in the PV waveforms formed during burst 492 has a significantly lower magnitude than the “high” pulse voltage level (V pp ) found in burst 491 . The “high” pulse voltage level (V pp ) found in burst 491 will have the greatest impact on the desired clamping voltage (V DCV ) set point due to the larger peak-to-peak pulse voltage. Accordingly , in some embodiments, the peak plasma potential (V PL ), although the “low” pulse voltage level (V pp ) comprising burst 492 is positioned between the “high” pulse voltage level (V pp ) comprising burst 491 and the burst off period 493 , can be used to determine the set point of the DC voltage source output voltage (V BCM ). In one example, one of equations (5), (6), (7), (8), (9), or (11) can be used to determine the plasma potential during plasma processing.

[0115] 도 4d를 참조하면, 일부 실시예들에서, 동작(606) 동안 형성되는 생성된 일련의 PV 파형들은 버스트(494) 내에서 일련의 PV 파형들을 확립하는 것을 포함한다. 일부 실시예들에서, 전극 바이어싱 회로의 영역 내에서 전하 보존이 유지되도록 시스템이 구성되기 때문에, "높은" 펄스 전압 레벨(Vpp) 동안 달성되는 피크 플라즈마 전위(VPL)는, 비록 버스트(492)를 포함하는 "낮은" 펄스 전압 레벨(Vpp)이 버스트(491)를 포함하는 "높은" 펄스 전압 레벨(Vpp)과 버스트 오프 기간(493) 사이에서 포지셔닝되더라도, DC 전압 소스 출력 전압(VBCM)의 세트 포인트를 결정하기 위해 결정되고 사용될 수 있다. 따라서, 수학식들 (5), (6), (7), (8), (9) 또는 (11)이 플라즈마 프로세싱 동안 플라즈마 전위를 결정하기 위해 사용될 수 있다.[0115] Referring to Figure 4D, in some embodiments, the generated series of PV waveforms formed during operation 606 includes establishing a series of PV waveforms within burst 494. In some embodiments, because the system is configured such that charge conservation is maintained within the region of the electrode biasing circuit, the peak plasma potential (V PL ) achieved during the “high” pulse voltage level (V pp ) is, even though the burst ( Although the “low” pulse voltage level (V pp ) comprising the burst 492) is positioned between the “high” pulse voltage level (V pp ) comprising the burst 491 and the burst off period 493, the DC voltage source output voltage (V BCM ) can be determined and used to determine the set point. Accordingly, equations (5), (6), (7), (8), (9), or (11) can be used to determine the plasma potential during plasma processing.

[0116] 도 6b는, 예컨대 방법(600)을 적어도 한 번 수행한 이후, 이전 플라즈마 프로세싱 시퀀스에서 DC 전압 소스 출력 전압(VBCM)의 세트 포인트의 결정에 기초하여 원하는 클램핑 전압(VDCV)을 전달하기 위해 사용되는 방법(650)의 프로세스 흐름도이다. 방법(650)은, 컨트롤러(126)의 메모리(134) 내에 저장되는 컴퓨터 구현 명령들을 CPU(133)에 의해 실행함으로써 수행될 수 있다.[0116] FIG. 6B illustrates, for example, after performing method 600 at least once, a desired clamping voltage (V DCV ) based on determination of the set point of the DC voltage source output voltage (V BCM ) in a previous plasma processing sequence. This is a process flow diagram of the method 650 used to deliver. Method 650 may be performed by CPU 133 executing computer implemented instructions stored within memory 134 of controller 126.

[0117] 동작(652)에서, 프로세싱 챔버의 프로세싱 영역(129)에서 플라즈마(101)를 형성함으로써 프로세싱 챔버에서 프로세싱 레시피가 개시된다. 동작(652)은 동작(602)과 관련하여 상기에서 설명되는 방법들과 유사한 방식으로 수행될 수 있다.[0117] At operation 652, a processing recipe is initiated in the processing chamber by forming a plasma 101 in the processing region 129 of the processing chamber. Operation 652 may be performed in a manner similar to the methods described above with respect to operation 602.

[0118] 동작(654)에서, 컨트롤러(126)는 바이어싱 전극(104)에서 제1 클램핑 전압을 개시하고 확립하기 위해 DC 전압 소스(P2)에 커맨드 신호를 전송한다. 제1 클램핑 전압의 크기는 프로세싱 레시피의 세트 포인트에 기초하여 설정되거나 또는 컨트롤러(126)의 메모리에 저장된다. 하나의 실시예에서, 저장된 세트 포인트는, 방법(600)에서 발견되는 동작들 중 하나의 동작의 수행으로부터의 결과와 같은, 이전에 수행된 프로세스 동안 사용된 DC 전압 소스 출력 전압(VBCM) 값에 기초한다.[0118] In operation 654, the controller 126 sends a command signal to the DC voltage source P 2 to initiate and establish a first clamping voltage at the biasing electrode 104. The magnitude of the first clamping voltage is set based on the set point of the processing recipe or stored in the memory of the controller 126. In one embodiment, the stored set point is a DC voltage source output voltage (V BCM ) value used during a previously performed process, such as a result from performance of one of the operations found in method 600. It is based on

[0119] 동작(656)에서, 하나의 실시예에서, PV 파형 생성기(150)는 바이어싱 전극(104)에서 PV 파형을 확립하는 일련의 PV 파형들을 생성하기 시작한다. 대안적인 실시예에서, RF 소스 어셈블리(163)는 프로세싱 챔버(100) 내의 전극, 예컨대 지지체 베이스(107)에서 RF 파형을 확립하는 RF 파형을 생성하기 시작한다. 동작(606)과 관련하여 상기에서 논의되는 바와 같이, 전극에 인가되는 펄스 전압 레벨(예를 들면, Vpp)은 R1을 통해 C5를 그리고 R2를 통해 C6을 충전 또는 방전하기 위해 RC 시상수들보다 더 큰(예를 들면, 두 배 또는 세 배 더 큰) 시간 기간 내에 램핑된다. 전형적으로, 동작(656)은 동작(606)과 관련하여 상기에서 설명되는 방법들과 유사한 방식으로 수행된다.[0119] At operation 656, in one embodiment, PV waveform generator 150 begins generating a series of PV waveforms that establish the PV waveform at biasing electrode 104. In an alternative embodiment, RF source assembly 163 begins generating an RF waveform that establishes the RF waveform at an electrode within processing chamber 100, such as support base 107. As discussed above in connection with operation 606, a pulse voltage level (e.g., V pp ) applied to the electrode is used to charge or discharge C 5 through R 1 and C 6 through R 2 . It is ramped within a time period that is larger (eg, two or three times larger) than the RC time constants. Typically, operation 656 is performed in a manner similar to the methods described above with respect to operation 606.

[0120] 동작(659)에서, 동작(656)과 동시에, 원하는 클램핑 전압(VDCV)이 기판에 대해 수행되는 프로세싱 단계들 중 적어도 일부 동안 DC 전압 소스(P2)에 의해 바이어싱 전극(104)에 인가되어 유지되도록, 커맨드 신호가 컨트롤러(126), 또는 피드백 프로세서(125)에 의해, DC 전압 소스(P2)로 전송되어 DC 전압 소스 출력 전압(VBCM)의 세트 포인트에 도달한다. 방법(650)은 프로세싱 챔버에서 후속하여 프로세싱되는 모든 기판들에 대해 추가로 수행될 수 있다. 그러나 하나 이상의 플라즈마 프로세싱 레시피 파라미터들이 임의의 후속하는 플라즈마 프로세스들에서 변경되는 경우, 이들 변경된 플라즈마 프로세싱 레시피 파라미터들을 사용하여 수행되는 모든 후속하는 프로세스들에 대해 방법(600)을 수행하고, 그 다음, 방법(650)을 수행하는 것이 바람직할 수 있다.[0120] In operation 659, concurrently with operation 656, a desired clamping voltage (V DCV ) is applied to the substrate by biasing electrode 104 by DC voltage source (P 2 ) during at least some of the processing steps. ), a command signal is transmitted by the controller 126, or feedback processor 125, to the DC voltage source (P 2 ) to reach the set point of the DC voltage source output voltage (V BCM ). Method 650 may be further performed on all substrates subsequently processed in the processing chamber. However, if one or more plasma processing recipe parameters are changed in any subsequent plasma processes, method 600 is performed for all subsequent processes performed using these changed plasma processing recipe parameters, and then the method It may be desirable to perform (650).

[0121] 일부 실시예들에서, 방법(600)의 단계들(608-614)은, 클램프 전압 세트 포인트(VClamp)를 유지하기 위해, 플라즈마 성질(property) 및 DC 전압 소스(P2)의 상이한 DC 전압 소스 출력 전압(VBCM)을 야기하는 피크 플라즈마 전위(VPL) 드리프트를 조정하도록 프로세싱 단계 내에서 반복적으로 사용될 수 있다.[0121] In some embodiments, steps 608-614 of method 600 include adjusting the plasma properties and DC voltage source (P 2 ) to maintain the clamp voltage set point (V Clamp ). It can be used repeatedly within a processing step to adjust the peak plasma potential (V PL ) drift resulting in different DC voltage source output voltages (V BCM ).

DC 바이어스 분석 예DC Bias Analysis Example

[0122] 일부 실시예들에서, 프로세싱 동안 기판에 인가되는 DC 바이어스(VDC Bias)의 양이 계산되고, 그 다음, 플라즈마 프로세싱 레시피의 하나 이상의 부분들 동안 프로세싱 파라미터들 중 하나 이상을 조정하기 위해 사용된다. 대칭 파형(예를 들면, 사인 파형(RF 파형) 또는 시그모이드(sigmoidal) 파형)이 전달되는 플라즈마 프로세싱 동안 임의의 시간에서의 DC 바이어스는 수학식 (14)의 사용에 의해 계산될 수 있다.[0122] In some embodiments, the amount of DC bias (V DC Bias ) applied to the substrate during processing is calculated and then used to adjust one or more of the processing parameters during one or more portions of the plasma processing recipe. It is used. The DC bias at any time during plasma processing where a symmetrical waveform (e.g., a sinusoidal (RF waveform) or sigmoidal waveform) is delivered can be calculated by use of equation (14).

Figure pct00020
Figure pct00020

[0123] 본원에서 설명되는 동작들 중 하나 이상의 동작들 동안, 신호 검출 모듈(188) 및 컨트롤러(126)는 시간이 지남에 따라 시스템 내의 다양한 노드들에서 확립되는 파형 신호들을 검출하고 모니터링하기 위해 사용되며, 그 결과, 하나 이상의 컴퓨터 구현 명령들은 DC 바이어스 및/또는 피크 DC 바이어스를 결정하기 위해 사용될 수 있다.[0123] During one or more of the operations described herein, signal detection module 188 and controller 126 are used to detect and monitor waveform signals that establish at various nodes within the system over time, As a result, one or more computer implemented instructions may be used to determine the DC bias and/or peak DC bias.

[0124] 본원에서 개시되는 실시예들 중 하나 이상의 실시예들의 양태들은 복수의 기판들에 대해 수행되는 플라즈마 프로세싱 결과들을 개선하기 위해 프로세싱 동안 기판을 신뢰성 있게 바이어싱하고 클램핑하는 시스템 및 방법을 포함한다.[0124] Aspects of one or more of the embodiments disclosed herein include a system and method for reliably biasing and clamping a substrate during processing to improve plasma processing results performed on a plurality of substrates.

[0125] 개시된 기술은 하기에서 제공되는 다수의 비제한적인 예들에서 표현될 수 있다.[0125] The disclosed technology may be represented in a number of non-limiting examples provided below.

[0126] 예 1: 플라즈마 프로세싱 챔버로서, 플라즈마 프로세싱 챔버는: 기판 지지체 어셈블리 ― 기판 지지체 어셈블리는: 기판 지지 표면; 제1 바이어싱 전극; 제1 바이어싱 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함함 ―; 파형 생성기; 파형 생성기를 제1 바이어싱 전극에 전기적으로 결합하는 제1 전력 전달 라인 ― 제1 전력 전달 라인은 차단 커패시터를 포함함 ―; 차단 커패시터와 바이어싱 전극 사이의 제1 지점에서 제1 전력 전달 라인에 결합되는 클램핑 네트워크 ― 클램핑 네트워크는: 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및[0126] Example 1: A plasma processing chamber, the plasma processing chamber comprising: a substrate support assembly—the substrate support assembly includes: a substrate support surface; a first biasing electrode; comprising a first dielectric layer disposed between the first biasing electrode and the substrate support surface; waveform generator; a first power delivery line electrically coupling the waveform generator to the first biasing electrode, the first power delivery line comprising a blocking capacitor; A clamping network coupled to a first power transmission line at a first point between the blocking capacitor and the biasing electrode, the clamping network comprising: a direct current (DC) voltage source coupled between the first point and ground; and

제1 지점과 직류(DC) 전압 소스의 출력 사이에 결합되는 차단 저항기를 포함함 ―; 차단 커패시터와 바이어싱 전극 사이에 배치되는 지점에서 제1 전력 전달 라인에 결합되는 제1 신호 트레이스로부터 제1 전기 신호를 수신하도록 구성되는 신호 검출 모듈; 및 신호 검출 모듈과 통신하도록 그리고 수신된 전기 신호 내에서 수신되는 정보에 기인하여 직류(DC) 전압 소스에 의해 제1 지점에서 제1 전력 전달 라인에 공급되는 전압의 크기를 제어하도록 구성되는 컨트롤러를 포함한다.comprising a blocking resistor coupled between the first point and the output of the direct current (DC) voltage source; a signal detection module configured to receive a first electrical signal from a first signal trace coupled to the first power transmission line at a point disposed between the blocking capacitor and the biasing electrode; and a controller configured to communicate with the signal detection module and to control the magnitude of the voltage supplied to the first power delivery line at the first point by the direct current (DC) voltage source due to information received within the received electrical signal. Includes.

[0127] 예 2: 예 1의 플라즈마 프로세싱 챔버로서, 파형 생성기는 제1 시간 기간 동안, 복수의 펄스식 전압 파형들을 생성하도록 그리고 제2 시간 기간 동안 복수의 펄스식 전압 파형들의 생성을 중단하도록 구성되고, 제1 시간 기간 동안, 신호 검출 모듈에 의해 수신되는 전기 신호의 제1 부분은 제1 전압 레벨을 포함하는, 생성된 복수의 펄스식 전압 파형들의 파형의 제1 부분을 포함하고, 제2 시간 기간 동안 신호 검출 모듈에 의해 수신되는 전기 신호의 제2 부분은 제2 전압 레벨을 포함하고, 그리고 메모리에 저장되는 컴퓨터 구현 명령들은, 프로세서에 의해 실행될 때, 제1 전력 전달 라인에 공급되는 전압의 크기를 컨트롤러가 제어하기 전에, 제1 전압 레벨을 제2 전압 레벨과 비교하도록 구성된다.[0127] Example 2: The plasma processing chamber of Example 1, wherein the waveform generator is configured to generate the plurality of pulsed voltage waveforms for a first time period and to stop generating the plurality of pulsed voltage waveforms for a second time period, and During a one time period, the first portion of the electrical signal received by the signal detection module includes a first portion of a generated plurality of pulsed voltage waveforms comprising a first voltage level, and during a second time period: The second portion of the electrical signal received by the signal detection module includes a second voltage level, and computer implemented instructions stored in the memory, when executed by the processor, adjust the magnitude of the voltage supplied to the first power delivery line. The controller is configured to compare the first voltage level with the second voltage level before taking control.

[0128] 예 3: 예 1의 플라즈마 프로세싱 챔버로서, 기판 지지체 어셈블리는 정전 척을 포함하고, 정전 척은 제1 유전체 층 및 제1 바이어싱 전극을 포함한다.[0128] Example 3: The plasma processing chamber of Example 1, wherein the substrate support assembly includes an electrostatic chuck, and the electrostatic chuck includes a first dielectric layer and a first biasing electrode.

[0129] 예 4: 예 1의 플라즈마 프로세싱 챔버로서, 차단 저항기는 100 kOhms보다 더 큰 저항을 갖는다.[0129] Example 4: The plasma processing chamber of Example 1, wherein the blocking resistor has a resistance greater than 100 kOhms.

[0130] 예 5: 예 1의 플라즈마 프로세싱 챔버로서, 기판 지지체 어셈블리는: 지지체 베이스; 및 지지체 베이스와 제1 바이어싱 전극 사이에 배치되는 제2 유전체 층을 더 포함하고; 그리고 파형 생성기는 제1 전기적 도체를 통해 제1 바이어싱 전극에 전기적으로 결합되는 펄스식 전압 파형 생성기를 포함하고, 제1 바이어싱 전극에서 펄스식 전압 파형을 확립하도록 구성되고, 라디오 주파수 생성기가 제2 전력 전달 라인을 통해 지지체 베이스에 전기적으로 결합되며, 지지체 베이스에서 라디오 주파수 전압 파형을 확립하도록 구성되고, 그리고 신호 검출 모듈은 제2 전력 전달 라인에 결합되는 제2 신호 트레이스로부터 제2 전기 신호를 수신하도록 추가로 구성된다.[0130] Example 5: The plasma processing chamber of Example 1, wherein the substrate support assembly includes: a support base; and a second dielectric layer disposed between the support base and the first biasing electrode; and the waveform generator includes a pulsed voltage waveform generator electrically coupled to the first biasing electrode through a first electrical conductor, configured to establish a pulsed voltage waveform at the first biasing electrode, and the radio frequency generator includes a first biasing electrode. electrically coupled to a support base via two power transmission lines, configured to establish a radio frequency voltage waveform at the support base, and a signal detection module configured to detect a second electrical signal from a second signal trace coupled to the second power transmission line. It is further configured to receive.

[0131] 예 6: 예 1의 플라즈마 프로세싱 챔버로서, 제1 유전체 층은 약 0.1 mm 내지 약 2 mm의 두께를 갖는다.[0131] Example 6: The plasma processing chamber of Example 1, wherein the first dielectric layer has a thickness of about 0.1 mm to about 2 mm.

[0132] 예 7: 예 1의 플라즈마 프로세싱 챔버로서, 클램핑 네트워크는 파형 생성기와 병렬로 연결되고, 클램핑 네트워크는: 제1 지점과 직류(DC) 전압 소스 사이에서 차단 저항기와 병렬로 결합되는 제1 다이오드 ― 다이오드의 애노드 측은 제1 지점에 결합됨 ―; 다이오드의 캐소드 측과 접지 사이에 결합되는 제1 커패시터; 및 제1 커패시터와 병렬로 결합되는 DC 전압 소스와 직렬인 제2 저항기를 더 포함한다.[0132] Example 7: The plasma processing chamber of Example 1, wherein the clamping network is connected in parallel with the waveform generator, and the clamping network includes: a first diode coupled in parallel with a blocking resistor between a first point and a direct current (DC) voltage source—a diode. the anode side of is coupled to the first point; A first capacitor coupled between the cathode side of the diode and ground; and a second resistor in series with the DC voltage source coupled in parallel with the first capacitor.

[0133] 예 8: 예 1의 플라즈마 프로세싱 챔버로서, 기판 지지체 어셈블리는 제2 바이어싱 전극을 더 포함하고, 제1 바이어싱 전극 및 제2 바이어싱 전극은 각각, 에지 제어 전극 및 척킹 폴 전극(chucking pole electrode)을 포함하는 그룹으로부터 선택된다.[0133] Example 8: The plasma processing chamber of Example 1, wherein the substrate support assembly further includes a second biasing electrode, the first biasing electrode and the second biasing electrode being an edge control electrode and a chucking pole electrode, respectively. ) is selected from the group containing.

[0134] 예 9:[0134] Example 9:

플라즈마 프로세싱 챔버로서, 플라즈마 프로세싱 챔버는: 기판 지지체 어셈블리 ― 기판 지지체 어셈블리는: 기판 지지 표면; 제1 전극; 제1 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함함 ―; 파형 생성기; 파형 생성기를 제1 전극에 전기적으로 결합하는 제1 전력 전달 라인 ― 제1 전력 전달 라인은 차단 커패시터를 포함함 ―; 차단 커패시터와 제1 전극 사이의 제1 지점에서 제1 전력 전달 라인에 결합되는 클램핑 네트워크 ― 클램핑 네트워크는: 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및 제1 지점과 직류(DC) 전압 소스 사이에 결합되는 차단 저항기를 포함함 ―; 및 차단 커패시터와 제1 전극 사이에 배치되는 지점에서 제1 전력 전달 라인에 결합되는 제1 신호 트레이스로부터 제1 전기 신호를 수신하도록 구성되는 신호 검출 모듈을 포함한다.A plasma processing chamber, the plasma processing chamber comprising: a substrate support assembly—a substrate support assembly comprising: a substrate support surface; first electrode; comprising a first dielectric layer disposed between the first electrode and the substrate support surface; waveform generator; a first power delivery line electrically coupling the waveform generator to the first electrode, the first power delivery line including a blocking capacitor; A clamping network coupled to a first power transmission line at a first point between the blocking capacitor and the first electrode, the clamping network comprising: a direct current (DC) voltage source coupled between the first point and ground; and a blocking resistor coupled between the first point and the direct current (DC) voltage source; and a signal detection module configured to receive a first electrical signal from a first signal trace coupled to the first power transmission line at a point disposed between the blocking capacitor and the first electrode.

[0135] 예 10: 실시예 9의 플라즈마 프로세싱 챔버로서, 제1 지점과 직류(DC) 전압 소스 사이에서 차단 저항기와 병렬로 결합되는 다이오드를 더 포함하고, 다이오드의 애노드 측은 제1 지점에 결합된다.[0135] Example 10: The plasma processing chamber of Example 9, further comprising a diode coupled in parallel with a blocking resistor between a first point and a direct current (DC) voltage source, wherein the anode side of the diode is coupled to the first point.

[0136] 예 11: 실시예 9의 플라즈마 프로세싱 챔버로서, 파형 생성기는 제1 시간 기간 동안, 복수의 펄스식 전압 파형들을 생성하도록 그리고 제2 시간 기간 동안 복수의 펄스식 전압 파형들의 생성을 중단하도록 구성되고, 제1 시간 기간 동안, 신호 검출 모듈에 의해 수신되는 전기 신호의 제1 부분은 제1 전압 레벨을 포함하는, 생성된 복수의 펄스식 전압 파형들의 파형의 제1 부분을 포함하고, 제2 시간 기간 동안 신호 검출 모듈에 의해 수신되는 전기 신호의 제2 부분은 제2 전압 레벨을 포함하고, 그리고 메모리에 저장되는 컴퓨터 구현 명령들은, 프로세서에 의해 실행될 때, 제1 전력 전달 라인에 공급되는 전압의 크기를 컨트롤러가 제어하기 전에, 제1 전압 레벨을 제2 전압 레벨과 비교하도록 구성된다.[0136] Example 11: The plasma processing chamber of Example 9, wherein the waveform generator is configured to generate the plurality of pulsed voltage waveforms during a first period of time and to stop generating the plurality of pulsed voltage waveforms during a second period of time, and During a first time period, the first portion of the electrical signal received by the signal detection module includes a first portion of a generated plurality of pulsed voltage waveforms comprising a first voltage level, and during a second time period while the second portion of the electrical signal received by the signal detection module includes a second voltage level, and the computer-implemented instructions stored in the memory, when executed by the processor, include a magnitude of the voltage supplied to the first power delivery line. The controller is configured to compare the first voltage level with the second voltage level before controlling.

[0137] 예 12: 실시예 9의 플라즈마 프로세싱 챔버로서, 기판 지지체 어셈블리는 정전 척을 포함하고, 정전 척은 제1 유전체 층 및 제1 전극을 포함한다.[0137] Example 12: The plasma processing chamber of Example 9, wherein the substrate support assembly includes an electrostatic chuck, and the electrostatic chuck includes a first dielectric layer and a first electrode.

[0138] 예 13: 실시예 9의 플라즈마 프로세싱 챔버로서, 차단 저항기는 100 kOhms보다 더 큰 저항을 갖는다.[0138] Example 13: The plasma processing chamber of Example 9, wherein the blocking resistor has a resistance greater than 100 kOhms.

[0139] 예 14: 실시예 9의 플라즈마 프로세싱 챔버로서, 기판 지지체 어셈블리는: 지지체 베이스; 및 지지체 베이스와 제1 전극 사이에 배치되는 제2 유전체 층을 더 포함하고; 그리고 파형 생성기는 제1 전기적 도체를 통해 제1 전극에 전기적으로 결합되는 펄스식 전압 파형 생성기를 포함하고, 제1 전극에서 펄스식 전압 파형을 확립하도록 구성되고, 라디오 주파수 생성기가 제2 전력 전달 라인을 통해 지지체 베이스에 전기적으로 결합되며, 지지체 베이스에서 라디오 주파수 전압 파형을 확립하도록 구성되고, 그리고 신호 검출 모듈은 제2 전력 전달 라인에 결합되는 제2 신호 트레이스로부터 제2 전기 신호를 수신하도록 추가로 구성된다.[0139] Example 14: The plasma processing chamber of Example 9, wherein the substrate support assembly includes: a support base; and a second dielectric layer disposed between the support base and the first electrode; and the waveform generator includes a pulsed voltage waveform generator electrically coupled to the first electrode through a first electrical conductor, configured to establish a pulsed voltage waveform at the first electrode, and wherein the radio frequency generator is connected to the second power delivery line. electrically coupled to the support base via, configured to establish a radio frequency voltage waveform at the support base, and the signal detection module further configured to receive a second electrical signal from the second signal trace coupled to the second power transmission line. It is composed.

[0140] 예 15: 실시예 9의 플라즈마 프로세싱 챔버로서, 제1 유전체 층은 약 0.1 mm 내지 약 2 mm의 두께를 갖는다.[0140] Example 15: The plasma processing chamber of Example 9, wherein the first dielectric layer has a thickness of about 0.1 mm to about 2 mm.

[0141] 예 16: 실시예 9의 플라즈마 프로세싱 챔버로서, 클램핑 네트워크는 파형 생성기와 병렬로 연결되고, 클램핑 네트워크는: 제1 지점과 직류(DC) 전압 소스 사이에서 차단 저항기와 병렬로 결합되는 제1 다이오드 ― 다이오드의 애노드 측은 제1 지점에 결합됨 ―; 다이오드의 캐소드 측과 접지 사이에 결합되는 제1 커패시터; 및 제1 커패시터와 병렬로 결합되는 DC 전압 소스와 직렬인 제2 저항기를 더 포함한다.[0141] Example 16: The plasma processing chamber of Example 9, wherein the clamping network is connected in parallel with the waveform generator, and the clamping network comprises: a first diode coupled in parallel with a blocking resistor between a first point and a direct current (DC) voltage source; The anode side of the diode is coupled to the first point; A first capacitor coupled between the cathode side of the diode and ground; and a second resistor in series with the DC voltage source coupled in parallel with the first capacitor.

[0142] 예 17: 실시예 9의 플라즈마 프로세싱 챔버로서, 제1 전극은 에지 제어 전극 또는 척킹 폴 전극을 포함한다.[0142] Example 17: The plasma processing chamber of Example 9, wherein the first electrode includes an edge control electrode or a chucking pole electrode.

[0143] 예 18: 기판을 플라즈마 프로세싱하기 위한 방법으로서: 프로세싱 챔버의 프로세싱 영역 내에서 플라즈마를 생성하는 단계 ― 프로세싱 영역은, 기판 지지 표면, 제1 바이어싱 전극, 및 제1 바이어싱 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함하는 기판 지지체를 포함함 ―; 파형 생성기로부터, 제1 시간 기간 동안, 제1 전력 전달 라인을 통해 하나 이상의 파형들을 제1 바이어싱 전극에 전달하는 단계; 제2 시간 기간 동안 제1 바이어싱 전극으로의 하나 이상의 파형들의 전달을 중단하는 단계; 클램핑 네트워크로부터, 제1 바이어싱 전극에 제1 클램핑 전압을 인가하는 단계; 제1 전력 전달 라인 상에 배치되는 제1 지점에서 제1 전력 전달 라인에 결합되는 신호 트레이스로부터 전기 신호를 수신함으로써, 제1 시간 기간 동안 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계; 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성을 검출하는 단계; 및 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을: 제1 시간 기간 동안, 신호 트레이스로부터 수신되는 하나 이상의 파형들의 검출된 특성; 및 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 검출된 적어도 하나의 특성에 기초하여 조정하는 단계를 포함한다.[0143] Example 18: A method for plasma processing a substrate: generating a plasma in a processing region of a processing chamber, the processing region comprising: a substrate support surface, a first biasing electrode, and between the first biasing electrode and the substrate support surface. comprising a substrate support comprising a first dielectric layer disposed on; delivering one or more waveforms from a waveform generator through a first power delivery line to a first biasing electrode during a first period of time; ceasing delivery of one or more waveforms to the first biasing electrode for a second period of time; applying a first clamping voltage from the clamping network to the first biasing electrode; detecting at least one characteristic of one or more waveforms during a first period of time by receiving an electrical signal from a signal trace coupled to the first power transmission line at a first point disposed on the first power transmission line; detecting at least one characteristic of an electrical signal received from the signal trace during a second period of time; and a first clamping voltage applied to the first biasing electrode, comprising: a detected characteristic of one or more waveforms received from the signal trace during a first period of time; and adjusting based on at least one detected characteristic of the electrical signal received from the signal trace during the second period of time.

[0144] 예 19: 예 18의 방법으로서, 복수의 펄스들이 제1 시간 기간 동안, 파형 생성기로부터 제공되고, 복수의 펄스들 각각은 펄스 전압 레벨을 가지며, 제1 시간 기간의 제2 부분 동안 복수의 펄스들 중 하나 이상의 펄스들의 펄스 전압 레벨은 제1 시간 기간의 제1 부분 내에서 제공되는 하나 이상의 펄스들에 비해 증가된다.[0144] Example 19: The method of Example 18, wherein a plurality of pulses are provided from a waveform generator during a first time period, each of the plurality of pulses having a pulse voltage level, and the plurality of pulses during a second portion of the first time period. The pulse voltage level of one or more pulses is increased relative to the one or more pulses provided within the first portion of the first time period.

[0145] 예 20: 예 19의 방법으로서, 제1 시간 기간의 부분 동안 제1 클램핑 전압을 인가하는 단계는 클램핑 네트워크에 의해 바이어싱 전극에 공급되는 전압을 증가시키는 단계를 포함한다.[0145] Example 20: The method of Example 19, wherein applying the first clamping voltage during a portion of the first time period includes increasing the voltage supplied to the biasing electrode by the clamping network.

[0146] 예 21: 예 18의 방법으로서, 클램핑 네트워크는: 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및 제1 지점과 DC 소스 사이에 결합되는 차단 저항기를 포함한다.[0146] Example 21: The method of Example 18, wherein the clamping network includes: a direct current (DC) voltage source coupled between a first point and ground; and a blocking resistor coupled between the first point and the DC source.

[0147] 예 22: 예 21의 방법으로서, 하나 이상의 파형들은 각각 펄스 전압 레벨을 포함하고, 제1 시간 기간의 한 부분 동안 펄스 전압 레벨은 제1 전압 레벨로부터 제2 전압 레벨로 증가된다.[0147] Example 22: The method of Example 21, wherein the one or more waveforms each include a pulse voltage level, and the pulse voltage level increases from the first voltage level to the second voltage level during a portion of the first time period.

[0148] 예 23: 예 22의 방법으로서, 제1 전력 전달 라인은 파형 생성기와 바이어싱 전극 사이에 배치되는 차단 커패시터를 포함하고, 클램핑 네트워크의 전압은 차단 커패시터 양단의 전압의 램프와 실질적으로 동등한 레이트로 램핑된다.[0148] Example 23: The method of Example 22, wherein the first power transmission line includes a blocking capacitor disposed between the waveform generator and the biasing electrode, and the voltage of the clamping network ramps at a rate substantially equal to the ramp of the voltage across the blocking capacitor. do.

[0149] 예 24: 예 21의 방법으로서, 차단 저항기는 100 kOhms보다 더 큰 저항을 갖는다.[0149] Example 24: As in Example 21, the blocking resistor has a resistance greater than 100 kOhms.

[0150] 예 25: 예 21의 방법으로서, 시간상 임의의 순간에 차단 저항기를 통해 접지로 흐르는 DC 전류는 약 20 mA 미만이다.[0150] Example 25: The method of Example 21, wherein the DC current flowing through the blocking resistor to ground at any moment in time is less than about 20 mA.

[0151] 예 26: 예 18의 방법으로서, 제1 시간 기간의 한 부분 동안 제1 클램핑 전압을 인가하는 단계는 클램핑 네트워크에 의해 바이어싱 전극에 공급되는 전압을 증가시키는 단계를 포함한다.[0151] Example 26: The method of Example 18, wherein applying the first clamping voltage during a portion of the first time period includes increasing the voltage supplied to the biasing electrode by the clamping network.

[0152] 예 27: 예 18의 방법으로서, 제1 시간 기간의 한 부분 동안 제1 클램핑 전압을 인가하는 단계는 클램핑 네트워크에 의해 바이어싱 전극에 공급되는 전압을 감소시키는 단계를 포함한다.[0152] Example 27: The method of Example 18, wherein applying the first clamping voltage during a portion of the first time period includes reducing the voltage supplied to the biasing electrode by the clamping network.

[0153] 예 28: 예 18의 방법으로서, 제1 시간 기간 동안, 달성되는 피크 플라즈마 전위를: 제1 시간 기간 동안, 검출되는 하나 이상의 파형들의 적어도 하나의 특성; 및 제2 시간 기간 동안 검출되는 전기 신호의 적어도 하나의 특성을 분석함으로써 결정하는 단계를 더 포함한다.[0153] Example 28: The method of Example 18, wherein, during a first period of time, the peak plasma potential achieved comprises: during a first period of time, at least one characteristic of the one or more waveforms that are detected; and determining by analyzing at least one characteristic of the electrical signal detected during the second period of time.

[0154] 예 29: 예 28의 방법으로서, 제1 클램핑 전압을 조정하는 단계는:[0154] Example 29: The method of Example 28, wherein adjusting the first clamping voltage includes:

결정된 피크 플라즈마 전위를 메모리에 저장되는 클램프 전압 세트 포인트 상수 값에 더하여 원하는 클램핑 전압을 형성하는 단계; 및 클램핑 네트워크의 직류(DC) 전압 소스에 제어 신호를 전달하는 단계를 더 포함하며, 제어 신호는 형성된 원하는 클램핑 전압에 관한 정보를 포함한다.forming a desired clamping voltage by adding the determined peak plasma potential to a clamp voltage set point constant value stored in a memory; and delivering a control signal to a direct current (DC) voltage source of the clamping network, wherein the control signal includes information regarding the desired clamping voltage to be formed.

[0155] 예 30: 예 18의 방법으로서, 제1 시간 기간 동안 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계는 하나 이상의 펄스식 전압 파형들 중의 펄스식 전압 파형의 피크에서 제1 전압을 검출하는 단계를 포함하고, 그리고 제2 시간 기간 동안 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계는 제2 시간 기간 동안 제2 전압을 검출하는 단계를 포함한다.[0155] Example 30: The method of Example 18, wherein detecting at least one characteristic of the one or more waveforms during the first time period comprises detecting the first voltage at a peak of the one or more pulsed voltage waveforms. and detecting at least one characteristic of the one or more waveforms during the second period of time includes detecting a second voltage during the second period of time.

[0156] 예 31: 예 30의 방법으로서, 검출된 특성에 기초하여 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계는: 제1 전압과 제2 전압 사이의 차이를 결정하는 단계; 및 제1 전압과 제2 전압 사이의 결정된 차이에 기초하여 플라즈마 전위 값을 결정하는 단계를 더 포함하고, 그리고 제1 클램핑 전압을 조정하는 단계는 기판 바이어싱 전압을 제1 바이어싱 전극에 전달하는 단계를 포함하고, 기판 바이어싱 전압은 결정된 플라즈마 전위 값과 이전에 결정된 클램프 전압 세트 포인트 값의 합을 포함한다.[0156] Example 31: The method of Example 30, wherein adjusting the first clamping voltage applied to the first biasing electrode based on the detected characteristic includes: determining a difference between the first voltage and the second voltage; and determining a plasma potential value based on the determined difference between the first voltage and the second voltage, and adjusting the first clamping voltage comprises delivering a substrate biasing voltage to the first biasing electrode. and wherein the substrate biasing voltage includes the sum of the determined plasma potential value and the previously determined clamp voltage set point value.

[0157] 예 32: 예 31의 방법으로서, 플라즈마 전위 값을 결정하는 단계는 제1 전압과 제2 전압 사이의 결정된 차이를 조합된 회로 커패시턴스 값과 곱하는 단계를 더 포함하고, 조합된 회로 커패시턴스 값은 제1 지점에 직접적으로 결합되는 회로 엘리먼트들의 커패시턴스 값들을 포함한다.[0157] Example 32: The method of Example 31, wherein determining the plasma potential value further includes multiplying the determined difference between the first voltage and the second voltage by a combined circuit capacitance value, wherein the combined circuit capacitance value is the first voltage. Contains capacitance values of circuit elements directly coupled to the point.

[0158] 예 33: 기판을 플라즈마 프로세싱하기 위한 방법으로서, 프로세싱 챔버의 프로세싱 영역 내에서 플라즈마를 생성하는 단계 ― 프로세싱 영역은, 기판 지지 표면, 제1 바이어싱 전극, 및 제1 바이어싱 전극과 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함하는 기판 지지체를 포함함 ―; 파형 생성기로부터, 제1 시간 기간 동안, 제1 전력 전달 라인을 통해 제1 바이어싱 전극에 복수의 펄스식 전압 파형들을 전달하는 단계 ― 제1 전력 전달 라인은 파형 생성기와 바이어싱 전극 사이에 배치되는 차단 커패시터를 포함함 ―; 제2 시간 기간 전체 동안 제1 바이어싱 전극으로의 복수의 펄스식 전압 파형들의 전달을 중단하는 단계; 클램핑 네트워크로부터, 제1 바이어싱 전극에 제1 클램핑 전압을 인가하는 단계; 차단 커패시터와 바이어싱 전극 사이에 배치되는 제1 지점에서 제1 전력 전달 라인에 결합되는 신호 트레이스로부터 전기 신호를 수신함으로써, 제1 시간 기간 동안, 전달된 복수의 펄스식 전압 파형들 중 하나 이상의 펄스식 전압 파형들의 적어도 하나의 특성들을 검출하는 단계; 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성을 검출하는 단계; 및 전달된 복수의 펄스식 전압 파형들 중 하나 이상의 펄스식 전압 파형들의 검출된 특성과 제1 시간 기간 및 제2 시간 기간 동안 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성에 기초하여 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계를 포함한다.[0158] Example 33: A method for plasma processing a substrate, comprising generating a plasma within a processing region of a processing chamber, the processing region comprising: a substrate support surface, a first biasing electrode, and between the first biasing electrode and the substrate support surface. comprising a substrate support comprising a first dielectric layer disposed on; delivering a plurality of pulsed voltage waveforms from a waveform generator, during a first period of time, to a first biasing electrode via a first power delivery line, wherein the first power delivery line is disposed between the waveform generator and the biasing electrode. Includes blocking capacitor -; ceasing delivery of the plurality of pulsed voltage waveforms to the first biasing electrode for an entire second period of time; applying a first clamping voltage from the clamping network to the first biasing electrode; One or more pulses of a plurality of pulsed voltage waveforms delivered during a first period of time by receiving an electrical signal from a signal trace coupled to the first power transmission line at a first point disposed between the blocking capacitor and the biasing electrode. detecting at least one characteristic of the equation voltage waveforms; detecting at least one characteristic of an electrical signal received from the signal trace during a second period of time; and a first bias based on the detected characteristic of one or more of the delivered plurality of pulsed voltage waveforms and at least one characteristic of the electrical signal received from the signal trace during the first and second time periods. and adjusting the first clamping voltage applied to the single electrode.

[0159] 예 34: 예 33의 방법으로서, 복수의 펄스식 전압 파형들이 제1 시간 기간 동안, 파형 생성기로부터 제공되고, 복수의 펄스들 각각은 펄스 전압 레벨을 가지며, 제1 시간 기간의 제2 부분 동안 복수의 펄스들 중 하나 이상의 펄스들의 펄스 전압 레벨은 제1 시간 기간의 제1 부분 내에서 제공되는 하나 이상의 펄스들에 비해 증가된다.[0159] Example 34: The method of Example 33, wherein a plurality of pulsed voltage waveforms are provided from a waveform generator during a first time period, each of the plurality of pulses having a pulse voltage level, and the plurality of pulsed voltage waveforms are provided during a second portion of the first time period. The pulse voltage level of one or more of the pulses is increased relative to the one or more pulses provided within the first portion of the first time period.

[0160] 예 35: 예 34의 방법으로서, 제1 시간 기간의 부분 동안 제1 클램핑 전압을 인가하는 단계는 클램핑 네트워크에 의해 바이어싱 전극에 공급되는 전압을 증가시키는 단계를 포함한다.[0160] Example 35: The method of Example 34, wherein applying the first clamping voltage for a portion of the first time period includes increasing the voltage supplied to the biasing electrode by the clamping network.

[0161] 예 36: 예 33의 방법으로서, 클램핑 네트워크는: 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및 제1 지점과 DC 소스 사이에 결합되는 차단 저항기를 포함한다.[0161] Example 36: The method of Example 33, wherein the clamping network includes: a direct current (DC) voltage source coupled between a first point and ground; and a blocking resistor coupled between the first point and the DC source.

[0162] 예 37: 예 36의 방법으로서, 하나 이상의 파형들은 각각 펄스 전압 레벨을 포함하고, 제1 시간 기간의 한 부분 동안 펄스 전압 레벨은 제1 전압 레벨로부터 제2 전압 레벨로 증가된다.[0162] Example 37: The method of Example 36, wherein the one or more waveforms each include a pulse voltage level, and the pulse voltage level increases from the first voltage level to the second voltage level during a portion of the first period of time.

[0163] 예 38: 예 36의 방법으로서, 시간상 임의의 순간에 차단 저항기를 통해 접지로 흐르는 DC 전류는 약 20 mA 미만이다.[0163] Example 38: The method of Example 36, wherein the DC current flowing through the blocking resistor to ground at any moment in time is less than about 20 mA.

[0164] 예 39: 예 33의 방법으로서, 제1 시간 기간 동안, 달성되는 피크 플라즈마 전위를: 제1 시간 기간 동안, 검출되는 하나 이상의 파형들의 적어도 하나의 특성; 및 제2 시간 기간 동안 검출되는 전기 신호의 적어도 하나의 특성을 분석함으로써 결정하는 단계를 더 포함한다.[0164] Example 39: The method of Example 33, wherein, during a first period of time, the peak plasma potential achieved comprises: during the first period of time, at least one characteristic of the one or more waveforms that are detected; and determining by analyzing at least one characteristic of the electrical signal detected during the second period of time.

[0165] 예 40: 예 39의 방법으로서, 제1 클램핑 전압을 조정하는 단계는: 결정된 피크 플라즈마 전위를 메모리에 저장되는 클램프 전압 세트 포인트 상수 값에 더하여 원하는 클램핑 전압을 형성하는 단계; 및 클램핑 네트워크의 직류(DC) 전압 소스에 제어 신호를 전달하는 단계를 더 포함하며, 제어 신호는 형성된 원하는 클램핑 전압에 관한 정보를 포함한다.[0165] Example 40: The method of Example 39, wherein adjusting the first clamping voltage includes: adding the determined peak plasma potential to a clamp voltage set point constant value stored in memory to form a desired clamping voltage; and delivering a control signal to a direct current (DC) voltage source of the clamping network, wherein the control signal includes information regarding the desired clamping voltage to be formed.

[0166] 예 41: 예 33의 방법으로서, 제1 시간 기간 동안 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계는 하나 이상의 펄스식 전압 파형들 중의 펄스식 전압 파형의 피크에서 제1 전압을 검출하는 단계를 포함하고, 그리고 제2 시간 기간 동안 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계는 제2 시간 기간 동안 제2 전압을 검출하는 단계를 포함한다.[0166] Example 41: The method of Example 33, wherein detecting at least one characteristic of the one or more waveforms during the first time period comprises detecting the first voltage at a peak of the one or more pulsed voltage waveforms. and detecting at least one characteristic of the one or more waveforms during the second period of time includes detecting a second voltage during the second period of time.

[0167] 예 42: 예 41의 방법으로서, 검출된 특성에 기초하여 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계는: 제1 전압과 제2 전압 사이의 차이를 결정하는 단계; 및 제1 전압과 제2 전압 사이의 결정된 차이에 기초하여 플라즈마 전위 값을 결정하는 단계를 더 포함하고, 그리고 제1 클램핑 전압을 조정하는 단계는 기판 바이어싱 전압을 제1 바이어싱 전극에 전달하는 단계를 포함하고, 기판 바이어싱 전압은 결정된 플라즈마 전위 값과 이전에 결정된 클램프 전압 세트 포인트 값의 합을 포함한다.[0167] Example 42: The method of Example 41, wherein adjusting the first clamping voltage applied to the first biasing electrode based on the detected characteristic includes: determining a difference between the first voltage and the second voltage; and determining a plasma potential value based on the determined difference between the first voltage and the second voltage, and adjusting the first clamping voltage comprises delivering a substrate biasing voltage to the first biasing electrode. and wherein the substrate biasing voltage includes the sum of the determined plasma potential value and the previously determined clamp voltage set point value.

[0168] 예 43: 예 42의 방법으로서, 플라즈마 전위 값을 결정하는 단계는 제1 전압과 제2 전압 사이의 결정된 차이를 조합된 회로 커패시턴스 값과 곱하는 단계를 더 포함하고, 조합된 회로 커패시턴스 값은 제1 지점에 직접적으로 결합되는 회로 엘리먼트들의 커패시턴스 값들을 포함한다.[0168] Example 43: The method of Example 42, wherein determining the plasma potential value further includes multiplying the determined difference between the first voltage and the second voltage by a combined circuit capacitance value, wherein the combined circuit capacitance value is the first voltage. Contains capacitance values of circuit elements directly coupled to the point.

[0169] 전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 본 개시내용의 기본 범위로부터 벗어나지 않으면서 안출될 수 있고, 그 범위는 후속하는 청구항들에 의해 결정된다.[0169] Although the foregoing relates to embodiments of the disclosure, other and additional embodiments of the disclosure may be devised without departing from the basic scope of the disclosure, the scope of which is determined by the claims that follow. do.

Claims (43)

플라즈마 프로세싱 챔버로서,
기판 지지체 어셈블리(substrate support assembly) ― 상기 기판 지지체 어셈블리는:
기판 지지 표면;
제1 바이어싱 전극;
상기 제1 바이어싱 전극과 상기 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함함 ―;
파형 생성기;
상기 파형 생성기를 상기 제1 바이어싱 전극에 전기적으로 결합하는 제1 전력 전달 라인 ― 상기 제1 전력 전달 라인은 차단 커패시터를 포함함 ―;
상기 차단 커패시터와 상기 바이어싱 전극 사이의 제1 지점에서 상기 제1 전력 전달 라인에 결합되는 클램핑 네트워크 ― 상기 클램핑 네트워크는:
상기 제1 지점과 접지 사이에 결합되는 직류(direct-current; DC) 전압 소스; 및
상기 제1 지점과 상기 직류(DC) 전압 소스의 출력 사이에 결합되는 차단 저항기를 포함함 ―;
상기 차단 커패시터와 상기 바이어싱 전극 사이에 배치되는 지점에서 상기 제1 전력 전달 라인에 결합되는 제1 신호 트레이스로부터 제1 전기 신호를 수신하도록 구성되는 신호 검출 모듈; 및
상기 신호 검출 모듈과 통신하도록 그리고 상기 수신된 전기 신호 내에서 수신되는 정보에 기인하여 상기 직류(DC) 전압 소스에 의해 상기 제1 지점에서 상기 제1 전력 전달 라인에 공급되는 전압의 크기를 제어하도록 구성되는 컨트롤러를 포함하는, 플라즈마 프로세싱 챔버.
As a plasma processing chamber,
Substrate support assembly—The substrate support assembly includes:
substrate support surface;
first biasing electrode;
comprising a first dielectric layer disposed between the first biasing electrode and the substrate support surface;
waveform generator;
a first power delivery line electrically coupling the waveform generator to the first biasing electrode, the first power delivery line comprising a blocking capacitor;
A clamping network coupled to the first power transmission line at a first point between the blocking capacitor and the biasing electrode, the clamping network comprising:
a direct-current (DC) voltage source coupled between the first point and ground; and
comprising a blocking resistor coupled between the first point and the output of the direct current (DC) voltage source;
a signal detection module configured to receive a first electrical signal from a first signal trace coupled to the first power transmission line at a point disposed between the blocking capacitor and the biasing electrode; and
communicate with the signal detection module and control the magnitude of the voltage supplied to the first power delivery line at the first point by the direct current (DC) voltage source due to information received within the received electrical signal. A plasma processing chamber, comprising a controller configured to:
제1항에 있어서,
상기 파형 생성기는 제1 시간 기간 동안, 복수의 펄스식(pulsed) 전압 파형들을 생성하도록 그리고 제2 시간 기간 동안 상기 복수의 펄스식 전압 파형들의 생성을 중단하도록 구성되고,
상기 제1 시간 기간 동안, 상기 신호 검출 모듈에 의해 수신되는 전기 신호의 제1 부분은 제1 전압 레벨을 포함하는, 상기 생성된 복수의 펄스식 전압 파형들의 파형의 제1 부분을 포함하고,
상기 제2 시간 기간 동안 상기 신호 검출 모듈에 의해 수신되는 전기 신호의 제2 부분은 제2 전압 레벨을 포함하고, 그리고
메모리에 저장되는 컴퓨터 구현 명령들은 프로세서에 의해 실행될 때, 상기 제1 전력 전달 라인에 공급되는 전압의 크기를 상기 컨트롤러가 제어하기 전에, 상기 제1 전압 레벨을 상기 제2 전압 레벨과 비교하도록 구성되는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
the waveform generator is configured to generate a plurality of pulsed voltage waveforms during a first period of time and to stop generating the plurality of pulsed voltage waveforms during a second period of time;
During the first time period, the first portion of the electrical signal received by the signal detection module includes a first portion of the generated plurality of pulsed voltage waveforms comprising a first voltage level, and
a second portion of the electrical signal received by the signal detection module during the second time period includes a second voltage level, and
Computer-implemented instructions stored in a memory, when executed by a processor, are configured to compare the first voltage level with the second voltage level before the controller controls the magnitude of the voltage supplied to the first power transmission line. , plasma processing chamber.
제1항에 있어서,
상기 기판 지지체 어셈블리는 정전 척(electrostatic chuck)을 포함하고, 상기 정전 척은 상기 제1 유전체 층 및 상기 제1 바이어싱 전극을 포함하는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
wherein the substrate support assembly includes an electrostatic chuck, the electrostatic chuck including the first dielectric layer and the first biasing electrode.
제1항에 있어서,
상기 차단 저항기는 100 kOhms보다 더 큰 저항을 갖는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
The blocking resistor has a resistance greater than 100 kOhms.
제1항에 있어서,
상기 기판 지지체 어셈블리는:
지지체 베이스; 및
상기 지지체 베이스와 상기 제1 바이어싱 전극 사이에 배치되는 제2 유전체 층을 더 포함하고; 그리고
상기 파형 생성기는 제1 전기적 도체를 통해 상기 제1 바이어싱 전극에 전기적으로 결합되는 펄스식 전압 파형 생성기를 포함하고, 상기 제1 바이어싱 전극에서 펄스식 전압 파형을 확립하도록 구성되고,
라디오 주파수 생성기가 제2 전력 전달 라인을 통해 상기 지지체 베이스에 전기적으로 결합되며, 상기 지지체 베이스에서 라디오 주파수 전압 파형을 확립하도록 구성되고, 그리고
상기 신호 검출 모듈은 상기 제2 전력 전달 라인에 결합되는 제2 신호 트레이스로부터 제2 전기 신호를 수신하도록 추가로 구성되는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
The substrate support assembly:
support base; and
further comprising a second dielectric layer disposed between the support base and the first biasing electrode; and
the waveform generator comprising a pulsed voltage waveform generator electrically coupled to the first biasing electrode through a first electrical conductor, and configured to establish a pulsed voltage waveform at the first biasing electrode;
A radio frequency generator is electrically coupled to the support base via a second power transmission line and is configured to establish a radio frequency voltage waveform at the support base, and
wherein the signal detection module is further configured to receive a second electrical signal from a second signal trace coupled to the second power delivery line.
제1항에 있어서,
상기 제1 유전체 층은 약 0.1 mm 내지 약 2 mm의 두께를 갖는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
The first dielectric layer has a thickness of about 0.1 mm to about 2 mm.
제1항에 있어서,
상기 클램핑 네트워크는 상기 파형 생성기와 병렬로 연결되고, 상기 클램핑 네트워크는:
상기 제1 지점과 상기 직류(DC) 전압 소스 사이에서 상기 차단 저항기와 병렬로 결합되는 제1 다이오드 ― 상기 다이오드의 애노드 측은 상기 제1 지점에 결합됨 ―;
상기 다이오드의 캐소드 측과 접지 사이에 결합되는 제1 커패시터; 및
상기 제1 커패시터와 병렬로 결합되는 DC 전압 소스와 직렬인 제2 저항기를 더 포함하는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
The clamping network is connected in parallel with the waveform generator, and the clamping network is:
a first diode coupled in parallel with the blocking resistor between the first point and the direct current (DC) voltage source, the anode side of the diode coupled to the first point;
a first capacitor coupled between the cathode side of the diode and ground; and
The plasma processing chamber further comprising a second resistor in series with a DC voltage source coupled in parallel with the first capacitor.
제1항에 있어서,
상기 기판 지지체 어셈블리는 제2 바이어싱 전극을 더 포함하고, 상기 제1 바이어싱 전극 및 상기 제2 바이어싱 전극은 각각, 에지 제어 전극 및 척킹 폴 전극(chucking pole electrode)을 포함하는 그룹으로부터 선택되는, 플라즈마 프로세싱 챔버.
According to paragraph 1,
The substrate support assembly further includes a second biasing electrode, wherein the first biasing electrode and the second biasing electrode are each selected from the group comprising an edge control electrode and a chucking pole electrode. , plasma processing chamber.
플라즈마 프로세싱 챔버로서,
기판 지지체 어셈블리 ― 상기 기판 지지체 어셈블리는:
기판 지지 표면;
제1 전극;
상기 제1 전극과 상기 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함함 ―;
파형 생성기;
상기 파형 생성기를 상기 제1 전극에 전기적으로 결합하는 제1 전력 전달 라인 ― 상기 제1 전력 전달 라인은 차단 커패시터를 포함함 ―;
상기 차단 커패시터와 상기 제1 전극 사이의 제1 지점에서 상기 제1 전력 전달 라인에 결합되는 클램핑 네트워크 ― 상기 클램핑 네트워크는:
상기 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및
상기 제1 지점과 상기 직류(DC) 전압 소스 사이에 결합되는 차단 저항기를 포함함 ―; 및
상기 차단 커패시터와 상기 제1 전극 사이에 배치되는 지점에서 상기 제1 전력 전달 라인에 결합되는 제1 신호 트레이스로부터 제1 전기 신호를 수신하도록 구성되는 신호 검출 모듈을 포함하는, 플라즈마 프로세싱 챔버.
As a plasma processing chamber,
Substrate support assembly—the substrate support assembly:
substrate support surface;
first electrode;
comprising a first dielectric layer disposed between the first electrode and the substrate support surface;
waveform generator;
a first power delivery line electrically coupling the waveform generator to the first electrode, the first power delivery line comprising a blocking capacitor;
A clamping network coupled to the first power transmission line at a first point between the blocking capacitor and the first electrode, the clamping network comprising:
a direct current (DC) voltage source coupled between the first point and ground; and
comprising a blocking resistor coupled between the first point and the direct current (DC) voltage source; and
and a signal detection module configured to receive a first electrical signal from a first signal trace coupled to the first power transmission line at a point disposed between the blocking capacitor and the first electrode.
제9항에 있어서,
상기 제1 지점과 상기 직류(DC) 전압 소스 사이에서 상기 차단 저항기와 병렬로 결합되는 다이오드를 더 포함하고, 상기 다이오드의 애노드 측은 상기 제1 지점에 결합되는, 플라즈마 프로세싱 챔버.
According to clause 9,
A plasma processing chamber further comprising a diode coupled in parallel with the blocking resistor between the first point and the direct current (DC) voltage source, wherein an anode side of the diode is coupled to the first point.
제9항에 있어서,
상기 파형 생성기는 제1 시간 기간 동안, 복수의 펄스식 전압 파형들을 생성하도록 그리고 제2 시간 기간 동안 상기 복수의 펄스식 전압 파형들의 생성을 중단하도록 구성되고,
상기 제1 시간 기간 동안, 상기 신호 검출 모듈에 의해 수신되는 전기 신호의 제1 부분은 제1 전압 레벨을 포함하는, 상기 생성된 복수의 펄스식 전압 파형들의 파형의 제1 부분을 포함하고,
상기 제2 시간 기간 동안 상기 신호 검출 모듈에 의해 수신되는 전기 신호의 제2 부분은 제2 전압 레벨을 포함하고, 그리고
메모리에 저장되는 컴퓨터 구현 명령들은 프로세서에 의해 실행될 때, 상기 제1 전력 전달 라인에 공급되는 전압의 크기를 컨트롤러가 제어하기 전에, 상기 제1 전압 레벨을 상기 제2 전압 레벨과 비교하도록 구성되는, 플라즈마 프로세싱 챔버.
According to clause 9,
the waveform generator is configured to generate a plurality of pulsed voltage waveforms during a first period of time and to stop generating the plurality of pulsed voltage waveforms during a second period of time;
During the first time period, the first portion of the electrical signal received by the signal detection module includes a first portion of the generated plurality of pulsed voltage waveforms comprising a first voltage level, and
a second portion of the electrical signal received by the signal detection module during the second time period includes a second voltage level, and
Computer-implemented instructions stored in a memory, when executed by a processor, are configured to compare the first voltage level with the second voltage level before the controller controls the magnitude of the voltage supplied to the first power transmission line, Plasma processing chamber.
제9항에 있어서,
상기 기판 지지체 어셈블리는 정전 척을 포함하고, 상기 정전 척은 상기 제1 유전체 층 및 상기 제1 전극을 포함하는, 플라즈마 프로세싱 챔버.
According to clause 9,
wherein the substrate support assembly includes an electrostatic chuck, the electrostatic chuck including the first dielectric layer and the first electrode.
제9항에 있어서,
상기 차단 저항기는 100 kOhms보다 더 큰 저항을 갖는, 플라즈마 프로세싱 챔버.
According to clause 9,
The blocking resistor has a resistance greater than 100 kOhms.
제9항에 있어서,
상기 기판 지지체 어셈블리는:
지지체 베이스; 및
상기 지지체 베이스와 상기 제1 전극 사이에 배치되는 제2 유전체 층을 더 포함하고; 그리고
상기 파형 생성기는 제1 전기적 도체를 통해 상기 제1 전극에 전기적으로 결합되는 펄스식 전압 파형 생성기를 포함하고, 상기 제1 전극에서 펄스식 전압 파형을 확립하도록 구성되고,
라디오 주파수 생성기가 제2 전력 전달 라인을 통해 상기 지지체 베이스에 전기적으로 결합되며, 상기 지지체 베이스에서 라디오 주파수 전압 파형을 확립하도록 구성되고, 그리고
상기 신호 검출 모듈은 상기 제2 전력 전달 라인에 결합되는 제2 신호 트레이스로부터 제2 전기 신호를 수신하도록 추가로 구성되는, 플라즈마 프로세싱 챔버.
According to clause 9,
The substrate support assembly:
support base; and
further comprising a second dielectric layer disposed between the support base and the first electrode; and
the waveform generator comprising a pulsed voltage waveform generator electrically coupled to the first electrode through a first electrical conductor, and configured to establish a pulsed voltage waveform at the first electrode;
A radio frequency generator is electrically coupled to the support base via a second power transmission line and is configured to establish a radio frequency voltage waveform at the support base, and
wherein the signal detection module is further configured to receive a second electrical signal from a second signal trace coupled to the second power delivery line.
제9항에 있어서,
상기 제1 유전체 층은 약 0.1 mm 내지 약 2 mm의 두께를 갖는, 플라즈마 프로세싱 챔버.
According to clause 9,
wherein the first dielectric layer has a thickness of about 0.1 mm to about 2 mm.
제9항에 있어서,
상기 클램핑 네트워크는 상기 파형 생성기와 병렬로 연결되고, 상기 클램핑 네트워크는:
상기 제1 지점과 상기 직류(DC) 전압 소스 사이에서 상기 차단 저항기와 병렬로 결합되는 제1 다이오드 ― 상기 다이오드의 애노드 측은 상기 제1 지점에 결합됨 ―;
상기 다이오드의 캐소드 측과 접지 사이에 결합되는 제1 커패시터; 및
상기 제1 커패시터와 병렬로 결합되는 DC 전압 소스와 직렬인 제2 저항기를 더 포함하는, 플라즈마 프로세싱 챔버.
According to clause 9,
The clamping network is connected in parallel with the waveform generator, and the clamping network is:
a first diode coupled in parallel with the blocking resistor between the first point and the direct current (DC) voltage source, the anode side of the diode coupled to the first point;
a first capacitor coupled between the cathode side of the diode and ground; and
The plasma processing chamber further comprising a second resistor in series with a DC voltage source coupled in parallel with the first capacitor.
제9항에 있어서,
상기 제1 전극은 에지 제어 전극 또는 척킹 폴 전극을 포함하는, 플라즈마 프로세싱 챔버.
According to clause 9,
wherein the first electrode comprises an edge control electrode or a chucking pole electrode.
기판을 플라즈마 프로세싱하기 위한 방법으로서,
프로세싱 챔버의 프로세싱 영역 내에서 플라즈마를 생성하는 단계 ― 상기 프로세싱 영역은, 기판 지지 표면, 제1 바이어싱 전극, 및 상기 제1 바이어싱 전극과 상기 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함하는 기판 지지체를 포함함 ―;
파형 생성기로부터, 제1 시간 기간 동안, 제1 전력 전달 라인을 통해 하나 이상의 파형들을 상기 제1 바이어싱 전극에 전달하는 단계;
제2 시간 기간 동안 상기 제1 바이어싱 전극으로의 상기 하나 이상의 파형들의 전달을 중단하는 단계;
클램핑 네트워크로부터, 상기 제1 바이어싱 전극에 제1 클램핑 전압을 인가하는 단계;
상기 제1 전력 전달 라인 상에 배치되는 제1 지점에서 상기 제1 전력 전달 라인에 결합되는 신호 트레이스로부터 전기 신호를 수신함으로써, 상기 제1 시간 기간 동안 상기 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계;
상기 제2 시간 기간 동안 상기 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성을 검출하는 단계; 및
상기 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을:
상기 제1 시간 기간 동안, 상기 신호 트레이스로부터 수신되는 하나 이상의 파형들의 검출된 특성; 및
상기 제2 시간 기간 동안 상기 신호 트레이스로부터 수신되는 전기 신호의 검출된 적어도 하나의 특성
에 기초하여 조정하는 단계를 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
A method for plasma processing a substrate, comprising:
Generating a plasma within a processing region of a processing chamber, the processing region comprising a substrate support surface, a first biasing electrode, and a first dielectric layer disposed between the first biasing electrode and the substrate support surface. Includes a substrate support that -;
delivering one or more waveforms from a waveform generator to the first biasing electrode through a first power delivery line during a first period of time;
ceasing delivery of the one or more waveforms to the first biasing electrode for a second period of time;
applying a first clamping voltage from a clamping network to the first biasing electrode;
detecting at least one characteristic of the one or more waveforms during the first period of time by receiving an electrical signal from a signal trace coupled to the first power transmission line at a first point disposed on the first power transmission line. step;
detecting at least one characteristic of an electrical signal received from the signal trace during the second period of time; and
The first clamping voltage applied to the first biasing electrode is:
During the first time period, detected characteristics of one or more waveforms received from the signal trace; and
At least one detected characteristic of an electrical signal received from the signal trace during the second time period
A method for plasma processing a substrate, comprising adjusting based on .
제18항에 있어서,
복수의 펄스들이 상기 제1 시간 기간 동안, 상기 파형 생성기로부터 제공되고, 상기 복수의 펄스들 각각은 펄스 전압 레벨을 가지며, 상기 제1 시간 기간의 제2 부분 동안 상기 복수의 펄스들 중 하나 이상의 펄스들의 펄스 전압 레벨은 상기 제1 시간 기간의 제1 부분 내에서 제공되는 하나 이상의 펄스들에 비해 증가되는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 18,
A plurality of pulses are provided from the waveform generator during the first time period, each of the plurality of pulses having a pulse voltage level, and at least one pulse of the plurality of pulses during a second portion of the first time period. wherein the pulse voltage level of the pulses is increased relative to one or more pulses provided within a first portion of the first time period.
제19항에 있어서,
상기 제1 시간 기간의 부분 동안 상기 제1 클램핑 전압을 인가하는 것은 상기 클램핑 네트워크에 의해 상기 바이어싱 전극에 공급되는 전압을 증가시키는 것을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 19,
Wherein applying the first clamping voltage during portion of the first time period includes increasing the voltage supplied to the biasing electrode by the clamping network.
제18항에 있어서,
상기 클램핑 네트워크는:
상기 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및
상기 제1 지점과 상기 DC 소스 사이에 결합되는 차단 저항기를 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 18,
The clamping network is:
a direct current (DC) voltage source coupled between the first point and ground; and
A method for plasma processing a substrate, comprising a blocking resistor coupled between the first point and the DC source.
제21항에 있어서,
상기 하나 이상의 파형들은 각각 펄스 전압 레벨을 포함하고, 상기 제1 시간 기간의 한 부분 동안 상기 펄스 전압 레벨은 제1 전압 레벨로부터 제2 전압 레벨로 증가되는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 21,
wherein the one or more waveforms each include a pulse voltage level, wherein the pulse voltage level increases from a first voltage level to a second voltage level during a portion of the first time period.
제22항에 있어서,
상기 제1 전력 전달 라인은 상기 파형 생성기와 상기 바이어싱 전극 사이에 배치되는 차단 커패시터를 포함하고, 상기 클램핑 네트워크의 전압은 상기 차단 커패시터 양단의 전압의 램프(ramp)와 실질적으로 동등한 레이트로 램핑되는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 22,
The first power transmission line includes a blocking capacitor disposed between the waveform generator and the biasing electrode, and the voltage of the clamping network is ramped at a rate substantially equal to the ramp of the voltage across the blocking capacitor. , a method for plasma processing a substrate.
제21항에 있어서,
상기 차단 저항기는 100 kOhms보다 더 큰 저항을 갖는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 21,
wherein the blocking resistor has a resistance greater than 100 kOhms.
제21항에 있어서,
시간상 임의의 순간에 상기 차단 저항기를 통해 접지로 흐르는 DC 전류는 약 20 mA 미만인, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 21,
A method for plasma processing a substrate, wherein the DC current flowing through the blocking resistor to ground at any moment in time is less than about 20 mA.
제18항에 있어서,
상기 제1 시간 기간의 한 부분 동안 상기 제1 클램핑 전압을 인가하는 것은 상기 클램핑 네트워크에 의해 상기 바이어싱 전극에 공급되는 전압을 증가시키는 것을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 18,
Wherein applying the first clamping voltage during a portion of the first time period includes increasing the voltage supplied to the biasing electrode by the clamping network.
제18항에 있어서,
상기 제1 시간 기간의 한 부분 동안 상기 제1 클램핑 전압을 인가하는 것은 상기 클램핑 네트워크에 의해 상기 바이어싱 전극에 공급되는 전압을 감소시키는 것을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 18,
Wherein applying the first clamping voltage during a portion of the first time period includes reducing the voltage supplied to the biasing electrode by the clamping network.
제18항에 있어서,
상기 제1 시간 기간 동안, 달성되는 피크 플라즈마 전위를:
상기 제1 시간 기간 동안, 검출되는 하나 이상의 파형들의 적어도 하나의 특성; 및
상기 제2 시간 기간 동안 검출되는 전기 신호의 적어도 하나의 특성
을 분석함으로써 결정하는 단계를 더 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 18,
During the first time period, the peak plasma potential achieved is:
During the first time period, at least one characteristic of one or more waveforms detected; and
At least one characteristic of the electrical signal detected during the second time period
A method for plasma processing a substrate, further comprising determining by analyzing .
제28항에 있어서,
상기 제1 클램핑 전압을 조정하는 단계는:
상기 결정된 피크 플라즈마 전위를 메모리에 저장되는 클램프 전압 세트 포인트 상수 값에 더하여 원하는 클램핑 전압을 형성하는 단계; 및
상기 클램핑 네트워크의 직류(DC) 전압 소스에 제어 신호를 전달하는 단계를 더 포함하며,
상기 제어 신호는 상기 형성된 원하는 클램핑 전압에 관한 정보를 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 28,
Adjusting the first clamping voltage includes:
forming a desired clamping voltage by adding the determined peak plasma potential to a clamp voltage set point constant value stored in a memory; and
Further comprising transmitting a control signal to a direct current (DC) voltage source of the clamping network,
wherein the control signal includes information regarding the desired clamping voltage generated.
제18항에 있어서,
상기 제1 시간 기간 동안 상기 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계는 하나 이상의 펄스식 전압 파형들 중의 펄스식 전압 파형의 피크에서 제1 전압을 검출하는 단계를 포함하고, 그리고
상기 제2 시간 기간 동안 상기 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 것은 상기 제2 시간 기간 동안 제2 전압을 검출하는 것을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 18,
Detecting at least one characteristic of the one or more waveforms during the first time period includes detecting a first voltage at a peak of a pulsed voltage waveform of the one or more pulsed voltage waveforms, and
and detecting at least one characteristic of the one or more waveforms during the second time period includes detecting a second voltage during the second time period.
제30항에 있어서,
상기 검출된 특성에 기초하여 상기 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계는:
상기 제1 전압과 상기 제2 전압 사이의 차이를 결정하는 단계; 및
상기 제1 전압과 상기 제2 전압 사이의 결정된 차이에 기초하여 플라즈마 전위 값을 결정하는 단계를 더 포함하고, 그리고
상기 제1 클램핑 전압을 조정하는 단계는 기판 바이어싱 전압을 상기 제1 바이어싱 전극에 전달하는 단계를 포함하고, 상기 기판 바이어싱 전압은 상기 결정된 플라즈마 전위 값과 이전에 결정된 클램프 전압 세트 포인트 값의 합을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 30,
The step of adjusting the first clamping voltage applied to the first biasing electrode based on the detected characteristic is:
determining a difference between the first voltage and the second voltage; and
further comprising determining a plasma potential value based on the determined difference between the first voltage and the second voltage, and
Adjusting the first clamping voltage includes delivering a substrate biasing voltage to the first biasing electrode, wherein the substrate biasing voltage is equal to the difference between the determined plasma potential value and the previously determined clamp voltage set point value. A method for plasma processing a substrate, comprising:
제31항에 있어서,
상기 플라즈마 전위 값을 결정하는 단계는 상기 제1 전압과 상기 제2 전압 사이의 결정된 차이를 조합된 회로 커패시턴스 값과 곱하는 단계를 더 포함하고, 상기 조합된 회로 커패시턴스 값은 상기 제1 지점에 직접적으로 결합되는 회로 엘리먼트들의 커패시턴스 값들을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 31,
Determining the plasma potential value further includes multiplying the determined difference between the first voltage and the second voltage by a combined circuit capacitance value, wherein the combined circuit capacitance value is directly at the first point. A method for plasma processing a substrate, comprising capacitance values of circuit elements being coupled.
기판을 플라즈마 프로세싱하기 위한 방법으로서,
프로세싱 챔버의 프로세싱 영역 내에서 플라즈마를 생성하는 단계 ― 상기 프로세싱 영역은, 기판 지지 표면, 제1 바이어싱 전극, 및 상기 제1 바이어싱 전극과 상기 기판 지지 표면 사이에 배치되는 제1 유전체 층을 포함하는 기판 지지체를 포함함 ―;
파형 생성기로부터, 제1 시간 기간 동안, 제1 전력 전달 라인을 통해 상기 제1 바이어싱 전극에 복수의 펄스식 전압 파형들을 전달하는 단계 ― 상기 제1 전력 전달 라인은 상기 파형 생성기와 상기 바이어싱 전극 사이에 배치되는 차단 커패시터를 포함함 ―;
제2 시간 기간 전체 동안 상기 제1 바이어싱 전극으로의 상기 복수의 펄스식 전압 파형들의 전달을 중단하는 단계;
클램핑 네트워크로부터, 상기 제1 바이어싱 전극에 제1 클램핑 전압을 인가하는 단계;
상기 차단 커패시터와 상기 바이어싱 전극 사이에 배치되는 제1 지점에서 상기 제1 전력 전달 라인에 결합되는 신호 트레이스로부터 전기 신호를 수신함으로써, 상기 제1 시간 기간 동안, 상기 전달된 복수의 펄스식 전압 파형들 중 하나 이상의 펄스식 전압 파형들의 적어도 하나의 특성들을 검출하는 단계;
상기 제2 시간 기간 동안 상기 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성을 검출하는 단계; 및
상기 전달된 복수의 펄스식 전압 파형들 중 상기 하나 이상의 펄스식 전압 파형들의 검출된 특성, 및 상기 제1 시간 기간 및 상기 제2 시간 기간 동안 상기 신호 트레이스로부터 수신되는 전기 신호의 적어도 하나의 특성에 기초하여 상기 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계를 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
A method for plasma processing a substrate, comprising:
Generating a plasma within a processing region of a processing chamber, the processing region comprising a substrate support surface, a first biasing electrode, and a first dielectric layer disposed between the first biasing electrode and the substrate support surface. Includes a substrate support that -;
delivering a plurality of pulsed voltage waveforms from a waveform generator, during a first period of time, to the first biasing electrode through a first power delivery line, wherein the first power delivery line is connected to the waveform generator and the biasing electrode. Includes a blocking capacitor disposed between -;
ceasing delivery of the plurality of pulsed voltage waveforms to the first biasing electrode for an entire second period of time;
applying a first clamping voltage from a clamping network to the first biasing electrode;
By receiving an electrical signal from a signal trace coupled to the first power delivery line at a first point disposed between the blocking capacitor and the biasing electrode, during the first period of time, the delivered plurality of pulsed voltage waveforms detecting at least one characteristic of one or more of the pulsed voltage waveforms;
detecting at least one characteristic of an electrical signal received from the signal trace during the second period of time; and
a detected characteristic of the one or more of the delivered plurality of pulsed voltage waveforms, and at least one characteristic of an electrical signal received from the signal trace during the first time period and the second time period A method for plasma processing a substrate, comprising adjusting a first clamping voltage applied to the first biasing electrode based on the first clamping voltage applied to the first biasing electrode.
제33항에 있어서,
복수의 펄스식 전압 파형들이 상기 제1 시간 기간 동안, 상기 파형 생성기로부터 제공되고, 복수의 펄스들 각각은 펄스 전압 레벨을 가지며, 상기 제1 시간 기간의 제2 부분 동안 상기 복수의 펄스들 중 하나 이상의 펄스들의 펄스 전압 레벨은 상기 제1 시간 기간의 제1 부분 내에서 제공되는 하나 이상의 펄스들에 비해 증가되는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 33,
A plurality of pulsed voltage waveforms are provided from the waveform generator during the first time period, each of the plurality of pulses having a pulse voltage level, and one of the plurality of pulses during a second portion of the first time period. wherein the pulse voltage level of the one or more pulses is increased relative to one or more pulses provided within a first portion of the first time period.
제34항에 있어서,
상기 제1 시간 기간의 부분 동안 상기 제1 클램핑 전압을 인가하는 것은 상기 클램핑 네트워크에 의해 상기 바이어싱 전극에 공급되는 전압을 증가시키는 것을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 34,
Wherein applying the first clamping voltage for a portion of the first time period includes increasing the voltage supplied to the biasing electrode by the clamping network.
제33항에 있어서,
상기 클램핑 네트워크는:
상기 제1 지점과 접지 사이에 결합되는 직류(DC) 전압 소스; 및
상기 제1 지점과 상기 DC 소스 사이에 결합되는 차단 저항기를 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 33,
The clamping network is:
a direct current (DC) voltage source coupled between the first point and ground; and
A method for plasma processing a substrate, comprising a blocking resistor coupled between the first point and the DC source.
제36항에 있어서,
하나 이상의 파형들은 각각 펄스 전압 레벨을 포함하고, 상기 제1 시간 기간의 한 부분 동안 상기 펄스 전압 레벨은 제1 전압 레벨로부터 제2 전압 레벨로 증가되는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 36,
The method of claim 1 , wherein the one or more waveforms each include a pulse voltage level, wherein the pulse voltage level increases from a first voltage level to a second voltage level during a portion of the first time period.
제36항에 있어서,
시간상 임의의 순간에 상기 차단 저항기를 통해 접지로 흐르는 DC 전류는 약 20 mA 미만인, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 36,
A method for plasma processing a substrate, wherein the DC current flowing through the blocking resistor to ground at any moment in time is less than about 20 mA.
제33항에 있어서,
상기 제1 시간 기간 동안, 달성되는 피크 플라즈마 전위를:
상기 제1 시간 기간 동안, 검출되는 하나 이상의 파형들의 적어도 하나의 특성; 및
상기 제2 시간 기간 동안 검출되는 전기 신호의 적어도 하나의 특성
을 분석함으로써 결정하는 단계를 더 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 33,
During the first time period, the peak plasma potential achieved is:
During the first time period, at least one characteristic of one or more waveforms detected; and
At least one characteristic of the electrical signal detected during the second time period
A method for plasma processing a substrate, further comprising determining by analyzing .
제39항에 있어서,
상기 제1 클램핑 전압을 조정하는 단계는:
상기 결정된 피크 플라즈마 전위를 메모리에 저장되는 클램프 전압 세트 포인트 상수 값에 더하여 원하는 클램핑 전압을 형성하는 단계; 및
상기 클램핑 네트워크의 직류(DC) 전압 소스에 제어 신호를 전달하는 단계를 더 포함하며,
상기 제어 신호는 상기 형성된 원하는 클램핑 전압에 관한 정보를 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 39,
Adjusting the first clamping voltage includes:
forming a desired clamping voltage by adding the determined peak plasma potential to a clamp voltage set point constant value stored in a memory; and
Further comprising transmitting a control signal to a direct current (DC) voltage source of the clamping network,
wherein the control signal includes information regarding the desired clamping voltage generated.
제33항에 있어서,
상기 제1 시간 기간 동안 상기 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 단계는 상기 하나 이상의 펄스식 전압 파형들 중의 펄스식 전압 파형의 피크에서 제1 전압을 검출하는 단계를 포함하고, 그리고
상기 제2 시간 기간 동안 상기 하나 이상의 파형들의 적어도 하나의 특성을 검출하는 것은 상기 제2 시간 기간 동안 제2 전압을 검출하는 것을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 33,
Detecting at least one characteristic of the one or more waveforms during the first time period includes detecting a first voltage at a peak of a pulsed voltage waveform of the one or more pulsed voltage waveforms, and
and detecting at least one characteristic of the one or more waveforms during the second time period includes detecting a second voltage during the second time period.
제41항에 있어서,
상기 검출된 특성에 기초하여 상기 제1 바이어싱 전극에 인가되는 제1 클램핑 전압을 조정하는 단계는:
상기 제1 전압과 상기 제2 전압 사이의 차이를 결정하는 단계; 및
상기 제1 전압과 상기 제2 전압 사이의 결정된 차이에 기초하여 플라즈마 전위 값을 결정하는 단계를 더 포함하고, 그리고
상기 제1 클램핑 전압을 조정하는 단계는 기판 바이어싱 전압을 상기 제1 바이어싱 전극에 전달하는 단계를 포함하고, 상기 기판 바이어싱 전압은 상기 결정된 플라즈마 전위 값과 이전에 결정된 클램프 전압 세트 포인트 값의 합을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 41,
The step of adjusting the first clamping voltage applied to the first biasing electrode based on the detected characteristic is:
determining a difference between the first voltage and the second voltage; and
further comprising determining a plasma potential value based on the determined difference between the first voltage and the second voltage, and
Adjusting the first clamping voltage includes delivering a substrate biasing voltage to the first biasing electrode, wherein the substrate biasing voltage is equal to the difference between the determined plasma potential value and the previously determined clamp voltage set point value. A method for plasma processing a substrate, comprising:
제42항에 있어서,
상기 플라즈마 전위 값을 결정하는 단계는 상기 제1 전압과 상기 제2 전압 사이의 결정된 차이를 조합된 회로 커패시턴스 값과 곱하는 단계를 더 포함하고, 상기 조합된 회로 커패시턴스 값은 상기 제1 지점에 직접적으로 결합되는 회로 엘리먼트들의 커패시턴스 값들을 포함하는, 기판을 플라즈마 프로세싱하기 위한 방법.
According to clause 42,
Determining the plasma potential value further includes multiplying the determined difference between the first voltage and the second voltage by a combined circuit capacitance value, wherein the combined circuit capacitance value is directly at the first point. A method for plasma processing a substrate, comprising capacitance values of circuit elements being coupled.
KR1020237042641A 2021-05-12 2022-05-05 Automatic electrostatic chuck bias compensation during plasma processing KR20240007234A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17/319,007 2021-05-12
US17/319,007 US11791138B2 (en) 2021-05-12 2021-05-12 Automatic electrostatic chuck bias compensation during plasma processing
US17/319,013 2021-05-12
US17/319,013 US11948780B2 (en) 2021-05-12 2021-05-12 Automatic electrostatic chuck bias compensation during plasma processing
PCT/US2022/027892 WO2022240651A1 (en) 2021-05-12 2022-05-05 Automatic electrostatic chuck bias compensation during plasma processing

Publications (1)

Publication Number Publication Date
KR20240007234A true KR20240007234A (en) 2024-01-16

Family

ID=84029368

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237042641A KR20240007234A (en) 2021-05-12 2022-05-05 Automatic electrostatic chuck bias compensation during plasma processing

Country Status (3)

Country Link
KR (1) KR20240007234A (en)
TW (1) TW202309971A (en)
WO (1) WO2022240651A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US11615941B2 (en) * 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
JP2014112644A (en) * 2012-11-06 2014-06-19 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias

Also Published As

Publication number Publication date
TW202309971A (en) 2023-03-01
WO2022240651A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
US10923321B2 (en) Apparatus and method of generating a pulsed waveform
JP6986113B2 (en) Equipment and computer readable storage media for providing modified periodic voltage functions to electrical nodes
KR101761493B1 (en) Systems and methods for calibrating a switched mode ion energy distribution system
KR101800623B1 (en) Systems and Methods for Monitoring Faults, Anomalies, and Other Characteristics of A Switched Mode Ion Energy Distribution System
US10229819B2 (en) Plasma processing apparatus and probe apparatus
WO2003083911A1 (en) A system and method for determining the state of a film in a plasma reactor using an electrical property
CN117296124A (en) Automatic electrostatic chuck bias compensation during plasma processing
JP7461565B2 (en) Apparatus and method for controlling ion energy distribution - Patents.com
US8366833B2 (en) Plasma processing apparatus and plasma processing method
KR20070085010A (en) Plasma processing apparatus and plasma processing method
KR20240017919A (en) Plasma uniformity control in pulsed DC plasma chambers
US11791138B2 (en) Automatic electrostatic chuck bias compensation during plasma processing
KR20230021755A (en) Devices and methods for controlling ion energy distribution
KR20240007234A (en) Automatic electrostatic chuck bias compensation during plasma processing
US20220415614A1 (en) Pulsed Voltage Boost For Substrate Processing
US20230170192A1 (en) Method and apparatus for realtime wafer potential measurement in a plasma processing chamber
US20230132339A1 (en) Plasma processing chambers configured for tunable substrate and edge sheath control
TW202331780A (en) Plasma processing apparatus and plasma processing method
KR20240012556A (en) Plasma chamber and chamber component cleaning methods