KR20230144399A - An apparatus for treating substrate - Google Patents

An apparatus for treating substrate Download PDF

Info

Publication number
KR20230144399A
KR20230144399A KR1020220043616A KR20220043616A KR20230144399A KR 20230144399 A KR20230144399 A KR 20230144399A KR 1020220043616 A KR1020220043616 A KR 1020220043616A KR 20220043616 A KR20220043616 A KR 20220043616A KR 20230144399 A KR20230144399 A KR 20230144399A
Authority
KR
South Korea
Prior art keywords
substrate
compound
edge electrode
dielectric plate
plasma
Prior art date
Application number
KR1020220043616A
Other languages
Korean (ko)
Inventor
이종찬
김병훈
유광성
Original Assignee
피에스케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이 주식회사 filed Critical 피에스케이 주식회사
Priority to KR1020220043616A priority Critical patent/KR20230144399A/en
Publication of KR20230144399A publication Critical patent/KR20230144399A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판을 처리하는 장치를 제공한다. 기판을 처리하는 장치는 처리 공간을 가지는 하우징, 상기 처리 공간 내에서 기판을 지지하는 지지 유닛, 상기 지지 유닛의 외측을 감싸는 절연체 재질의 링 부재, 상기 지지 유닛에 지지된 기판의 상면과 마주하도록 배치되는 유전체 판, 기판의 가장자리 영역으로 공정 가스를 공급하는 가스 공급 유닛 및 상기 지지 유닛에 지지된 기판의 가장자리 영역과 인접한 플라즈마 형성 영역에 상기 공정 가스로부터 플라즈마를 발생시키는 상부 엣지 전극과 하부 엣지 전극을 포함하되, 상기 상부 엣지 전극은 상기 지지 유닛에 지지된 기판의 가장자리 영역 상부에 배치되고, 상기 하부 엣지 전극은 상기 지지 유닛에 지지된 기판의 가장자리 영역 하부에 배치되고, 상기 플라즈마 형성 영역에 노출되는 상기 링 부재, 상기 유전체 판, 상기 상부 엣지 전극, 그리고 상기 하부 엣지 전극의 표면 중 적어도 어느 하나는 이트륨(Y)과 불소(F)를 포함하는 화합물로 구성될 수 있다.The present invention provides an apparatus for processing a substrate. An apparatus for processing a substrate includes a housing having a processing space, a support unit supporting a substrate within the processing space, a ring member made of an insulating material surrounding an outside of the support unit, and arranged to face the upper surface of the substrate supported in the support unit. a dielectric plate, a gas supply unit that supplies process gas to the edge area of the substrate, and an upper and lower edge electrode that generates plasma from the process gas in a plasma formation area adjacent to the edge area of the substrate supported by the support unit. Including, the upper edge electrode is disposed above the edge region of the substrate supported on the support unit, and the lower edge electrode is disposed below the edge region of the substrate supported on the support unit and exposed to the plasma formation region. At least one of the surfaces of the ring member, the dielectric plate, the upper edge electrode, and the lower edge electrode may be composed of a compound containing yttrium (Y) and fluorine (F).

Description

기판 처리 장치{AN APPARATUS FOR TREATING SUBSTRATE}{AN APPARATUS FOR TREATING SUBSTRATE}

본 발명은 기판 처리 장치에 관한 것으로, 더욱 상세하게는 플라즈마를 이용하여 기판을 처리하는 장치에 관한 것이다.The present invention relates to a substrate processing apparatus, and more particularly, to an apparatus for processing a substrate using plasma.

플라즈마는 이온이나 라디칼, 그리고 전자 등으로 이루어진 이온화된 가스 상태를 말하며, 매우 높은 온도나, 강한 전계 혹은 고주파 전자계(RF Electromagnetic Fields)에 의해 생성된다. 반도체 소자 제조 공정은 플라즈마를 이용하여 기판 상의 막질을 제거하는 애싱 또는 식각 공정을 포함한다. 애싱 또는 식각 공정은 플라즈마에 함유된 이온 및 라디칼 입자들이 기판 상의 막질과 충돌 또는 반응함으로써 수행된다. 플라즈마를 이용하여 기판을 처리하는 공정은 다양한 방식으로 수행된다.Plasma refers to an ionized gas state composed of ions, radicals, and electrons, and is generated by very high temperatures, strong electric fields, or RF electromagnetic fields. The semiconductor device manufacturing process includes an ashing or etching process to remove film material on a substrate using plasma. The ashing or etching process is performed when ions and radical particles contained in plasma collide or react with the film on the substrate. The process of processing a substrate using plasma is performed in various ways.

도 1은 일반적인 기판 처리 장치에 대한 도면이다. 도 1에 도시된 바와 같이, 플라즈마를 이용하여 기판을 처리하는 장치(1000)는 기판(W) 상의 막(예컨대, 하드 마스크, 또는 포토레지스트 막 등)을 제거하는데 사용될 수 있다. 예컨대, 기판 처리 장치(1000)는 플라즈마를 이용하여 기판(W)의 가장자리 영역 상의 막을 제거할 수 있다. 가스 공급 부재(1100)는 지지 부재(1200)에 지지된 기판(W)의 가장자리 영역으로 공정 가스를 공급한다. 하부 전극(1400)과 상부 전극(1500)은 서로 대향되게 배치되고, 상부에서 바라볼 때 기판(W)의 가장자리 영역과 중첩되는 위치에 배치된다. 이에, 기판(W)의 가장자리 영역으로 공급된 공정 가스는 하부 전극(1400)과 상부 전극(1500)이 형성한 전계에 의해 여기되어 기판(W)의 가장자리 영역에 플라즈마를 발생시킨다.1 is a diagram of a general substrate processing apparatus. As shown in FIG. 1, an apparatus 1000 for processing a substrate using plasma may be used to remove a film (eg, a hard mask, a photoresist film, etc.) on the substrate W. For example, the substrate processing apparatus 1000 may remove the film on the edge area of the substrate W using plasma. The gas supply member 1100 supplies process gas to an edge area of the substrate W supported on the support member 1200. The lower electrode 1400 and the upper electrode 1500 are disposed to face each other and are disposed at a position overlapping an edge area of the substrate W when viewed from the top. Accordingly, the process gas supplied to the edge area of the substrate W is excited by the electric field formed by the lower electrode 1400 and the upper electrode 1500 to generate plasma in the edge area of the substrate W.

기판(W)의 가장자리 영역에 플라즈마를 발생시켜 기판(W)의 가장자리 영역에 형성된 막을 제거하는 과정이 반복되는 동안에, 기판(W)의 가장자리 영역과 인접하게 배치된 기판 처리 장치(1000)에 포함되는 구성들은 기판(W)의 가장자리 영역에 형성된 플라즈마에 노출됨으로써 손상된다. 특히, 하부 전극(1400), 상부 전극(1500), 그리고 하부 전극(1400)과 지지 부재(1200)를 서로 절연시키는 절연 링(1300)은 플라즈마에 직접적으로, 그리고 지속적으로 노출되어 손상된다.While the process of removing the film formed on the edge area of the substrate W by generating plasma in the edge area of the substrate W is repeated, the substrate processing device 1000 is disposed adjacent to the edge area of the substrate W. The components are damaged by exposure to plasma formed at the edge area of the substrate W. In particular, the lower electrode 1400, the upper electrode 1500, and the insulating ring 1300 that insulates the lower electrode 1400 and the support member 1200 from each other are damaged by direct and continuous exposure to plasma.

하부 전극(1400), 상부 전극(1500), 그리고 절연 링(1300) 등이 손상되는 경우, 기판(W)을 처리하는 공간에 손상에 의한 불순물(Byproduct)이 형성된다. 불순물은 기판(W) 상에 부착되어 기판(W) 상의 막의 제거 효율을 떨어뜨리거나, 기판 상에 결함(Defect)을 야기한다. 또한, 하부 전극(1400) 등의 잦은 손상으로 인해 기판 처리 장치(1000)의 유지 보수 비용 및 시간이 증가하는 문제가 발생한다.If the lower electrode 1400, upper electrode 1500, and insulating ring 1300 are damaged, impurities (byproducts) due to the damage are formed in the space where the substrate W is processed. Impurities adhere to the substrate W and reduce the removal efficiency of the film on the substrate W or cause defects on the substrate. In addition, frequent damage to the lower electrode 1400, etc. increases the maintenance cost and time of the substrate processing apparatus 1000.

본 발명은 기판을 효율적으로 처리할 수 있는 기판 처리 장치를 제공하는 것을 일 목적으로 한다.One object of the present invention is to provide a substrate processing device that can efficiently process substrates.

또한, 본 발명은 플라즈마에 대한 내식성 및 내마모성을 향상시킬 수 있는 기판 처리 장치를 제공하는 것을 일 목적으로 한다.Another object of the present invention is to provide a substrate processing device capable of improving corrosion resistance and wear resistance against plasma.

또한, 본 발명은 플라즈마에 노출 빈도가 높은 부재의 내구성을 향상시킬 수 있는 기판 처리 장치를 제공하는 것을 일 목적으로 한다.Another object of the present invention is to provide a substrate processing device that can improve the durability of members frequently exposed to plasma.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면들로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited to the above-mentioned problems, and problems not mentioned can be clearly understood by those skilled in the art from this specification and the accompanying drawings. There will be.

본 발명은 기판을 처리하는 장치를 제공한다. 기판을 처리하는 장치는 처리 공간을 가지는 하우징, 상기 처리 공간 내에서 기판을 지지하는 지지 유닛, 상기 지지 유닛의 외측을 감싸는 절연체 재질의 링 부재, 상기 지지 유닛에 지지된 기판의 상면과 마주하도록 배치되는 유전체 판, 기판의 가장자리 영역으로 공정 가스를 공급하는 가스 공급 유닛 및 상기 지지 유닛에 지지된 기판의 가장자리 영역과 인접한 플라즈마 형성 영역에 상기 공정 가스로부터 플라즈마를 발생시키는 상부 엣지 전극과 하부 엣지 전극을 포함하되, 상기 상부 엣지 전극은 상기 지지 유닛에 지지된 기판의 가장자리 영역 상부에 배치되고, 상기 하부 엣지 전극은 상기 지지 유닛에 지지된 기판의 가장자리 영역 하부에 배치되고, 상기 플라즈마 형성 영역에 노출되는 상기 링 부재, 상기 유전체 판, 상기 상부 엣지 전극, 그리고 상기 하부 엣지 전극의 표면 중 적어도 어느 하나는 이트륨(Y)과 불소(F)를 포함하는 화합물로 구성될 수 있다.The present invention provides an apparatus for processing a substrate. An apparatus for processing a substrate includes a housing having a processing space, a support unit supporting a substrate within the processing space, a ring member made of an insulating material surrounding an outside of the support unit, and arranged to face the upper surface of the substrate supported in the support unit. a dielectric plate, a gas supply unit that supplies process gas to the edge area of the substrate, and an upper and lower edge electrode that generates plasma from the process gas in a plasma formation area adjacent to the edge area of the substrate supported by the support unit. Including, the upper edge electrode is disposed above the edge region of the substrate supported on the support unit, and the lower edge electrode is disposed below the edge region of the substrate supported on the support unit and exposed to the plasma formation region. At least one of the surfaces of the ring member, the dielectric plate, the upper edge electrode, and the lower edge electrode may be composed of a compound containing yttrium (Y) and fluorine (F).

일 실시예에 의하면, 상기 화합물은 상기 링 부재의 상면, 상기 유전체 판의 하면, 상기 상부 엣지 전극의 하면, 그리고 상기 하부 엣지 전극의 상면에 코팅될 수 있다.According to one embodiment, the compound may be coated on the upper surface of the ring member, the lower surface of the dielectric plate, the lower surface of the upper edge electrode, and the upper surface of the lower edge electrode.

일 실시예에 의하면, 상기 화합물은 옥시 불화 이트륨(YOF) 또는 불화 이트륨(YF3)일 수 있다.According to one embodiment, the compound may be yttrium oxyfluoride (YOF) or yttrium fluoride (YF3).

일 실시예에 의하면, 상기 화합물은 제1화합물과 상기 제1화합물에 비해 상대적으로 상기 플라즈마에 의한 에칭률이 낮은 제2화합물을 포함하고, 상기 링 부재의 상면과 상기 유전체 판의 하면은 상기 제1화합물로 제공되고, 상기 상부 엣지 전극의 하면과 상기 하부 엣지 전극의 상면은 상기 제2화합물로 코팅될 수 있다.According to one embodiment, the compound includes a first compound and a second compound that has a relatively low etching rate by the plasma compared to the first compound, and the upper surface of the ring member and the lower surface of the dielectric plate are the first compound. It is provided as one compound, and the lower surface of the upper edge electrode and the upper surface of the lower edge electrode may be coated with the second compound.

일 실시예에 의하면, 상기 제1화합물은 옥시 불화 이트륨(YOF)을 포함하고, 상기 제2화합물은 불화 이트륨(YF3)을 포함할 수 있다.According to one embodiment, the first compound may include yttrium oxyfluoride (YOF), and the second compound may include yttrium fluoride (YF3).

일 실시예에 의하면, 상기 화합물은 상기 링 부재의 상면과 상기 유전체 판의 하면에 제1두께로 코팅되고, 상기 상부 엣지 전극의 하면과 상기 하부 엣지 전극의 상면에 상기 제1두께보다 두꺼운 제2두께로 코팅될 수 있다.According to one embodiment, the compound is coated on the upper surface of the ring member and the lower surface of the dielectric plate to a first thickness, and a second thickness thicker than the first thickness is coated on the lower surface of the upper edge electrode and the upper surface of the lower edge electrode. Can be coated to any thickness.

일 실시예에 의하면, 상기 유전체 판의 하면 영역 중 상기 플라즈마 형성 영역에 인접한 상기 유전체 판의 하면 가장자리 영역에만 상기 화합물이 코팅되고, 상기 상부 엣지 전극의 하면 영역 중 상기 플라즈마 형성 영역에 인접한 상기 상부 엣지 전극의 하면 내측 가장자리 영역에만 상기 화합물이 코팅되고, 상기 하부 엣지 전극의 상면 영역 중 상기 플라즈마 형성 영역에 인접한 상기 하부 엣지 전극의 상면 내측 가장자리 영역에만 상기 화합물이 코팅될 수 있다.According to one embodiment, the compound is coated only on the lower edge area of the dielectric plate adjacent to the plasma formation area among the lower surface area of the dielectric plate, and the upper edge adjacent to the plasma formation area among the lower surface area of the upper edge electrode. The compound may be coated only on the inner edge area of the bottom of the electrode, and the compound may be coated only on the inner edge area of the upper surface of the lower edge electrode adjacent to the plasma formation area.

본 발명의 일 실시예에 의하면, 기판을 효율적으로 처리할 수 있다.According to an embodiment of the present invention, a substrate can be processed efficiently.

또한, 본 발명의 일 실시예에 의하면, 플라즈마에 대한 내식성 및 내마모성을 향상시킬 수 있다.Additionally, according to an embodiment of the present invention, corrosion resistance and wear resistance against plasma can be improved.

또한, 본 발명의 일 실시예에 의하면, 플라즈마에 노출 빈도가 높은 특정 부재의 내구성을 향상시킬 수 있다.Additionally, according to an embodiment of the present invention, the durability of a specific member that is frequently exposed to plasma can be improved.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the effects described above, and effects not mentioned can be clearly understood by those skilled in the art from this specification and the attached drawings.

도 1은 일반적인 기판 처리 장치를 개략적으로 보여주는 도면이다.
도 2는 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다.
도 3은 도 2의 프로세스 챔버에 대한 일 실시예를 개략적으로 보여주는 도면이다.
도 4는 도 3의 프로세스 챔버가 플라즈마 처리 공정을 수행하는 일 실시예를 개략적으로 보여주는 도면이다.
도 5 및 도 6은 도 3의 프로세스 챔버에 대한 다른 실시예를 개략적으로 보여주는 도면이다.
도 7은 도 6의 제1화합물과 제2화합물의 플라즈마에 대한 저항값을 개략적으로 보여주는 그래프이다.
도 8 및 도 9는 도 3의 프로세스 챔버에 대한 다른 실시예를 개략적으로 보여주는 도면이다.
1 is a diagram schematically showing a general substrate processing apparatus.
Figure 2 is a diagram schematically showing a substrate processing apparatus according to an embodiment of the present invention.
FIG. 3 is a diagram schematically showing an embodiment of the process chamber of FIG. 2.
FIG. 4 is a diagram schematically showing an embodiment in which the process chamber of FIG. 3 performs a plasma processing process.
5 and 6 are diagrams schematically showing another embodiment of the process chamber of FIG. 3.
FIG. 7 is a graph schematically showing the resistance values of the first and second compounds of FIG. 6 to plasma.
8 and 9 are diagrams schematically showing another embodiment of the process chamber of FIG. 3.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 서술하는 실시예로 인해 한정되어지는 것으로 해석되어서는 안된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 구성 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장된 것이다.Hereinafter, embodiments of the present invention will be described in more detail with reference to the attached drawings. Embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited by the embodiments described below. This example is provided to more completely explain the present invention to those with average knowledge in the art. Therefore, the shapes of components in the drawings are exaggerated to emphasize a clearer explanation.

제1, 제2 등의 용어는 다양한 구성 요소들을 설명하는데 사용될 수 있지만, 상기 구성 요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.Terms such as first, second, etc. may be used to describe various components, but the components should not be limited by the terms. The above terms may be used for the purpose of distinguishing one component from another component. For example, a first component may be referred to as a second component, and similarly, the second component may also be referred to as a first component without departing from the scope of the present invention.

이하에서는, 도 2 내지 도 9를 참조하여 본 발명의 실시예에 대하여 상세히 설명한다.Hereinafter, an embodiment of the present invention will be described in detail with reference to FIGS. 2 to 9.

도 2는 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다. 도 2는 참조하면, 기판 처리 장치(1)는 전방 단부 모듈(Equipment Front End Module, EFEM)(20)과 처리 모듈(30)을 가진다. 전방 단부 모듈(20)과 처리 모듈(30)은 일 방향으로 배치된다. 이하에서는, 전방 단부 모듈(20)과 처리 모듈(30)이 배치된 방향을 제1방향(11)이라 정의한다. 또한, 정면에서 바라볼 때, 제1방향(11)과 수직한 방향을 제2방향(12)이라 정의한다. 또한, 제1방향(11) 및 제2방향(12)을 모두 포함한 평면에 수직한 방향을 제3방향(13)이라 정의한다. 제3방향(13)은 지면에 대해 수직한 방향일 수 있다.Figure 2 is a diagram schematically showing a substrate processing apparatus according to an embodiment of the present invention. Referring to FIG. 2 , the substrate processing apparatus 1 has a front end module (Equipment Front End Module, EFEM) 20 and a processing module 30. The front end module 20 and the processing module 30 are arranged in one direction. Hereinafter, the direction in which the front end module 20 and the processing module 30 are arranged is defined as the first direction 11. Additionally, when viewed from the front, a direction perpendicular to the first direction 11 is defined as the second direction 12. Additionally, the direction perpendicular to the plane including both the first direction 11 and the second direction 12 is defined as the third direction 13. The third direction 13 may be a direction perpendicular to the ground.

전방 단부 모듈(20)은 로드 포트(Load Port, 10) 및 반송 프레임(21)을 가진다. 로드 포트(10)는 전방 단부 모듈(20)의 전방에 배치된다. 예컨대, 로드 포트(10)와 전방 단부 모듈(20)은 제1방향(11)으로 배치될 수 있다. 로드 포트(10)는 복수 개의 지지부(6)를 가진다. 각각의 지지부(6)는 제2방향(12)으로 일렬 배치될 수 있다. 각각의 지지부(6)는 공정에 제공될 기판(W) 및 공정 처리가 완료된 기판(W)이 수납된 캐리어(4)(예컨대, 카세트, FOUP 등)가 안착된다. 캐리어(4)에는 공정에 제공될 기판(W) 및 공정 처리가 완료된 기판(W)이 수납된다.The front end module 20 has a load port (10) and a transport frame (21). The load port 10 is disposed at the front of the front end module 20. For example, the load port 10 and the front end module 20 may be arranged in the first direction 11. The load port 10 has a plurality of supports 6. Each support part 6 may be arranged in a row in the second direction 12. Each support unit 6 is seated on a carrier 4 (eg, cassette, FOUP, etc.) that accommodates the substrate W to be provided in the process and the substrate W on which the process has been completed. The carrier 4 accommodates a substrate W to be provided in the process and a substrate W on which the process has been completed.

반송 프레임(21)은 로드 포트(10)와 처리 모듈(30) 사이에 배치된다. 반송 프레임(21)의 내부 공간은 대체로 대기압 분위기로 유지될 수 있다. 반송 프레임(21)의 내부에는 제1반송 로봇(25)이 배치된다. 제1반송 로봇(25)은 로드 포트(10)와 처리 모듈(30) 간에 기판(W)을 반송한다. 제1반송 로봇(25)은 제2방향(12)으로 구비된 반송 레일(27)을 따라 이동하여 용기(4)와 처리 모듈(30) 간에 기판(W)을 반송할 수 있다.The transport frame 21 is disposed between the load port 10 and the processing module 30. The internal space of the transport frame 21 can be maintained in a generally atmospheric pressure atmosphere. The first transport robot 25 is disposed inside the transport frame 21. The first transfer robot 25 transfers the substrate W between the load port 10 and the processing module 30. The first transfer robot 25 may transfer the substrate W between the container 4 and the processing module 30 by moving along the transfer rail 27 provided in the second direction 12.

처리 모듈(30)은 로드락 챔버(40), 트랜스퍼 챔버(50), 그리고 프로세스 챔버(60)를 포함한다. 처리 모듈(30)은 전방 단부 모듈(20)로부터 반송된 기판(W)을 처리한다. 처리 모듈(30)은 로드 포트(10)에 놓인 용기(4)에 수납된 기판(W)을 전달받아 기판(W)의 가장자리 영역의 박막을 제거하는 처리 공정을 수행할 수 있다.The processing module 30 includes a load lock chamber 40, a transfer chamber 50, and a process chamber 60. The processing module 30 processes the substrate W conveyed from the front end module 20. The processing module 30 may receive the substrate W stored in the container 4 placed in the load port 10 and perform a processing process to remove the thin film from the edge area of the substrate W.

로드락 챔버(40)는 반송 프레임(21)에 인접하게 배치된다. 예컨대, 로드락 챔버(40)는 전방 단부 모듈(20)과 트랜스퍼 챔버(50) 사이에 배치될 수 있다. 로드락 챔버(40)는 공정에 제공될 기판(W)이 프로세스 챔버(60)로 반송되기 전, 또는 공정 처리가 완료된 기판(W)이 전방 단부 모듈(20)로 반송되기 전에 대기하는 공간을 제공한다. 로드락 챔버(40)의 내부 분위기는 대기압 분위기와 진공압 분위기 사이에서 전환될 수 있다.The load lock chamber 40 is disposed adjacent to the transport frame 21. For example, the load lock chamber 40 may be disposed between the front end module 20 and the transfer chamber 50. The load lock chamber 40 is a waiting space before the substrate (W) to be provided for the process is returned to the process chamber 60, or before the substrate (W) whose processing has been completed is returned to the front end module 20. to provide. The internal atmosphere of the load lock chamber 40 can be switched between an atmospheric pressure atmosphere and a vacuum pressure atmosphere.

트랜스퍼 챔버(50)는 기판(W)을 반송한다. 예컨대, 트랜스퍼 챔버(50)는 로드락 챔버(40)와 프로세스 챔버(60) 간에 기판(W)을 반송할 수 있다. 트랜스퍼 챔버(50)는 로드락 챔버(40)에 인접하게 배치된다. 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 다각형의 몸체를 가질 수 있다. 예컨대, 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 오각형의 몸체를 가질 수 있다. 몸체의 외측에는 로드락 챔버(40)와 복수 개의 프로세스 챔버(60)들이 몸체의 둘레를 따라 배치될 수 있다. 일 예로, 도 2에 도시된 바와 같이, 트랜스퍼 챔버(50)가 오각형의 몸체를 가질 때, 전방 단부 모듈(20)과 인접한 측벽에는 로드락 챔버(40)가 배치되며, 나머지 측벽에는 프로세스 챔버(60)들이 연속하게 배치될 수 있다. 다만, 상술한 예에 한정되는 것은 아니고, 트랜스퍼 챔버(50)의 형상은 요구되는 공정 모듈에 따라 다양한 형태로 변형되어 제공될 수 있다.The transfer chamber 50 transfers the substrate W. For example, the transfer chamber 50 may transfer the substrate W between the load lock chamber 40 and the process chamber 60 . The transfer chamber 50 is disposed adjacent to the load lock chamber 40. The transfer chamber 50 may have a polygonal body when viewed from the top. For example, the transfer chamber 50 may have a pentagonal body when viewed from the top. On the outside of the body, a load lock chamber 40 and a plurality of process chambers 60 may be disposed along the circumference of the body. For example, as shown in FIG. 2, when the transfer chamber 50 has a pentagonal body, a load lock chamber 40 is disposed on the side wall adjacent to the front end module 20, and a process chamber (40) is placed on the remaining side wall. 60) can be placed consecutively. However, it is not limited to the above-described example, and the shape of the transfer chamber 50 may be modified and provided in various forms depending on the required process module.

트랜스퍼 챔버(50) 몸체의 각 측벽에는 기판(W)이 출입하는 통로(미도시)가 형성된다. 통로(미도시)는 로드락 챔버(40)와 트랜스퍼 챔버(50), 또는 프로세스 챔버(60)들을 서로 연결한다. 각각의 통로(미도시)에는 도어(미도시)가 제공된다. 도어(미도시)는 통로(미도시)를 선택적으로 개폐하여 통로(미도시) 내부를 밀폐시킬 수 있다.A passage (not shown) through which the substrate W enters and exits is formed on each side wall of the body of the transfer chamber 50. A passage (not shown) connects the load lock chamber 40, the transfer chamber 50, or the process chamber 60 to each other. A door (not shown) is provided in each passage (not shown). A door (not shown) can selectively open and close a passage (not shown) to seal the inside of the passage (not shown).

트랜스퍼 챔버(50)의 내부 분위기는 대체로 진공압 분위기로 유지될 수 있다. 트랜스퍼 챔버(50)의 내부 공간에는 로드락 챔버(40)와 프로세스 챔버(60)들 간에 기판(W)을 반송하는 제2반송 로봇(53)이 배치된다. 제2반송 로봇(53)은 로드락 챔버(40)에서 대기하는 미 처리된 기판(W)을 프로세스 챔버(60)로 반송하거나, 공정 처리가 완료된 기판(W)을 프로세스 챔버(60)로부터 로드락 챔버(40)로 반송한다. 또한, 제2반송 로봇(53)은 복수 개의 프로세스 챔버(60)들 간에 기판(W)을 반송할 수 있다.The internal atmosphere of the transfer chamber 50 may be generally maintained as a vacuum pressure atmosphere. A second transfer robot 53 is disposed in the inner space of the transfer chamber 50 to transfer the substrate W between the load lock chamber 40 and the process chamber 60. The second transfer robot 53 transfers the unprocessed substrate W waiting in the load lock chamber 40 to the process chamber 60 or loads the processed substrate W from the process chamber 60. It is transferred to the lock chamber (40). Additionally, the second transfer robot 53 may transfer the substrate W between the plurality of process chambers 60 .

프로세스 챔버(60)는 트랜스퍼 챔버(50)와 인접하게 배치된다. 프로세스 챔버(60)는 트랜스퍼 챔버(50)의 둘레를 따라 배치될 수 있다. 프로세스 챔버(60)는 복수 개 제공될 수 있다. 각각의 프로세스 챔버(60)에서는 기판(W)에 대한 공정 처리가 수행된다. 프로세스 챔버(60)는 제2반송 로봇(53)으로부터 기판(W)을 인수하여 기판(W)에 대한 공정 처리를 수행하고, 공정 처리가 완료된 기판(W)을 제2반송 로봇(53)에 인계한다. 각각의 프로세스 챔버(60)들에서 진행되는 공정 처리는 서로 상이할 수 있다.Process chamber 60 is disposed adjacent to transfer chamber 50. The process chamber 60 may be disposed along the perimeter of the transfer chamber 50 . A plurality of process chambers 60 may be provided. In each process chamber 60, process processing is performed on the substrate W. The process chamber 60 receives the substrate W from the second transfer robot 53, performs process processing on the substrate W, and transfers the processed substrate W to the second transfer robot 53. hand over Process processing performed in each process chamber 60 may be different from each other.

이하에서는, 프로세스 챔버(60)들 중 플라즈마 처리 공정을 수행하는 프로세스 챔버(60)에 대해 상세히 설명한다. 일 실시예에 따르면, 플라즈마 처리 공정을 수행하는 프로세스 챔버(60)는 기판(W) 상의 막질을 식각 또는 애싱(Ashing)할 수 있다. 막질은 폴리 실리콘 막, 산화막, 그리고 실리콘 질화막 등 다양한 종류의 막을 포함할 수 있다. 선택적으로, 막질은 자연 산화막이나 화학적으로 생성된 산화막일 수 있다. 선택적으로, 막질은 기판(W)을 처리하는 과정에서 발생되어 기판(W) 상면과 하면에 부착 및/또는 잔류하는 불순물(Byproduct)일 수 있다.Below, the process chamber 60 that performs the plasma processing process among the process chambers 60 will be described in detail. According to one embodiment, the process chamber 60 that performs the plasma treatment process may etch or ash the film on the substrate W. The film quality may include various types of films, such as polysilicon film, oxide film, and silicon nitride film. Optionally, the film material may be a natural oxide film or a chemically created oxide film. Optionally, the film may be an impurity (byproduct) generated during the processing of the substrate W and attached to and/or remaining on the upper and lower surfaces of the substrate W.

이하에서 설명하는 프로세스 챔버(60)는 기판 처리 장치(1)의 프로세스 챔버(60) 중 기판(W)의 가장자리 영역 상에 막질을 제거하는 베벨 에치(Bevel Etch) 공정을 수행하도록 구성되는 프로세스 챔버(60)를 예로 들어 설명한다. 다만, 이에 한정되는 것은 아니고, 이하에서 설명하는 기판 처리 장치(1)의 프로세스 챔버(60)는 기판(W)에 대한 처리가 이루어지는 다양한 프로세스 챔버(60)에 동일 또는 유사하게 적용될 수 있다. 또한, 이하에서 설명하는 프로세스 챔버(60)는 기판(W)에 대한 플라즈마 처리 공정이 수행되는 다양한 프로세스 챔버(60)에 동일 또는 유사하게 적용될 수 있다.The process chamber 60 described below is a process chamber configured to perform a bevel etch process to remove film material on the edge area of the substrate W among the process chambers 60 of the substrate processing apparatus 1. This is explained using (60) as an example. However, it is not limited thereto, and the process chamber 60 of the substrate processing apparatus 1 described below may be applied identically or similarly to various process chambers 60 in which the substrate W is processed. Additionally, the process chamber 60 described below may be applied identically or similarly to various process chambers 60 in which a plasma processing process for the substrate W is performed.

도 3은 도 2의 프로세스 챔버에 대한 일 실시예를 개략적으로 보여주는 도면이다. 도 3을 참조하면, 일 실시예에 따른 프로세스 챔버(60)는 플라즈마를 이용하여 기판(W) 상에 형성된 막질을 제거하는 처리 공정을 수행할 수 있다. 예컨대, 프로세스 챔버(60)는 공정 가스를 공급하고, 공급된 공정 가스로부터 플라즈마를 발생시켜 기판(W)의 가장자리 영역을 처리할 수 있다.FIG. 3 is a diagram schematically showing an embodiment of the process chamber of FIG. 2. Referring to FIG. 3 , the process chamber 60 according to one embodiment may perform a processing process to remove the film formed on the substrate W using plasma. For example, the process chamber 60 may supply process gas and generate plasma from the supplied process gas to process the edge area of the substrate W.

프로세스 챔버(60)는 하우징(100), 지지 유닛(200), 유전체 판 유닛(300), 상부 전극 유닛(500), 온도 조절 플레이트(600), 그리고 가스 공급 유닛(700)을 포함할 수 있다.The process chamber 60 may include a housing 100, a support unit 200, a dielectric plate unit 300, an upper electrode unit 500, a temperature control plate 600, and a gas supply unit 700. .

하우징(100)은 내부에 처리 공간(102)을 가진다. 처리 공간(102)은 기판(W)이 처리되는 공간으로 기능한다. 하우징(100)의 일 측벽에는 개구(미도시)가 형성된다. 기판(W)은 개구(미도시)를 통해 처리 공간(102)으로 반입되거나, 처리 공간(102)으로부터 반출될 수 있다. 개구(미도시)는 도어(미도시)와 같은 개폐 부재에 의해 개방 또는 폐쇄될 수 있다. 도어(미도시)에 의해 개구(미도시)가 폐쇄되면, 처리 공간(102)은 외부로부터 격리될 수 있다. 또한, 처리 공간(102)이 외부로부터 격리된 이후, 처리 공간(102)의 분위기는 진공에 가까운 저압으로 조성될 수 있다.The housing 100 has a processing space 102 therein. The processing space 102 functions as a space where the substrate W is processed. An opening (not shown) is formed in one side wall of the housing 100. The substrate W may be brought into or taken out of the processing space 102 through an opening (not shown). The opening (not shown) may be opened or closed by an opening/closing member such as a door (not shown). When the opening (not shown) is closed by a door (not shown), the processing space 102 may be isolated from the outside. Additionally, after the processing space 102 is isolated from the outside, the atmosphere of the processing space 102 may be created at a low pressure close to a vacuum.

하우징(100)은 금속을 포함하는 재질로 제공될 수 있다. 하우징(100)의 표면은 절연성 재질로 코팅될 수 있다. 하우징(100)은 접지될 수 있다.The housing 100 may be made of a material containing metal. The surface of the housing 100 may be coated with an insulating material. Housing 100 may be grounded.

하우징(100)은 챔버일 수 있다. 일 실시예에 의하면, 하우징(100)은 진공 챔버일 수 있다. 하우징(100)의 바닥면에는 배기 홀(106)이 형성된다. 배기 홀(106)은 배기 라인(108)과 연결될 수 있다. 배기 라인(108)은 음압을 제공하는 감압 부재(미도시)와 연결될 수 있다. 감압 부재(미도시)는 배기 라인(108)과 배기 홀(106)을 통해 처리 공간(102)에 음압을 제공할 수 있다. 처리 공간(102)에서 발생된 플라즈마 및/또는 처리 공간(102)으로 공급된 공정 가스들은 배기 홀(106)을 통해 하우징(100)의 외부로 배기될 수 있다. 또한, 플라즈마를 이용하여 기판(W)을 처리하는 과정에서 발생되는 불순물 등은 배기 홀(106)을 통해 하우징(100)의 외부로 배출될 수 있다.Housing 100 may be a chamber. According to one embodiment, housing 100 may be a vacuum chamber. An exhaust hole 106 is formed on the bottom of the housing 100. The exhaust hole 106 may be connected to the exhaust line 108. The exhaust line 108 may be connected to a pressure reducing member (not shown) that provides negative pressure. A pressure reducing member (not shown) may provide negative pressure to the processing space 102 through the exhaust line 108 and the exhaust hole 106. Plasma generated in the processing space 102 and/or process gases supplied to the processing space 102 may be exhausted to the outside of the housing 100 through the exhaust hole 106. Additionally, impurities generated in the process of processing the substrate W using plasma may be discharged to the outside of the housing 100 through the exhaust hole 106.

지지 유닛(200)은 처리 공간(102)에서 기판(W)을 지지한다. 지지 유닛(200)은 척(210), 전원 부재(220), 링 부재(230), 하부 엣지 전극(240), 리프트 핀(250), 그리고 구동 부재(260)를 포함할 수 있다.The support unit 200 supports the substrate W in the processing space 102 . The support unit 200 may include a chuck 210, a power member 220, a ring member 230, a lower edge electrode 240, a lift pin 250, and a drive member 260.

척(210)은 처리 공간(102)에서 기판(W)을 지지한다. 척(210)은 처리 공간(102)에서 기판(W)이 안착되는 안착면을 제공한다. 척(210)은 상부에서 바라볼 때, 대체로 원 형상을 가질 수 있다. 일 예에 의하면, 척(210)은 기판(W)보다 상대적으로 작은 직경을 가질 수 있다. 이에, 척(210)에 지지된 기판(W)의 중앙 영역은 척(210)의 안착면에 안착되고, 기판(W)의 가장자리 영역은 척(210)의 안착면과 맞닿지 않을 수 있다.The chuck 210 supports the substrate W in the processing space 102 . The chuck 210 provides a seating surface on which the substrate W is seated in the processing space 102 . The chuck 210 may have a generally circular shape when viewed from the top. According to one example, the chuck 210 may have a relatively smaller diameter than the substrate W. Accordingly, the central area of the substrate W supported on the chuck 210 may be seated on the seating surface of the chuck 210, and the edge area of the substrate W may not be in contact with the seating surface of the chuck 210.

척(210)의 내부에는 가열 수단(미도시)이 제공될 수 있다. 가열 수단(미도시)은 척(210)을 가열할 수 있다. 일 실시예에 의하면, 가열 수단(미도시)은 히터일 수 있다. 일 실시예에 의하면, 히터(미도시)는 척(210)의 내부에서 코일 형상으로 형성될 수 있다.A heating means (not shown) may be provided inside the chuck 210. A heating means (not shown) may heat the chuck 210. According to one embodiment, the heating means (not shown) may be a heater. According to one embodiment, the heater (not shown) may be formed in a coil shape inside the chuck 210.

척(210)의 내부에는 냉각 유로(212)가 형성될 수 있다. 냉각 유로(212)는 척(210)을 냉각시킨다. 냉각 유로(212)가 척(210)을 냉각시키고, 이를 통해 척(210)에 지지된 기판(W)의 온도를 조절할 수 있다. 냉각 유로(212)는 냉각 유체 공급 라인(214) 및 냉각 유체 배출 라인(216)과 각각 연결될 수 있다. 냉각 유체 공급 라인(214)은 냉각 유체 공급원(218)과 연결될 수 있다.A cooling passage 212 may be formed inside the chuck 210. The cooling passage 212 cools the chuck 210. The cooling passage 212 cools the chuck 210, and through this, the temperature of the substrate W supported on the chuck 210 can be adjusted. The cooling passage 212 may be connected to the cooling fluid supply line 214 and the cooling fluid discharge line 216, respectively. The cooling fluid supply line 214 may be connected to the cooling fluid source 218.

냉각 유체 공급원(218)은 냉각 유체를 저장할 수 있다. 또한, 냉각 유체 공급원(218)은 냉각 유체 공급 라인(214)으로 냉각 유체를 공급할 수 있다. 냉각 유체 공급원(218)이 저장 및/또는 공급하는 냉각 유체는 냉각수 또는 냉각 가스일 수 있다. 냉각 유체 배출 라인(216)은 냉각 유로(212)에 공급된 냉각 유체를 하우징(100)의 외부로 배출할 수 있다. 일 실시예에 따르면, 척(210)에 형성되는 냉각 유로(212)의 형상은 링 형상일 수 있다. 다만, 이에 한정되는 것은 아니고, 냉각 유로(212)의 형상은 다양하게 변형될 수 있다. 또한, 척(210)을 냉각시키는 구성은 냉각 유체를 공급하는 구성에 한정되지 않고, 척(210)을 냉각시킬 수 있는 다양한 구성(예컨대, 냉각 플레이트 등)으로 변형되어 제공될 수 있다.Cooling fluid source 218 may store cooling fluid. Additionally, the cooling fluid source 218 may supply cooling fluid to the cooling fluid supply line 214 . The cooling fluid stored and/or supplied by the cooling fluid source 218 may be cooling water or cooling gas. The cooling fluid discharge line 216 may discharge the cooling fluid supplied to the cooling passage 212 to the outside of the housing 100 . According to one embodiment, the cooling passage 212 formed in the chuck 210 may have a ring shape. However, it is not limited to this, and the shape of the cooling passage 212 may be modified in various ways. In addition, the configuration for cooling the chuck 210 is not limited to the configuration for supplying cooling fluid, and may be modified into various configurations (eg, cooling plates, etc.) capable of cooling the chuck 210.

전원 부재(220)는 척(210)에 전력을 공급할 수 있다. 전원 부재(220)는 전원(222), 정합기(224), 그리고 전원 라인(226)을 포함할 수 있다. 전원(222)은 바이어스 전원일 수 있다. 또한, 전원(222)은 RF 전원일 수 있다. 전원(222)은 전원 라인(226)을 매개로 척(210)과 연결될 수 있다. 정합기(224)는 전원 라인(226)에 제공되어 임피던스 매칭을 수행할 수 있다.The power member 220 may supply power to the chuck 210. The power member 220 may include a power source 222, a matcher 224, and a power line 226. Power supply 222 may be a bias power supply. Additionally, power source 222 may be an RF power source. The power source 222 may be connected to the chuck 210 via a power line 226. The matcher 224 may be provided on the power line 226 to perform impedance matching.

링 부재(230)는 척(210)과 후술하는 하부 엣지 전극(240) 사이에 배치될 수 있다. 링 부재(230)는 상부에서 바라볼 때, 링 형상을 가진다. 링 부재(230)는 상부에서 바라볼 때, 척(210)의 감싸도록 제공될 수 있다. 예컨대, 링 부재(230)는 상부에서 버라볼 때, 척(210)의 외주면을 감싸도록 제공될 수 있다.The ring member 230 may be disposed between the chuck 210 and the lower edge electrode 240, which will be described later. The ring member 230 has a ring shape when viewed from the top. The ring member 230 may be provided to surround the chuck 210 when viewed from the top. For example, the ring member 230 may be provided to surround the outer peripheral surface of the chuck 210 when viewed from the top.

일 실시예에 의하면, 링 부재(230)는 그 내측 영역의 상면 높이와 외측 영역의 상면 높이가 서로 상이하게 제공될 수 있다. 즉, 링 부재(230)는 내측 영역과 외측 영역이 서로 단차지게 형성될 수 있다. 예컨대, 링 부재(230)는 내측 영역의 상면 높이가 외측 영역의 상면 높이보다 높도록 단차질 수 있다. 기판(W)이 척(210)이 가지는 안착면에 안착되면, 링 부재(230)의 내측 영역의 상면과 외측 영역의 상면 중 내측 영역의 상면은 기판(W)의 저면과 접촉될 수 있다. 또한, 기판(W)이 척(210)이 가지는 안착면에 안착되면, 링 부재(230)의 내측 영역의 상면과 외측 영역의 상면 중 외측 영역의 상면은 기판(W)의 저면과 서로 이격될 수 있다.According to one embodiment, the ring member 230 may be provided with a top surface height of its inner region and a top surface height of its outer region that are different from each other. That is, the ring member 230 may be formed so that the inner and outer regions are stepped from each other. For example, the ring member 230 may be stepped so that the top surface height of the inner region is higher than the top surface height of the outer region. When the substrate W is seated on the seating surface of the chuck 210, the upper surface of the inner region and the upper surface of the outer region of the ring member 230 may be in contact with the bottom surface of the substrate W. In addition, when the substrate W is seated on the seating surface of the chuck 210, the upper surface of the outer region of the upper surface of the inner region and the upper surface of the outer region of the ring member 230 will be spaced apart from the bottom surface of the substrate W. You can.

일 실시예에 의하면, 링 부재(230)는 절연성 재질로 제공될 수 있다. 또한, 링 부재(230)의 표면에는 화합물(C)이 제공될 수 있다. 예컨대, 링 부재(230)의 표면은 화합물(C)로 코팅될 수 있다. 이에 대한 상세한 설명은 후술한다.According to one embodiment, the ring member 230 may be made of an insulating material. Additionally, compound (C) may be provided on the surface of the ring member 230. For example, the surface of the ring member 230 may be coated with compound (C). A detailed description of this will be provided later.

하부 엣지 전극(240)은 상부에서 바라볼 때, 링 형상으로 제공될 수 있다. 하부 엣지 전극(240)은 상부에서 바라볼 때, 링 부재(230)의 외주면을 감싸도록 제공될 수 있다. 하부 엣지 전극(240)은 상부에서 바라볼 때, 척(210)에 지지된 기판(W)의 가장자리 영역에 배치될 수 있다. 일 실시예에 따르면, 하부 엣지 전극(240)은 기판(W)의 가장자리 영역 하부에 배치될 수 있다.The lower edge electrode 240 may be provided in a ring shape when viewed from the top. The lower edge electrode 240 may be provided to surround the outer peripheral surface of the ring member 230 when viewed from the top. The lower edge electrode 240 may be disposed at an edge area of the substrate W supported on the chuck 210 when viewed from the top. According to one embodiment, the lower edge electrode 240 may be disposed below the edge area of the substrate W.

하부 엣지 전극(240)의 상면은 링 부재(230)의 외측 상면과 같은 높이로 제공될 수 있다. 하부 엣지 전극(240)의 하면은 링 부재(230)의 하면과 같은 높이로 제공될 수 있다. 또한, 하부 엣지 전극(240)의 상면은 척(210)의 상면보다 낮은 높이에 제공될 수 있다. 이에, 하부 엣지 전극(240)은 척(210)에 지지된 기판(W)의 저면과 서로 이격되게 제공될 수 있다. 예컨대, 하부 엣지 전극(240)은 척(210)의 상면(안착면)에 지지된 기판(W)의 가장자리 영역의 저면과 서로 이격되게 제공될 수 있다.The upper surface of the lower edge electrode 240 may be provided at the same height as the outer upper surface of the ring member 230. The lower surface of the lower edge electrode 240 may be provided at the same height as the lower surface of the ring member 230. Additionally, the upper surface of the lower edge electrode 240 may be provided at a lower height than the upper surface of the chuck 210. Accordingly, the lower edge electrode 240 may be provided to be spaced apart from the bottom surface of the substrate W supported on the chuck 210. For example, the lower edge electrode 240 may be provided to be spaced apart from the bottom of the edge area of the substrate W supported on the upper surface (seating surface) of the chuck 210.

하부 엣지 전극(240)의 표면에는 화합물(C)이 제공될 수 있다. 예컨대, 하부 엣지 전극(240)의 표면은 화합물(C)로 코팅될 수 있다. 이에 대한 상세한 설명은 후술한다.Compound (C) may be provided on the surface of the lower edge electrode 240. For example, the surface of the lower edge electrode 240 may be coated with compound (C). A detailed description of this will be provided later.

하부 엣지 전극(240)은 플라즈마 소스로 기능할 수 있다. 하부 전극(240)은 후술하는 상부 엣지 전극(510)과 함께 처리 공간(102)에 공급된 공정 가스를 여기시켜 기판(W)의 가장자리 영역에서 플라즈마를 발생시키는 플라즈마 소스로 기능할 수 있다. 하부 엣지 전극(240)은 상부 엣지 전극(510)과 대향되도록 배치된다. 하부 엣지 전극(240)은 상부 엣지 전극(510)의 하부에 배치될 수 있다. 하부 엣지 전극(240)은 접지될 수 있다. 하부 엣지 전극(240)은 척(210)에 인가되는 바이어스 전원의 커플링을 유도하여 플라즈마의 밀도를 증가시킬 수 있다. 이에, 기판(W)의 가장자리 영역에 대한 처리 효율을 향상시킬 수 있다.The lower edge electrode 240 may function as a plasma source. The lower electrode 240, together with the upper edge electrode 510, which will be described later, may function as a plasma source that excites the process gas supplied to the processing space 102 to generate plasma in the edge area of the substrate W. The lower edge electrode 240 is disposed to face the upper edge electrode 510. The lower edge electrode 240 may be disposed below the upper edge electrode 510. The lower edge electrode 240 may be grounded. The lower edge electrode 240 may increase the density of plasma by inducing coupling of bias power applied to the chuck 210. Accordingly, processing efficiency for the edge area of the substrate W can be improved.

리프트 핀(250)은 기판(W)을 이동시킨다. 리프트 핀(250)은 기판(W)을 상하 방향으로 이동시킬 수 있다. 리프트 핀(250)은 별도의 구동기(미도시)에 의해 상하 방향으로 이동될 수 있다. 리프트 핀(250)은 척(210)의 내부에 형성된 핀 홀(미도시)을 통해 상하 방향으로 이동될 수 있다. 리프트 핀(250)은 복수 개 제공될 수 있다. 복수 개의 리프트 핀(250)들은 서로 다른 위치에서 기판(W)의 하면을 지지하고, 기판(W)을 승강시킬 수 있다.The lift pin 250 moves the substrate (W). The lift pin 250 can move the substrate W in the vertical direction. The lift pin 250 may be moved up and down by a separate driver (not shown). The lift pin 250 may be moved in the vertical direction through a pin hole (not shown) formed inside the chuck 210. A plurality of lift pins 250 may be provided. The plurality of lift pins 250 support the lower surface of the substrate W at different positions and may lift the substrate W.

구동 부재(260)는 척(210)을 승강시킬 수 있다. 구동 부재(260)는 구동기(262)와 축(264)을 포함할 수 있다. 축(264)은 척(210)과 결합한다. 축(264)은 구동기(262)와 결합한다. 구동기(262)는 축(264)에 구동력을 제공한다. 구동기(262)는 축(264)을 상하 방향으로 승강시킬 수 있다. 구동기(262)는 축(264)을 매개로 척(210)을 상하 방향으로 승강시킬 수 있다.The driving member 260 can lift and lower the chuck 210. Drive member 260 may include an actuator 262 and a shaft 264. The shaft 264 is coupled to the chuck 210. Shaft 264 is coupled with driver 262. Actuator 262 provides driving force to shaft 264. The driver 262 can move the shaft 264 up and down. The driver 262 can lift and lower the chuck 210 in the vertical direction via the shaft 264.

유전체 판 유닛(300)은 후술하는 온도 조절 플레이트(600)에 결합될 수 있다. 유전체 판 유닛(300)은 유전체 판(310)과 제1베이스(320)를 포함할 수 있다.The dielectric plate unit 300 may be coupled to a temperature control plate 600, which will be described later. The dielectric plate unit 300 may include a dielectric plate 310 and a first base 320.

유전체 판(310)의 하면은 척(210)의 상면과 마주보게 배치될 수 있다. 예컨대, 유전체 판(310)의 하면은 척(210)에 지지된 기판(W)의 상면과 마주보게 배치될 수 있다. 유전체 판(310)은 상부에서 바라볼 때, 대체로 원 형상을 가질 수 있다. 유전체 판(310)의 상면은 그 중앙 영역의 높이가 가장자리 영역의 높이보다 상대적으로 높도록 단차지게 형성될 수 있다. 유전체 판(310)의 하면은 대체로 편평한 형상으로 형성될 수 있다. 다만, 이에 한정되는 것은 아니고, 유전체 판(310)의 하면은 그 가장자리 영역이 중앙 영역보다 상대적으로 높이가 높도록 단차지게 형성될 수 있다.The lower surface of the dielectric plate 310 may be disposed to face the upper surface of the chuck 210. For example, the lower surface of the dielectric plate 310 may be disposed to face the upper surface of the substrate W supported on the chuck 210. The dielectric plate 310 may have a generally circular shape when viewed from the top. The upper surface of the dielectric plate 310 may be formed to be stepped so that the height of the central region is relatively higher than the height of the edge regions. The lower surface of the dielectric plate 310 may be formed in a generally flat shape. However, it is not limited to this, and the lower surface of the dielectric plate 310 may be formed to be stepped so that the edge area is relatively taller than the central area.

유전체 판(310)은 처리 공간(102)에 위치한다. 유전체 판(310)은 척(210)의 상부에 배치된다. 유전체 판(310)은 척(210)에 지지된 기판(W)과 대향되게 배치될 수 있다. 일 실시예에 따르면, 유전체 판(310)은 처리 공간(102)에서 척(210)에 지지된 기판(W)의 상면과 마주하도록 배치될 수 있다.Dielectric plate 310 is located in processing space 102. The dielectric plate 310 is disposed on the upper part of the chuck 210. The dielectric plate 310 may be disposed to face the substrate W supported on the chuck 210 . According to one embodiment, the dielectric plate 310 may be arranged to face the upper surface of the substrate W supported on the chuck 210 in the processing space 102.

유전체 판(310)은 세라믹을 포함하는 재질로 제공될 수 있다. 또한, 유전체 판(310)의 표면에는 화합물(C)이 제공될 수 있다. 예컨대, 유전체 판(310)의 표면은 화합물(C)로 코팅될 수 있다. 이에 대한 상세한 설명은 후술한다.The dielectric plate 310 may be made of a material containing ceramic. Additionally, compound (C) may be provided on the surface of the dielectric plate 310. For example, the surface of the dielectric plate 310 may be coated with compound (C). A detailed description of this will be provided later.

유전체 판(310)에는 후술하는 가스 공급 유닛(700)의 제1가스 공급부(720)와 연결되는 가스 유로가 형성될 수 있다. 가스 유로의 토출단은 상부에서 바라볼 때 척(210)에 지지된 기판(W)의 중앙 영역과 대응되는 위치에 제공될 수 있다. 예컨대, 가스 유로의 토출단을 통해 토출되는 공정 가스는 척(210)에 지지된 기판(W)의 중앙 영역 상면으로 공급될 수 있다.A gas flow path connected to the first gas supply unit 720 of the gas supply unit 700, which will be described later, may be formed in the dielectric plate 310. The discharge end of the gas flow path may be provided at a position corresponding to the central area of the substrate W supported on the chuck 210 when viewed from the top. For example, the process gas discharged through the discharge end of the gas flow path may be supplied to the upper surface of the central region of the substrate W supported on the chuck 210.

제1베이스(320)는 유전체 판(310)과 후술하는 온도 조절 플레이트(600) 사이에 배치될 수 있다. 제1베이스(320)는 유전체 판(310)에 결합될 수 있다. 또한, 제1베이스(320)는 온도 조절 플레이트(600)에 결합될 수 있다. 이에, 유전체 판(310)은 제1베이스(320)를 매개로 온도 조절 플레이트(600)에 결합될 수 있다.The first base 320 may be disposed between the dielectric plate 310 and the temperature control plate 600, which will be described later. The first base 320 may be coupled to the dielectric plate 310. Additionally, the first base 320 may be coupled to the temperature control plate 600. Accordingly, the dielectric plate 310 may be coupled to the temperature control plate 600 via the first base 320.

제1베이스(320)는 위에서 아래를 향하는 방향으로 향할수록 그 직경이 점진적으로 커질 수 있다. 제1베이스(320)의 상면 직경은 유전체 판(310)의 하면의 직경보다 상대적으로 작을 수 있다. 제1베이스(320)의 하면 직경은 유전체 판(310)의 상면 직경과 동일하게 제공될 수 있다. 제1베이스(320)의 상면은 편평한 형상을 가질 수 있다.The diameter of the first base 320 may gradually increase as it moves from top to bottom. The diameter of the top surface of the first base 320 may be relatively smaller than the diameter of the bottom surface of the dielectric plate 310. The bottom diameter of the first base 320 may be provided to be the same as the top diameter of the dielectric plate 310. The upper surface of the first base 320 may have a flat shape.

일 실시예에 따르면, 제1베이스(320)의 하면 가장자리 영역은 중앙 영역의 하면보다 그 높이가 낮도록 단차지게 형성될 수 있다. 예컨대, 제1베이스(320)의 하면과 유전체 판(310)의 상면은 서로 조합 가능한 형상을 가질 수 있다. 일 실시예에 따르면, 유전체 판(310)의 상면 중앙 영역은 제1베이스(320)의 하면 중앙 영역에 삽입될 수 있다. 제1베이스(320)에 의해 유전체 판(310)의 위치는 고정될 수 있다. 제1베이스(320)는 금속을 포함하는 재질로 제공될 수 있다. 예컨대, 제1베이스(320)는 알루미늄을 포함하는 재질로 제공될 수 있다.According to one embodiment, the edge area of the lower surface of the first base 320 may be formed to be stepped so that its height is lower than the lower surface of the central area. For example, the lower surface of the first base 320 and the upper surface of the dielectric plate 310 may have shapes that can be combined with each other. According to one embodiment, the central area of the upper surface of the dielectric plate 310 may be inserted into the central area of the lower surface of the first base 320. The position of the dielectric plate 310 can be fixed by the first base 320. The first base 320 may be made of a material containing metal. For example, the first base 320 may be made of a material containing aluminum.

상부 전극 유닛(500)은 후술하는 온도 조절 플레이트(600)에 결합될 수 있다. 상부 전극 유닛(500)은 상부 엣지 전극(510)과 제2베이스(520)를 포함할 수 있다.The upper electrode unit 500 may be coupled to a temperature control plate 600, which will be described later. The upper electrode unit 500 may include an upper edge electrode 510 and a second base 520.

일 실시예에 따르면, 상부 엣지 전극(510)은 기판(W)의 가장자리 영역 상부에 배치될 수 있다. 상부 엣지 전극(510)은 상부에서 바라볼 때, 척(210)에 지지된 기판(W)의 가장자리 영역과 중첩되게 배치될 수 있다. 상부 엣지 전극(510)은 정면에서 바라볼 때, 기판(W)의 상부에 배치될 수 있다.According to one embodiment, the upper edge electrode 510 may be disposed on an upper edge area of the substrate W. The upper edge electrode 510 may be disposed to overlap the edge area of the substrate W supported on the chuck 210 when viewed from above. The upper edge electrode 510 may be disposed on the top of the substrate W when viewed from the front.

상부 엣지 전극(510)의 표면에는 화합물(C)이 제공될 수 있다. 예컨대, 상부 엣지 전극(510)의 표면은 화합물(C)로 코팅될 수 있다. 이에 대한 상세한 설명은 후술한다.Compound (C) may be provided on the surface of the upper edge electrode 510. For example, the surface of the upper edge electrode 510 may be coated with compound (C). A detailed description of this will be provided later.

상부 엣지 전극(510)은 접지될 수 있다. 상부 엣지 전극(510)은 접지됨으로써, 전술한 바와 같이 하부 엣지 전극(240)과 함께 플라즈마 소스로 기능한다. 예컨대, 상부 에지 전극(240)은 기판(W)의 가장자리 영역에 공급된 공정 가스를 여기시켜 플라즈마를 발생시키는 플라즈마 소스일 수 있다.The upper edge electrode 510 may be grounded. The upper edge electrode 510 is grounded and thus functions as a plasma source together with the lower edge electrode 240 as described above. For example, the upper edge electrode 240 may be a plasma source that generates plasma by exciting the process gas supplied to the edge area of the substrate W.

상부 엣지 전극(510)은 상부에서 바라볼 때, 유전체 판(310)을 둘러싸는 형상을 가질 수 있다. 상부 엣지 전극(510)은 상부에서 바라볼 때, 링 형상을 가질 수 있다. 상부 엣지 전극(510)은 유전체 판(310)과 이격되게 제공될 수 있다. 상부 엣지 전극(510)은 유전체 판(310)과 이격됨으로써, 이격 공간을 형성할 수 있다. 이격 공간은 공정 가스가 흐르는 채널로 기능할 수 있다. 예컨대, 상부 엣지 전극(510)과 유전체 판(310)이 서로 조합되어 형성한 이격 공간은 후술하는 제2가스 공급부(740)로부터 공급되는 공정 가스가 흐르는 가스 채널 중 일부로 제공될 수 있다. 이격 공간의 토출단은 상부에서 바라볼 때, 척(210)에 지지된 기판(W)의 가장자리 영역과 대응되는 위치에 제공될 수 있다. 예컨대, 이격 공간의 토출단을 통해 토출되는 공정 가스는 척(210)에 지지된 기판(W)의 가장자리 영역 상면으로 공급될 수 있다.The upper edge electrode 510 may have a shape surrounding the dielectric plate 310 when viewed from the top. The upper edge electrode 510 may have a ring shape when viewed from the top. The upper edge electrode 510 may be provided to be spaced apart from the dielectric plate 310. The upper edge electrode 510 may be spaced apart from the dielectric plate 310 to form a space. The separation space may function as a channel through which process gases flow. For example, the separation space formed by combining the upper edge electrode 510 and the dielectric plate 310 may serve as a part of the gas channel through which the process gas supplied from the second gas supply unit 740, which will be described later, flows. The discharge end of the separation space may be provided at a position corresponding to the edge area of the substrate W supported on the chuck 210 when viewed from the top. For example, the process gas discharged through the discharge end of the spaced space may be supplied to the upper surface of the edge area of the substrate W supported on the chuck 210.

제2베이스(520)는 척(210)의 상부에 배치될 수 있다. 제2베이스(520)는 척(210)에 지지된 기판(W)의 상부에 배치될 수 있다. 제2베이스(520)는 상부 엣지 전극(510)의 위치를 고정시킬 수 있다. 제2베이스(520)는 상부 엣지 전극(510)과 후술하는 온도 조절 플레이트(600) 사이에 배치될 수 있다. 제2베이스(520)는 상부 엣지 전극(510)과 결합된다. 제2베이스(520)는 온도 조절 플레이트(600)와 결합된다. 이에, 상부 엣지 전극(510)은 제2베이스(520)를 매개로 온도 조절 플레이트(600)에 결합될 수 있다. 제2베이스(520)는 금속을 포함하는 재질로 제공될 수 있다. 예컨대, 제2베이스(520)는 알루미늄을 포함하는 재질로 제공될 수 있다.The second base 520 may be placed on the upper part of the chuck 210. The second base 520 may be disposed on the substrate W supported on the chuck 210 . The second base 520 may fix the position of the upper edge electrode 510. The second base 520 may be disposed between the upper edge electrode 510 and the temperature control plate 600, which will be described later. The second base 520 is coupled to the upper edge electrode 510. The second base 520 is coupled to the temperature control plate 600. Accordingly, the upper edge electrode 510 may be coupled to the temperature control plate 600 via the second base 520. The second base 520 may be made of a material containing metal. For example, the second base 520 may be made of a material containing aluminum.

제2베이스(520)는 상부에서 바라볼 때, 링 형상을 가질 수 있다. 제2베이스(520)의 상면과 하면은 편평한 형상으로 형성될 수 있다. 제2베이스(520)는 상부에서 바라볼 때, 제1베이스(320)를 감싸는 형상을 가질 수 있다. 제2베이스(520)는 위에서 아래를 향할수록 그 내경이 점차 증가할 수 있다. 제2베이스(520)는 제1베이스(320)와 이격되게 제공될 수 있다. 제2베이스(520)는 제1베이스(320)와 서로 이격되어 이격 공간을 형성할 수 있다. 이격 공간은 공정 가스가 흐르는 채널로 기능할 수 있다. 예컨대, 제2베이스(520)와 제1베이스(320)가 서로 조합되어 형성한 이격 공간은 후술하는 제2가스 공급부(740)로부터 공급되는 공정 가스가 흐르는 가스 채널 중 일부로 제공될 수 있다.The second base 520 may have a ring shape when viewed from the top. The upper and lower surfaces of the second base 520 may be formed in a flat shape. The second base 520 may have a shape that surrounds the first base 320 when viewed from the top. The inner diameter of the second base 520 may gradually increase as it moves from top to bottom. The second base 520 may be provided to be spaced apart from the first base 320. The second base 520 may be spaced apart from the first base 320 to form a space. The separation space may function as a channel through which process gases flow. For example, the separation space formed by combining the second base 520 and the first base 320 may be provided as part of the gas channel through which the process gas supplied from the second gas supply unit 740, which will be described later, flows.

전술한 상부 엣지 전극(510) 및 유전체 판(310)이 서로 조합되어 형성한 이격 공간과 제2베이스(520)와 제1베이스(320)가 서로 조합되어 형성한 이격 공간은 서로 연통하여 가스 채널로 기능할 수 있다. 제2가스 공급부(740)로부터 공급된 공정 가스는 가스 채널을 통해 기판(W)의 가장자리 영역으로 공급될 수 있다.The space formed by combining the above-described upper edge electrode 510 and the dielectric plate 310 and the space formed by combining the second base 520 and the first base 320 communicate with each other to form a gas channel. It can function as The process gas supplied from the second gas supply unit 740 may be supplied to the edge area of the substrate W through the gas channel.

온도 조절 플레이트(600)는 유전체 판 유닛(300) 및 상부 전극 유닛(500)과 각각 결합할 수 있다. 온도 조절 플레이트(600)는 하우징(100)에 설치될 수 있다. 일 실시예에 따르면, 온도 조절 플레이트(600)는 하우징(100)의 천정에 설치될 수 있다. 온도 조절 플레이트(600)는 열을 발생시킬 수 있다. 예컨대, 온도 조절 플레이트(600)는 온열 또는 냉열을 발생시킬 수 있다. 온도 조절 플레이트(600)는 온열 또는 냉열을 발생시켜, 유전체 판 유닛(300) 및 상부 전극 유닛(500)의 온도가 비교적 일정하게 유지될 수 있도록 조절할 수 있다. 일 예에 따르면, 온도 조절 플레이트(600)는 냉열을 발생시켜 기판(W)을 처리하는 과정에서 제1베이스(320)와 제2베이스(520)의 온도가 과도하게 높아지는 것을 억제할 수 있다.The temperature control plate 600 may be combined with the dielectric plate unit 300 and the upper electrode unit 500, respectively. The temperature control plate 600 may be installed in the housing 100. According to one embodiment, the temperature control plate 600 may be installed on the ceiling of the housing 100. The temperature control plate 600 may generate heat. For example, the temperature control plate 600 can generate hot or cold heat. The temperature control plate 600 can generate hot or cold heat and adjust the temperatures of the dielectric plate unit 300 and the upper electrode unit 500 to be maintained relatively constant. According to one example, the temperature control plate 600 generates cold heat to prevent the temperatures of the first base 320 and the second base 520 from becoming excessively high during the process of processing the substrate W.

본 발명의 일 실시예에 의하면, 유전체 판(310)과 온도 조절 플레이트(600) 사이에 제1베이스(320)가 배치된다. 제1베이스(320)는 유전체 판(310)과 상이한 재질로 제공되고, 온도 조절 플레이트(600)와 동일한 재질로 제공될 수 있다. 즉, 제1베이스(320)의 열 팽창율은 유전체 판(310)의 열 팽창률보다 상대적으로 온도 조절 플레이트(600)의 열 팽창률에 더 가까울 수 있다. 유전체 판(310)과 온도 조절 플레이트(600)의 사이에 제1베이스(320)가 배치됨으로써, 온도 조절 플레이트(600)에서 발생되는 냉열 등에 의해, 온도 조절 플레이트(600)와 유전체 판(310) 사이에 열 팽창에 의한 뒤틀림이 발생되는 것을 최소화할 수 있다. 이는 온도 조절 플레이트(600)와 직접적으로 맞닿는 제1베이스(320)가 온도 조절 플레이트(600)와 유사한 재질로 제공되기 때문이다.According to one embodiment of the present invention, the first base 320 is disposed between the dielectric plate 310 and the temperature control plate 600. The first base 320 may be made of a different material from the dielectric plate 310 and may be made of the same material as the temperature control plate 600. That is, the thermal expansion rate of the first base 320 may be relatively closer to the thermal expansion rate of the temperature control plate 600 than the thermal expansion rate of the dielectric plate 310. As the first base 320 is disposed between the dielectric plate 310 and the temperature control plate 600, the temperature control plate 600 and the dielectric plate 310 are heated by cold heat generated by the temperature control plate 600. Distortion due to thermal expansion can be minimized. This is because the first base 320, which directly contacts the temperature control plate 600, is made of a material similar to that of the temperature control plate 600.

전술한 내용과 유사하게, 본 발명의 일 실시예에 의하면, 상부 엣지 전극(510)과 온도 조절 플레이트(600) 사이에 제2베이스(520)가 배치된다. 제2베이스(520)는 상부 엣지 전극(510)과 상이한 재질로 제공되고, 온도 조절 플레이트(600)와 동일 또는 유사한 재질로 제공될 수 있다. 즉, 제2베이스(520)의 열 팽창률은 온도 조절 플레이트(600)와 유사하므로, 온도 조절 플레이트(600)와 상부 엣지 전극(510) 사이에 열 팽창에 의한 뒤틀림이 발생되는 것을 최소화할 수 있다.Similar to the above description, according to one embodiment of the present invention, the second base 520 is disposed between the upper edge electrode 510 and the temperature control plate 600. The second base 520 may be made of a different material from the upper edge electrode 510 and may be made of the same or similar material as the temperature control plate 600. That is, since the thermal expansion rate of the second base 520 is similar to that of the temperature control plate 600, distortion due to thermal expansion between the temperature control plate 600 and the upper edge electrode 510 can be minimized. .

가스 공급 유닛(700)은 처리 공간(102)으로 공정 가스를 공급한다. 공정 가스는 제1공정 가스와 제2공정 가스를 포함할 수 있다. 가스 공급 유닛(700)은 제1가스 공급부(720)와 제2가스 공급부(740)를 포함할 수 있다.The gas supply unit 700 supplies process gas to the processing space 102. The process gas may include a first process gas and a second process gas. The gas supply unit 700 may include a first gas supply unit 720 and a second gas supply unit 740.

제1가스 공급부(720)는 처리 공간(102)으로 제1공정 가스를 공급할 수 있다. 예컨대, 제1공정 가스는 질소 등의 비활성 가스일 수 있다. 제1가스 공급부(720)는 척(210)에 지지된 기판(W)의 중앙 영역으로 제1공정 가스를 공급할 수 있다. 제1가스 공급부(720)는 제1가스 공급원(722), 제1가스 공급 라인(724), 그리고 제1밸브(726)를 포함할 수 있다.The first gas supply unit 720 may supply a first process gas to the processing space 102. For example, the first process gas may be an inert gas such as nitrogen. The first gas supply unit 720 may supply the first process gas to the central area of the substrate W supported on the chuck 210. The first gas supply unit 720 may include a first gas source 722, a first gas supply line 724, and a first valve 726.

제1가스 공급원(722)은 제1공정 가스를 저장할 수 있다. 또한, 제1가스 공급원(722)은 제1가스 공급 라인(724)으로 제1공정 가스를 공급할 수 있다. 제1가스 공급 라인(724)은 유전체 판(310)에 형성된 유로와 연결될 수 있다. 제1밸브(726)는 제1가스 공급 라인(724)에 설치된다. 제1밸브(726)는 온/오프 밸브로 제공될 수 있다. 다만, 이에 한정되는 것은 아니고, 제1밸브(726)는 유량 조절 밸브로 제공될 수 있다. 제1가스 공급원(722)이 공급하는 제1공정 가스는 유전체 판(310)에 형성된 유로를 통해 기판(W)의 상면 중앙 영역으로 공급될 수 있다.The first gas source 722 may store the first process gas. Additionally, the first gas source 722 may supply the first process gas to the first gas supply line 724. The first gas supply line 724 may be connected to a flow path formed in the dielectric plate 310. The first valve 726 is installed in the first gas supply line 724. The first valve 726 may be provided as an on/off valve. However, it is not limited to this, and the first valve 726 may be provided as a flow control valve. The first process gas supplied by the first gas source 722 may be supplied to the central area of the upper surface of the substrate W through a flow path formed in the dielectric plate 310.

제2가스 공급부(740)는 처리 공간(102)으로 제2공정 가스를 공급한다. 제2공정 가스는 플라즈마 상태로 여기되는 가스일 수 있다. 전술한 바와 같이, 제2가스 공급부(740)에 의해 공급되는 제2공정 가스는 상부 엣지 전극(510), 유전체 판(310), 제2베이스, 그리고 제1베이스(320)가 서로 조합되어 형성한 가스 채널을 통해 기판(W)의 가장자리 영역에 공급될 수 있다. 제2가스 공급부(740)는 제2가스 공급원(742), 제2가스 공급 라인(744), 그리고 제2밸브(746)를 포함할 수 있다.The second gas supply unit 740 supplies the second process gas to the processing space 102. The second process gas may be a gas excited in a plasma state. As described above, the second process gas supplied by the second gas supply unit 740 is formed by combining the upper edge electrode 510, the dielectric plate 310, the second base, and the first base 320. It can be supplied to the edge area of the substrate (W) through one gas channel. The second gas supply unit 740 may include a second gas source 742, a second gas supply line 744, and a second valve 746.

제2가스 공급원(742)은 제2공정 가스를 저장할 수 있다. 도한, 제2가스 공급원(742)은 제2가스 공급 라인(744)으로 제2공정 가스를 공급할 수 있다. 제2가스 공급 라인(744)은 가스 채널로 제2공정 가스를 공급할 수 있다. 제2밸브(746)는 제2가스 공급 라인(744)에 설치된다. 제2밸브(746)는 온/오프 밸브 또는 유량 제어 밸브로 제공될 수 있다. 제2가스 공급원(742)이 공급하는 제2공정 가스는 가스 채널을 통해 기판(W)의 상면 가장자리 영역으로 공급될 수 있다.The second gas source 742 may store a second process gas. Additionally, the second gas supply source 742 may supply the second process gas to the second gas supply line 744. The second gas supply line 744 may supply the second process gas to the gas channel. The second valve 746 is installed in the second gas supply line 744. The second valve 746 may be provided as an on/off valve or a flow control valve. The second process gas supplied by the second gas source 742 may be supplied to the upper edge area of the substrate W through the gas channel.

도 4는 도 3의 프로세스 챔버가 플라즈마 처리 공정을 수행하는 일 실시예를 개략적으로 보여주는 도면이다. 도 4를 참조하면, 본 발명의 일 실시예에 따른 프로세스 챔버(60)는 기판(W)의 가장자리 영역을 처리할 수 있다. 예컨대, 프로세스 챔버(60)는 기판(W)의 가장자리 영역에 플라즈마(P)를 발생시켜 기판(W)의 가장자리 영역을 처리할 수 있다. 예컨대, 프로세스 챔버(60)는 기판(W)의 가장자리 영역을 처리하는 베벨 에치 공정을 수행할 수 있다.FIG. 4 is a diagram schematically showing an embodiment in which the process chamber of FIG. 3 performs a plasma processing process. Referring to FIG. 4, the process chamber 60 according to an embodiment of the present invention can process the edge area of the substrate W. For example, the process chamber 60 may process the edge area of the substrate W by generating plasma P in the edge area of the substrate W. For example, the process chamber 60 may perform a bevel etch process to process the edge area of the substrate W.

기판(W)이 척(210)의 상면(안착면)에 안착되면, 척(210)은 위 방향으로 이동하여 기판(W)과 유전체 판(310)의 사이 간격을 좁힐 수 있다. 척(210)과 유전체 판(310)의 사이 간격이 좁혀지면, 프로세스 챔버(60)는 기판(W)에 대한 베벨 에치 공정을 수행한다.When the substrate W is seated on the upper surface (seating surface) of the chuck 210, the chuck 210 moves upward to narrow the gap between the substrate W and the dielectric plate 310. When the gap between the chuck 210 and the dielectric plate 310 is narrowed, the process chamber 60 performs a bevel etch process on the substrate W.

처리 공간(102)에서 기판(W)의 가장자리 영역을 처리할 때, 제1가스 공급부(720)는 기판(W)의 중앙 영역으로 제1공정 가스(G1)를 공급하고, 제2가스 공급부(740)는 기판(W)의 가장자리 영역으로 제2공정 가스(G2)를 공급한다. 제2가스 공급부(740)가 공급하는 제2공정 가스(G2)는 플라즈마(P) 상태로 여기되어 기판(W)의 가장자리 영역을 처리할 수 있다. 예컨대, 기판(W)의 가장자리 영역에 형성된 막질은 플라즈마(P)에 의해 에칭 될 수 있다. 또한, 기판(W)의 중앙 영역으로 공급되는 제1공정 가스(G1)는 제2공정 가스(G2)가 기판(W)의 중앙 영역으로 유입되는 것을 방지하여, 기판(W)의 가장자리 영역에 대한 처리 효율을 보다 향상시킨다. 또한, 기판(W)에 대한 베벨 에치 공정을 수행하는 동안, 유전체 판 유닛(300) 및 상부 전극 유닛(500)의 온도가 과도하게 높아지는 것을 억제할 수 있도록 온도 조절 플레이트(600)는 냉열을 발생시킬 수 있다.When processing the edge area of the substrate W in the processing space 102, the first gas supply unit 720 supplies the first process gas G1 to the central area of the substrate W, and the second gas supply unit ( 740) supplies the second process gas G2 to the edge area of the substrate W. The second process gas G2 supplied by the second gas supply unit 740 is excited in a plasma P state and can process the edge area of the substrate W. For example, the film formed on the edge area of the substrate W may be etched by the plasma P. In addition, the first process gas G1 supplied to the central area of the substrate W prevents the second process gas G2 from flowing into the central area of the substrate W, thereby preventing the second process gas G2 from flowing into the central area of the substrate W. further improves processing efficiency. In addition, while performing the bevel etch process on the substrate W, the temperature control plate 600 generates cold heat to prevent the temperature of the dielectric plate unit 300 and the upper electrode unit 500 from increasing excessively. You can do it.

일 실시예에 따른 프로세스 챔버(60)는 기판(W)의 가장자리 영역에 플라즈마(P)를 발생시켜 기판(W)의 가장자리 영역의 막질을 제거할 수 있다. 기판(W)의 가장자리 영역과 인접한 영역에는 상술한 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)이 노출된다. 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)은 프로세스 챔버(60)에 포함되는 구성 중 기판(W)의 가장자리 영역과 인접하지 않은 구성과 비교하여, 상대적으로 빈번하게 플라즈마에 노출된다.The process chamber 60 according to one embodiment may generate plasma P at the edge area of the substrate W to remove film quality from the edge area of the substrate W. In the area adjacent to the edge area of the substrate W, the above-described ring member 230, lower edge electrode 240, dielectric plate 310, and upper edge electrode 510 are exposed. The ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 are components included in the process chamber 60 that are not adjacent to the edge area of the substrate W. In comparison, exposure to plasma is relatively frequent.

상술한 바와 같이, 프로세스 챔버(60)는 기판(W)의 가장자리 영역에 플라즈마(P)를 발생시키기 이전에, 척(210)과 유전체 판(310)의 사이 간격을 좁힌다. 사이 간격이 좁아짐에 따라, 사이 간격 중 기판(W)의 가장자리 영역과 대응되는 영역에는 고밀도의 플라즈마가 형성된다. 이에 따라, 기판(W)의 가장자리 영역과 인접한 영역에 배치된 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)이 고밀도의 플라즈마에 노출되는 강도가 커진다.As described above, the process chamber 60 narrows the gap between the chuck 210 and the dielectric plate 310 before generating plasma P in the edge area of the substrate W. As the gap narrows, high-density plasma is formed in the area corresponding to the edge area of the substrate W in the gap. Accordingly, the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 disposed in the area adjacent to the edge area of the substrate W are exposed to the high-density plasma. grows bigger.

이에, 본 발명의 일 실시예에 의하면, 도 3과 도 4를 참조하여 설명한 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각에는 화합물(C)이 제공될 수 있다. 예컨대, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면은 화합물(C)로 코팅될 수 있다. 일 실시예에 의하면, 화합물(C)은 이트륨(Y)과 불소(F)를 포함할 수 있다. 예컨대, 화합물(C)은 옥시 불화 이트륨(YOF) 또는 불화 이트륨(YF3)일 수 있다. 또한, 화합물(C)은 화학 기상 증착법(Chemical Vapor Deposition, CVD) 또는 물리적 기상 증착법(Physical Vapor Deposition, PVD) 등의 방법을 이용하여 코팅될 수 있다.Accordingly, according to an embodiment of the present invention, each of the surfaces of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 described with reference to FIGS. 3 and 4 has Compound (C) may be provided. For example, the surfaces of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 may be coated with compound (C). According to one embodiment, compound (C) may include yttrium (Y) and fluorine (F). For example, compound (C) may be yttrium oxyfluoride (YOF) or yttrium fluoride (YF 3 ). Additionally, compound (C) may be coated using a method such as chemical vapor deposition (CVD) or physical vapor deposition (PVD).

일 예에 따른 화합물(C)은 플라즈마에 대한 내식성 및 내마모성을 가질 수 있다. 예컨대, 화합물(C)이 이트륨(Y)과 불소(F)를 포함하는 경우, 화합물(C)이 제공된 부품(예컨대, 하부 엣지 전극(240))의 표면은 불화된 상태로 제공된다. 부품의 표면이 불화된 상태로 제공되는 경우, 플라즈마에 노출되더라도 부품의 표면층의 체적은 팽창되지 않을 수 있다. 또한, 이트륨(Y)과 불소(F) 간에 결합 에너지는 산소 등에 의한 결합 에너지보다 상대적으로 강하므로, 플라즈마에 노출되더라도 산화되거나 환원되는 것을 최소화할 수 있다. 즉, 화합물(C)이 이트륨(Y)과 불소(F)를 포함하도록 제공되고 화합물(C)이 부품의 표면에 제공되는 경우, 부품의 표면은 플라즈마에 대해 안정된 상태를 유지할 수 있다. 이에, 플라즈마에 의해 부품의 표면이 식각되어 불순물(Byproduct)을 발생시키거나, 불순물로 인해 기판(W)에 결함(Defect)을 발생시키는 것을 최소화할 수 있다.Compound (C) according to one example may have corrosion resistance and wear resistance against plasma. For example, when the compound (C) contains yttrium (Y) and fluorine (F), the surface of the part provided with the compound (C) (eg, the lower edge electrode 240) is provided in a fluorinated state. If the surface of the part is provided in a fluorinated state, the volume of the surface layer of the part may not expand even when exposed to plasma. Additionally, the binding energy between yttrium (Y) and fluorine (F) is relatively stronger than that of oxygen, etc., so oxidation or reduction can be minimized even when exposed to plasma. That is, when the compound (C) is provided to include yttrium (Y) and fluorine (F) and the compound (C) is provided to the surface of the part, the surface of the part can remain stable against plasma. Accordingly, it is possible to minimize the occurrence of impurities (byproducts) being etched by plasma or defects on the substrate (W) due to impurities.

일 예에 따르면, 도 3 및 도 4에 도시된 바와 같이, 링 부재(230)의 상면은 화합물(C)로 코팅될 수 있다. 예컨대, 링 부재(230)의 단차진 내측 영역의 상면 및 단차진 외측 영역의 상면 각각은 화합물(C)로 코팅될 수 있다. 또한, 링 부재(230)의 상면 및 외측면은 화합물(C)로 코팅될 수 있다. 또한, 하부 엣지 전극(240)의 상면은 화합물(C)로 코팅될 수 있다. 또한, 상부 엣지 전극(510)의 하면은 화합물(C)로 코팅될 수 있다. 또한, 유전체 판(310)의 하면은 화합물(C)로 코팅될 수 있다.According to one example, as shown in FIGS. 3 and 4, the upper surface of the ring member 230 may be coated with compound (C). For example, the upper surface of the stepped inner region and the upper surface of the stepped outer region of the ring member 230 may each be coated with compound (C). Additionally, the top and outer surfaces of the ring member 230 may be coated with compound (C). Additionally, the upper surface of the lower edge electrode 240 may be coated with compound (C). Additionally, the lower surface of the upper edge electrode 510 may be coated with compound (C). Additionally, the lower surface of the dielectric plate 310 may be coated with compound (C).

이에, 상술한 본 발명의 일 실시예에 따르면, 플라즈마(P)에 노출되는 빈도 및 강도가 큰 링 부재(230)의 상면과 외측면, 유전체 판(310)의 하면, 하부 엣지 전극(240)의 상면, 그리고 상부 엣지 전극(510)의 하면이 플라즈마에 내식성 및 내마모성이 강한 화합물(C)로 코팅됨으로써, 링 부재(230), 유전체 판(310), 하부 엣지 전극(240), 그리고 상부 엣지 전극(510)이 플라즈마(P)에 의해 손상되어 불순물(Byproduct) 등을 처리 공간(102) 상에 발생시키는 것을 최소화할 수 있다. 이에 따라, 불순물 등이 기판(W)의 상면 및/또는 하면에 부착되어 기판(W)에 결함을 발생시켜 공정 불량을 야기하는 것을 최소할 수 있다. 또한, 프로세스 챔버(60)에 포함되는 구성들의 내구성을 높여 기판 처리 장치(1)의 유지 보수 비용 및 시간을 절약하고, 이에 따라 기판(W) 처리의 효율성을 증가시킬 수 있다.Accordingly, according to the above-described embodiment of the present invention, the upper and outer surfaces of the ring member 230, the lower surface of the dielectric plate 310, and the lower edge electrode 240 that are exposed to plasma P with high frequency and intensity. The upper surface and the lower surface of the upper edge electrode 510 are coated with a compound (C) that is highly corrosion-resistant and wear-resistant to plasma, so that the ring member 230, the dielectric plate 310, the lower edge electrode 240, and the upper edge It is possible to minimize the generation of impurities (byproducts) in the processing space 102 when the electrode 510 is damaged by the plasma (P). Accordingly, it is possible to minimize the possibility that impurities, etc., will adhere to the upper and/or lower surfaces of the substrate W, causing defects in the substrate W and causing process defects. In addition, by increasing the durability of the components included in the process chamber 60, maintenance costs and time of the substrate processing apparatus 1 can be saved, and thus the efficiency of processing the substrate W can be increased.

상술한 본 발명의 실시예에서는 척(210)이 상하 방향으로 이동하고, 유전체 판(310)과 상부 엣지 전극(510)의 위치가 고정되는 것을 예로 들어 설명하였으나, 이에 한정되는 것은 아니다. 예컨대, 척(210)의 위치는 고정되고, 유전체 판(310)은 상하 방향으로 이동 가능하게 구성될 수 있다. 또한, 척(210)과 유전체 판(310) 모두가 상하 방향으로 이동 가능하게 구성될 수 있다.In the above-described embodiment of the present invention, the chuck 210 moves in the vertical direction and the positions of the dielectric plate 310 and the upper edge electrode 510 are fixed as an example, but the present invention is not limited thereto. For example, the position of the chuck 210 may be fixed, and the dielectric plate 310 may be configured to move in the vertical direction. Additionally, both the chuck 210 and the dielectric plate 310 may be configured to move in the vertical direction.

또한, 상술한 본 발명의 실시예에서는 하부 엣지 전극(240)과 상부 엣지 전극(510)이 각각 접지되는 것을 예로 들어 설명하였으나, 이에 한정되는 것은 아니다. 하부 엣지 전극(240)과 상부 엣지 전극(510) 중 어느 하나는 접지되고, 다른 하나는 RF 전원과 연결될 수 있다. 또한, 하부 엣지 전극(240)과 상부 엣지 전극(510)이 모두 RF 전원과 연결될 수 있다.In addition, in the above-described embodiment of the present invention, it has been described as an example that the lower edge electrode 240 and the upper edge electrode 510 are each grounded, but the present invention is not limited to this. One of the lower edge electrode 240 and the upper edge electrode 510 may be grounded, and the other may be connected to an RF power source. Additionally, both the lower edge electrode 240 and the upper edge electrode 510 may be connected to an RF power source.

이하에서는, 본 발명의 일 실시예에 따른 프로세스 챔버에 대한 다양한 변형 실시예에 대해 상세히 설명한다. 이하에서 설명하는 프로세스 챔버는 추가적으로 설명하는 경우 외에는, 도 1 내지 도 4를 참조하여 설명한 프로세스 챔버(60)에 대한 구성과 대부분 동일 또는 유사하게 제공된다.Hereinafter, various modified examples of the process chamber according to an embodiment of the present invention will be described in detail. The process chamber described below is provided in a configuration that is mostly the same as or similar to the process chamber 60 described with reference to FIGS. 1 to 4, except where additionally described.

도 5는 도 3의 프로세스 챔버에 대한 다른 실시예를 개략적으로 보여주는 도면이다. 도 5를 참조하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각에는 화합물(C)이 제공될 수 있다. 일 예에 의하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각은 화합물(C)로 코팅될 수 있다. 각각의 표면에 코팅된 화합물(C)의 두께는 0.05mm 내지 0.1mm일 수 있다.FIG. 5 is a diagram schematically showing another embodiment of the process chamber of FIG. 3. Referring to FIG. 5 , compound (C) may be provided on each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510. According to one example, each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 may be coated with compound (C). The thickness of compound (C) coated on each surface may be 0.05 mm to 0.1 mm.

링 부재(230)의 상면과 유전체 판(310)의 하면에 코팅된 화합물(C)은 제1두께를 가질 수 있다. 이와 달리, 하부 엣지 전극(240)과 상부 엣지 전극(510)에 코팅된 화합물(C)은 제2두께를 가질 수 있다. 일 실시예에 의하면, 제2두께는 제1두께보다 클 수 있다.The compound (C) coated on the upper surface of the ring member 230 and the lower surface of the dielectric plate 310 may have a first thickness. In contrast, the compound (C) coated on the lower edge electrode 240 and the upper edge electrode 510 may have a second thickness. According to one embodiment, the second thickness may be greater than the first thickness.

하부 엣지 전극(240)과 상부 엣지 전극(510)은 플라즈마 소스로 기능하므로, 하부 엣지 전극(240)과 상부 엣지 전극(510)의 사이 공간에는 전계가 형성된다. 이에 따라, 하부 엣지 전극(240)과 상부 엣지 전극(510)은 플라즈마에 보다 직접적으로 높은 강도의 플라즈마에 노출된다. 또한, 상술한 바와 같이, 기판(W)의 가장자리 영역을 처리하기 위해서 척(210)과 유전체 판(310)의 사이 간격을 좁힘에 따라, 하부 엣지 전극(240)의 상면, 그리고 상부 엣지 전극(510)의 하면 사이의 간격은 작아지고, 하부 엣지 전극(240)의 상면, 그리고 상부 엣지 전극(510)의 하면은 고밀도의 플라즈마에 높은 강도로 노출된다.Since the lower edge electrode 240 and the upper edge electrode 510 function as a plasma source, an electric field is formed in the space between the lower edge electrode 240 and the upper edge electrode 510. Accordingly, the lower edge electrode 240 and the upper edge electrode 510 are more directly exposed to high-intensity plasma. In addition, as described above, as the gap between the chuck 210 and the dielectric plate 310 is narrowed to process the edge area of the substrate W, the upper surface of the lower edge electrode 240 and the upper edge electrode ( The gap between the lower surfaces of the lower edge electrodes 510 becomes smaller, and the upper surface of the lower edge electrode 240 and the lower surface of the upper edge electrodes 510 are exposed to high-density plasma at high intensity.

상술한 본 발명의 일 실시예에 따르면, 하부 엣지 전극(240)과 상부 엣지 전극(510)에 코팅되는 화합물(C)의 두께(예컨대, 제2두께)는 링 부재(230)와 유전체 판(310)에 코팅되는 화합물(C)의 두께(예컨대, 제1두께)보다 상대적으로 크게 제공된다. 이에, 링 부재(230)와 유전체 판(310)과 비교하여 보다 높은 강도의 플라즈마에 직접적으로 노출되는 하부 엣지 전극(240)과 상부 엣지 전극(510)을 플라즈마로부터 보다 효과적으로 보호할 수 있다. 즉, 부품이 플라즈마에 노출되는 빈도 및 강도의 크기에 따라 부품에 코팅되는 화합물(C)의 두께를 달리하여 플라즈마에 대한 부품의 손상을 효율적으로 방지할 수 있다.According to one embodiment of the present invention described above, the thickness (e.g., second thickness) of the compound (C) coated on the lower edge electrode 240 and the upper edge electrode 510 is the ring member 230 and the dielectric plate ( 310) is provided to be relatively larger than the thickness (eg, first thickness) of the compound (C) coated. Accordingly, compared to the ring member 230 and the dielectric plate 310, the lower edge electrode 240 and the upper edge electrode 510, which are directly exposed to higher intensity plasma, can be more effectively protected from plasma. In other words, damage to the part due to plasma can be effectively prevented by varying the thickness of the compound (C) coated on the part depending on the frequency and intensity of exposure of the part to the plasma.

도 6은 도 3의 프로세스 챔버에 대한 다른 실시예를 개략적으로 보여주는 도면이다. 도 7은 도 6의 제1화합물과 제2화합물의 플라즈마에 대한 저항값을 개략적으로 보여주는 그래프이다.FIG. 6 is a diagram schematically showing another embodiment of the process chamber of FIG. 3. FIG. 7 is a graph schematically showing the resistance values of the first and second compounds of FIG. 6 to plasma.

도 6을 참조하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각에는 화합물이 제공될 수 있다. 일 예에 의하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각은 화합물로 코팅될 수 있다. 일 실시예에 따른 화합물은 이트륨(Y)과 불소(F)를 포함할 수 있다. 화합물은 제1화합물(C1)과 제2화합물(C2)을 포함할 수 있다. 예컨대, 제1화합물(C1)은 옥시 불화 이트륨(YOF)으로 제공되고, 제2화합물(C2)은 불화 이트륨(YF3)으로 제공될 수 있다.Referring to FIG. 6, a compound may be provided on each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510. According to one example, each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 may be coated with a compound. The compound according to one embodiment may include yttrium (Y) and fluorine (F). The compound may include a first compound (C1) and a second compound (C2). For example, the first compound (C1) may be provided as yttrium oxyfluoride (YOF), and the second compound (C2) may be provided as yttrium fluoride (YF 3 ).

일 예에 의하면, 링 부재(230)의 상면과 유전체 판(310)의 하면에 코팅된 화합물은 제1화합물(C1)일 수 있다. 또한, 하부 엣지 전극(240)와 상부 엣지 전극(510)에 코팅된 화합물은 제2화합물(C2)일 수 있다.According to one example, the compound coated on the upper surface of the ring member 230 and the lower surface of the dielectric plate 310 may be the first compound (C1). Additionally, the compound coated on the lower edge electrode 240 and the upper edge electrode 510 may be a second compound (C2).

도 7을 참조하면, 불화 이트륨(YF3)은 플라즈마에 대한 저항성이 크다. 예컨대, 불화 이트륨(YF3)은 옥시 불화 이트륨(YOF)과 이트륨 옥사이드(Y2O3)보다 상대적으로 플라즈마에 의한 식각률이 낮게 형성되고, 이에 따라 플라즈마에 대한 저항성이 크다. 이에, 링 부재(230)와 유전체 판(310)과 비교하여 보다 높은 강도의 플라즈마에 직접적으로 노출되는 하부 엣지 전극(240)과 상부 엣지 전극(510)의 표면에는 보다 높은 플라즈마 저항성을 가지는 제2화합물(C2)이 제공될 수 있다. 이에, 높은 강도의 플라즈마에 직접적으로 노출되는 하부 엣지 전극(240)과 상부 엣지 전극(510)을 플라즈마로부터 효율적으로 보호할 수 있다. 즉, 부품이 플라즈마에 노출되는 빈도 및 강도의 크기에 따라 부품에 코팅되는 화합물의 종류를 달리하여 플라즈마에 대한 부품의 손상을 효율적으로 방지할 수 있다.Referring to FIG. 7, yttrium fluoride (YF 3 ) has high resistance to plasma. For example, yttrium fluoride (YF 3 ) has a relatively lower etching rate by plasma than yttrium oxyfluoride (YOF) and yttrium oxide (Y2O3), and thus has high resistance to plasma. Accordingly, compared to the ring member 230 and the dielectric plate 310, the surfaces of the lower edge electrode 240 and the upper edge electrode 510, which are directly exposed to higher intensity plasma, have a second electrode having higher plasma resistance. Compound (C2) may be provided. Accordingly, the lower edge electrode 240 and the upper edge electrode 510, which are directly exposed to high-intensity plasma, can be effectively protected from plasma. In other words, damage to parts due to plasma can be effectively prevented by varying the type of compound coated on the part depending on the frequency and intensity with which the part is exposed to plasma.

비록 도시되지 않았으나, 부품이 플라즈마에 노출되는 빈도 및 강도의 크기에 따라 부품에 코팅되는 화합물의 두께 및 화합물의 종류를 달리하여 플라즈마에 대한 부품의 손상을 효율적으로 방지할 수 있다. 예컨대, 링 부재(230)와 유전체 판(310)에는 제1두께의 제1화합물(C1)이 제공되고, 하부 엣지 전극(240)과 상부 엣지 전극(510)에는 제1두께보다 두꺼운 제2두께의 제2화합물(C2)이 제공될 수 있다.Although not shown, damage to parts due to plasma can be effectively prevented by varying the thickness and type of compound coated on the part depending on the frequency and intensity with which the part is exposed to plasma. For example, the ring member 230 and the dielectric plate 310 are provided with a first compound (C1) of a first thickness, and the lower edge electrode 240 and the upper edge electrode 510 are provided with a second thickness thicker than the first thickness. The second compound (C2) may be provided.

도 8 및 도 9는 도 3의 프로세스 챔버에 대한 다른 실시예를 개략적으로 보여주는 도면이다. 도 8을 참조하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각에는 화합물(C)이 제공될 수 있다. 일 예에 의하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각은 화합물(C)로 코팅될 수 있다.8 and 9 are diagrams schematically showing another embodiment of the process chamber of FIG. 3. Referring to FIG. 8, compound (C) may be provided on each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510. According to one example, each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 may be coated with compound (C).

링 부재(230)의 외측면은 화합물(C)로 코팅될 수 있다. 또한, 링 부재(230)의 단차진 상면 중 높이가 상대적으로 낮게 형성된 상면은 화합물(C)로 코팅될 수 있다. 예컨대, 링 부재(230)의 상면 중 하부 엣지 전극(240)의 상면의 높이와 대응되는 상면은 화합물(C)로 코팅될 수 있다. 또한, 유전체 판(310)의 가장자리 영역 하면은 화합물(C)로 코팅될 수 있다. 예컨대, 유전체 판(310)의 하면 영역 중 플라즈마가 형성되는 영역과 인접한 하면 가장자리 영역에만 화합물(C)이 코팅될 수 있다. 또한, 하부 엣지 전극(240)의 상면과 상부 엣지 전극(510)의 하면은 화합물(C)로 코팅될 수 있다.The outer surface of the ring member 230 may be coated with compound (C). Additionally, among the stepped upper surfaces of the ring member 230, the upper surface formed with a relatively low height may be coated with compound (C). For example, the upper surface of the ring member 230 that corresponds to the height of the upper surface of the lower edge electrode 240 may be coated with compound (C). Additionally, the lower surface of the edge area of the dielectric plate 310 may be coated with compound (C). For example, the compound (C) may be coated only on the bottom edge area of the dielectric plate 310 adjacent to the area where plasma is formed. Additionally, the upper surface of the lower edge electrode 240 and the lower surface of the upper edge electrode 510 may be coated with compound (C).

도 9를 참조하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각에는 화합물(C)이 제공될 수 있다. 일 예에 의하면, 링 부재(230), 하부 엣지 전극(240), 유전체 판(310), 그리고 상부 엣지 전극(510)의 표면 각각은 화합물(C)로 코팅될 수 있다.Referring to FIG. 9, compound (C) may be provided on each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510. According to one example, each surface of the ring member 230, the lower edge electrode 240, the dielectric plate 310, and the upper edge electrode 510 may be coated with compound (C).

링 부재(230)의 외측면은 화합물(C)로 코팅될 수 있다. 또한, 링 부재(230)의 단차진 상면 중 높이가 상대적으로 낮게 형성된 상면은 화합물(C)로 코팅될 수 있다. 예컨대, 링 부재(230)의 상면 중 하부 엣지 전극(240)의 상면의 높이와 대응되는 상면은 화합물(C)로 코팅될 수 있다. 또한, 유전체 판(310)의 가장자리 영역 하면은 화합물(C)로 코팅될 수 있다. 예컨대, 유전체 판(310)의 하면 영역 중 플라즈마가 형성되는 영역과 인접한 하면 가장자리 영역에만 화합물(C)이 코팅될 수 있다.The outer surface of the ring member 230 may be coated with compound (C). Additionally, among the stepped upper surfaces of the ring member 230, the upper surface formed with a relatively low height may be coated with compound (C). For example, the upper surface of the ring member 230 that corresponds to the height of the upper surface of the lower edge electrode 240 may be coated with compound (C). Additionally, the lower surface of the edge area of the dielectric plate 310 may be coated with compound (C). For example, the compound (C) may be coated only on the bottom edge area of the dielectric plate 310 adjacent to the area where plasma is formed.

또한, 하부 엣지 전극(240)의 상면 중 플라즈마가 형성되는 영역과 인접한 하부 엣지 전극(240)의 상면 내측 가장자리 영역에만 화합물(C)이 코팅될 수 있다. 상부 엣지 전극(510)의 하면 중 플라즈마가 형성되는 영역과 인접한 상부 엣지 전극(510)의 하면 내측 가장자리 영역에만 화합물(C)이 코팅될 수 있다.Additionally, the compound (C) may be coated only on the inner edge area of the upper surface of the lower edge electrode 240, which is adjacent to the area where plasma is formed. Compound (C) may be coated only on the inner edge area of the lower surface of the upper edge electrode 510, which is adjacent to the area where plasma is formed.

플라즈마가 발생되는 영역인 기판(W)의 가장자리 영역과 인접한 링 부재(230)의 외측면 및 상면 중 플라즈마가 형성되는 영역과 인접한 상면, 유전체 판(310)의 하면 중 플라즈마가 형성되는 영역과 인접한 가장자리 영역 하면, 하부 엣지 전극(240)의 상면 중 플라즈마가 형성되는 영역과 인접한 상면, 그리고 상부 엣지 전극(510)의 하면 중 플라즈마가 형성되는 영역과 인접한 하면에 플라즈마가 집중된다.Among the outer and upper surfaces of the ring member 230 adjacent to the edge area of the substrate W, which is the area where plasma is generated, the upper surface is adjacent to the area where plasma is formed, and the lower surface of the dielectric plate 310 is adjacent to the area where plasma is formed. When it comes to the edge area, plasma is concentrated on the upper surface of the lower edge electrode 240 adjacent to the area where plasma is formed, and on the lower surface of the upper edge electrode 510 adjacent to the area where plasma is formed.

이에, 상술한 본 발명의 일 실시예에 따르면, 플라즈마에 노출되는 링 부재(230), 유전체 판(310), 하부 엣지 전극(240), 그리고 상부 엣지 전극(510)의 표면 중 플라즈마에 직접적으로, 그리고 높은 빈도로 노출되는 영역에 대해서 선별적으로 화합물(C)을 코팅하여 플라즈마에 집중되는 영역에서의 링 부재(230), 유전체 판(310), 하부 엣지 전극(240), 그리고 상부 엣지 전극(510)의 손상을 효율적으로 방지할 수 있다. 플라즈마에 대한 부품의 저항성을 향상시킴과 동시에 화합물(C)의 코팅 면적이 감소되므로, 제조 비용 절감의 효과를 실현할 수 있다.Accordingly, according to an embodiment of the present invention described above, among the surfaces of the ring member 230, the dielectric plate 310, the lower edge electrode 240, and the upper edge electrode 510 exposed to plasma, , and selectively coating the compound (C) on areas exposed at high frequency to form the ring member 230, dielectric plate 310, lower edge electrode 240, and upper edge electrode in areas where plasma is concentrated. Damage to (510) can be effectively prevented. As the resistance of the component to plasma is improved and the coating area of compound (C) is reduced, the effect of reducing manufacturing costs can be realized.

상술한 도 8과 도 9를 참조하여 설명한 실시예에서도, 도 5 및 도 6에서 설명한 바와 같이 부품이 플라즈마에 노출되는 빈도 및 강도의 크기에 따라 부품에 코팅되는 화합물(C)의 두께 및 화합물(C)의 종류를 달리하여 제공될 수 있음은 당연하다.In the embodiment described with reference to FIGS. 8 and 9 above, the thickness of the compound (C) coated on the part and the compound ( It is natural that different types of C) can be provided.

상술한 실시예들에서는 링 부재(230), 유전체 판(310), 하부 엣지 전극(240), 그리고 상부 엣지 전극(510)의 표면에 화합물(C)이 제공되는 것을 예로 들어 설명하였으나, 이에 한정되는 것은 아니다. 예컨대, 링 부재(230), 유전체 판(310), 하부 엣지 전극(240), 그리고 상부 엣지 전극(510)의 표면 적어도 어느 하나에 화합물(C)이 제공될 수 있다. 예컨대, 하부 엣지 전극(240)과 상부 엣지 전극(510)에만 화합물(C)이 제공될 수도 있다.In the above-described embodiments, it has been described as an example that compound (C) is provided on the surfaces of the ring member 230, the dielectric plate 310, the lower edge electrode 240, and the upper edge electrode 510, but the present invention is limited to this. It doesn't work. For example, the compound (C) may be provided on at least one surface of the ring member 230, the dielectric plate 310, the lower edge electrode 240, and the upper edge electrode 510. For example, compound (C) may be provided only to the lower edge electrode 240 and the upper edge electrode 510.

또한, 정면에서 바라볼 때, 하우징(100)의 내측벽들 중 처리 공간(102)과 대응되는 높이에 위치하는 하우징(100)의 내측벽들의 표면에는 화합물(C)이 제공될 수 있다. 이에, 하우징(100)의 내측벽들이 플라즈마에 노출되어 손상되는 것을 최소화할 수 있다.Additionally, when viewed from the front, the compound (C) may be provided on the surface of the inner walls of the housing 100, which are located at a height corresponding to the processing space 102. Accordingly, damage to the inner walls of the housing 100 due to exposure to plasma can be minimized.

또한, 상술한 실시예에서 설명한 화합물(C)은 이트륨 옥사이드(Y2O3)를 더 포함할 수 있다.In addition, compound (C) described in the above-mentioned examples may further include yttrium oxide (Y 2 O 3 ).

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 전술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The above detailed description is illustrative of the present invention. Additionally, the foregoing is intended to illustrate preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications can be made within the scope of the inventive concept disclosed in this specification, a scope equivalent to the written disclosure, and/or within the scope of technology or knowledge in the art. The above-described embodiments illustrate the best state for implementing the technical idea of the present invention, and various changes required for specific application fields and uses of the present invention are also possible. Accordingly, the detailed description of the invention above is not intended to limit the invention to the disclosed embodiments. Additionally, the appended claims should be construed to include other embodiments as well.

로드 포트 : 10
전방 단부 모듈 : 20
처리 모듈 : 30
로드락 챔버 : 40
트랜스퍼 챔버 : 50
프로세스 챔버 : 60
하우징 : 100
지지 유닛 : 200
척 : 210
링 부재 : 230
하부 엣지 전극 : 240
유전체 판 유닛 : 300
유전체 판 : 310
상부 엣지 전극 : 510
플라즈마 : P
화합물 : C
제1화합물 : C1
제2화합물 : C2
Load port: 10
Front end module: 20
Processing modules: 30
Loadlock chamber: 40
Transfer chamber: 50
Process chamber: 60
Housing: 100
Support units: 200
Chuck: 210
Ring member: 230
Bottom edge electrode: 240
Dielectric plate units: 300
Dielectric plate: 310
Upper edge electrode: 510
Plasma: P
Compound: C
First compound: C1
Second compound: C2

Claims (7)

기판을 처리하는 장치에 있어서,
처리 공간을 가지는 하우징;
상기 처리 공간 내에서 기판을 지지하는 지지 유닛;
상기 지지 유닛의 외측을 감싸는 절연체 재질의 링 부재;
상기 지지 유닛에 지지된 기판의 상면과 마주하도록 배치되는 유전체 판;
기판의 가장자리 영역으로 공정 가스를 공급하는 가스 공급 유닛; 및
상기 지지 유닛에 지지된 기판의 가장자리 영역과 인접한 플라즈마 형성 영역에 상기 공정 가스로부터 플라즈마를 발생시키는 상부 엣지 전극과 하부 엣지 전극을 포함하되,
상기 상부 엣지 전극은 상기 지지 유닛에 지지된 기판의 가장자리 영역 상부에 배치되고,
상기 하부 엣지 전극은 상기 지지 유닛에 지지된 기판의 가장자리 영역 하부에 배치되고,
상기 플라즈마 형성 영역에 노출되는 상기 링 부재, 상기 유전체 판, 상기 상부 엣지 전극, 그리고 상기 하부 엣지 전극의 표면 중 적어도 어느 하나는 이트륨(Y)과 불소(F)를 포함하는 화합물로 구성되는 기판 처리 장치.
In a device for processing a substrate,
a housing having a processing space;
a support unit supporting a substrate within the processing space;
a ring member made of insulating material surrounding the outside of the support unit;
a dielectric plate disposed to face the upper surface of the substrate supported on the support unit;
a gas supply unit supplying process gas to the edge area of the substrate; and
It includes an upper edge electrode and a lower edge electrode for generating plasma from the process gas in a plasma formation area adjacent to an edge area of the substrate supported on the support unit,
The upper edge electrode is disposed on an upper edge area of the substrate supported on the support unit,
The lower edge electrode is disposed below the edge area of the substrate supported on the support unit,
Processing a substrate in which at least one of the surfaces of the ring member, the dielectric plate, the upper edge electrode, and the lower edge electrode exposed to the plasma formation area is composed of a compound containing yttrium (Y) and fluorine (F). Device.
제1항에 있어서,
상기 화합물은,
상기 링 부재의 상면, 상기 유전체 판의 하면, 상기 상부 엣지 전극의 하면, 그리고 상기 하부 엣지 전극의 상면에 코팅되는 기판 처리 장치.
According to paragraph 1,
The compound is,
A substrate processing device wherein the coating is applied to the upper surface of the ring member, the lower surface of the dielectric plate, the lower surface of the upper edge electrode, and the upper surface of the lower edge electrode.
제1항에 있어서,
상기 화합물은,
옥시 불화 이트륨(YOF) 또는 불화 이트륨(YF3)인 것을 특징으로 하는 기판 처리 장치.
According to paragraph 1,
The compound is,
A substrate processing device characterized by yttrium oxyfluoride (YOF) or yttrium fluoride (YF3).
제2항에 있어서,
상기 화합물은,
제1화합물과 상기 제1화합물에 비해 상대적으로 상기 플라즈마에 의한 에칭률이 낮은 제2화합물을 포함하고,
상기 링 부재의 상면과 상기 유전체 판의 하면은 상기 제1화합물로 코팅되고,
상기 상부 엣지 전극의 하면과 상기 하부 엣지 전극의 상면은 상기 제2화합물로 코팅되는 기판 처리 장치.
According to paragraph 2,
The compound is,
Comprising a first compound and a second compound having a relatively low etching rate by the plasma compared to the first compound,
The upper surface of the ring member and the lower surface of the dielectric plate are coated with the first compound,
A substrate processing device wherein the lower surface of the upper edge electrode and the upper surface of the lower edge electrode are coated with the second compound.
제4항에 있어서,
상기 제1화합물은 옥시 불화 이트륨(YOF)을 포함하고,
상기 제2화합물은 불화 이트륨(YF3)을 포함하는 기판 처리 장치.
According to paragraph 4,
The first compound includes yttrium oxyfluoride (YOF),
A substrate processing device wherein the second compound includes yttrium fluoride (YF3).
제2항에 있어서,
상기 화합물은,
상기 링 부재의 상면과 상기 유전체 판의 하면에 제1두께로 코팅되고,
상기 상부 엣지 전극의 하면과 상기 하부 엣지 전극의 상면에 상기 제1두께보다 두꺼운 제2두께로 코팅되는 기판 처리 장치.
According to paragraph 2,
The compound is,
The upper surface of the ring member and the lower surface of the dielectric plate are coated to a first thickness,
A substrate processing device wherein the lower surface of the upper edge electrode and the upper surface of the lower edge electrode are coated with a second thickness thicker than the first thickness.
제1항 내지 제6항 중 어느 한 항에 있어서,
상기 유전체 판의 하면 영역 중 상기 플라즈마 형성 영역에 인접한 상기 유전체 판의 하면 가장자리 영역에만 상기 화합물이 코팅되고,
상기 상부 엣지 전극의 하면 영역 중 상기 플라즈마 형성 영역에 인접한 상기 상부 엣지 전극의 하면 내측 가장자리 영역에만 상기 화합물이 코팅되고,
상기 하부 엣지 전극의 상면 영역 중 상기 플라즈마 형성 영역에 인접한 상기 하부 엣지 전극의 상면 내측 가장자리 영역에만 상기 화합물이 코팅되는 기판 처리 장치.
According to any one of claims 1 to 6,
The compound is coated only on the bottom edge area of the dielectric plate adjacent to the plasma formation area among the bottom area of the dielectric plate,
The compound is coated only on the inner edge area of the lower surface of the upper edge electrode adjacent to the plasma formation area,
A substrate processing apparatus in which the compound is coated only on an inner edge area of the upper surface of the lower edge electrode adjacent to the plasma formation area among the upper surface area of the lower edge electrode.
KR1020220043616A 2022-04-07 2022-04-07 An apparatus for treating substrate KR20230144399A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220043616A KR20230144399A (en) 2022-04-07 2022-04-07 An apparatus for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220043616A KR20230144399A (en) 2022-04-07 2022-04-07 An apparatus for treating substrate

Publications (1)

Publication Number Publication Date
KR20230144399A true KR20230144399A (en) 2023-10-16

Family

ID=88506322

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220043616A KR20230144399A (en) 2022-04-07 2022-04-07 An apparatus for treating substrate

Country Status (1)

Country Link
KR (1) KR20230144399A (en)

Similar Documents

Publication Publication Date Title
KR100624273B1 (en) Plasma processing apparatus
KR102275757B1 (en) Apparatus for treating substrate
KR100345420B1 (en) Plasma Treatment Equipment
KR102396430B1 (en) Substrate processing apparatus and substrate processing method
JP7320874B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
KR20230144399A (en) An apparatus for treating substrate
KR102675937B1 (en) An apparatus for treating substrate
KR20210046150A (en) System and method for treating substrate
KR102596797B1 (en) Substrate processing apparatus and substrate processing method
KR102589182B1 (en) Apparatus for treating substrate and method for aligning dielectric plate using the same
US20230335381A1 (en) Substrate processing apparatus
KR102579740B1 (en) Substrate processing apparatus
TWI787958B (en) Substrate processing apparatus and substrate processing method
KR20240028151A (en) An apparatus for treating substrate
KR20240011013A (en) An apparatus for treating substrate
WO2024135476A1 (en) Substrate processing method, substrate processing device, and substrate processing system
KR20230103889A (en) Apparatus for treating substrate and method for cleaning chamber
KR20230060330A (en) An apparatus for treating substrate
JP2022019549A (en) Plasma processing apparatus
CN117836894A (en) Substrate processing apparatus and substrate processing method
TW202320594A (en) Substrate processing apparatus and substrate processing method
KR20220065415A (en) Focus ring and apparatus for treating substrate