KR20230119651A - 컴팩트하고 작은 스팟 사이즈의 연질 x 선 산란 측정법을 위한 방법 및 시스템 - Google Patents

컴팩트하고 작은 스팟 사이즈의 연질 x 선 산란 측정법을 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20230119651A
KR20230119651A KR1020237020283A KR20237020283A KR20230119651A KR 20230119651 A KR20230119651 A KR 20230119651A KR 1020237020283 A KR1020237020283 A KR 1020237020283A KR 20237020283 A KR20237020283 A KR 20237020283A KR 20230119651 A KR20230119651 A KR 20230119651A
Authority
KR
South Korea
Prior art keywords
illumination
ray
specimen
measured
soft
Prior art date
Application number
KR1020237020283A
Other languages
English (en)
Inventor
데이빗 와이. 왕
커스틴 푸룩커
마이클 프리드만
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20230119651A publication Critical patent/KR20230119651A/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/306Accessories, mechanical or electrical features computer control
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • G01N2223/6462Specific applications or type of materials flaws, defects microdefects

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

작은 물리적 풋프린트를 갖는 조명 스팟 사이즈에 걸친 고휘도 연질 X 선(SXR) 조명에 기초하여 반도체 구조물의 측정을 수행하기 위한 방법 및 시스템이 본원에서 제시된다. 하나의 양태에서, SXR 기반의 계측 시스템의 포커싱 광학기기는 적어도 1.25의 축소도를 가지고 피측정 시료 상으로 조명 소스의 이미지를 투영한다. 추가적인 양태에서, x 선 조명 소스로부터 피측정 시료까지의 조명 빔 경로는 2 미터 미만이다. 다른 양태에서, SXR 기반의 측정은 연질 x 선 영역(즉, 80-3000 eV)에서 x 선 방사선을 사용하여 수행된다. 몇몇 실시형태에서, SXR 기반의 측정은 거의 0 도로부터 90 도까지의 범위 내의 스침 입사각에서 수행된다. 몇몇 실시형태에서, 조명 광학기기는 50 이하의 축소도를 가지고 조명 소스의 이미지를 피측정 시료 상으로 투영한다.

Description

컴팩트하고 작은 스팟 사이즈의 연질 X 선 산란 측정법을 위한 방법 및 시스템
[관련 출원에 대한 교차 참조]
본 특허 출원은 35 U.S.C. §119하에서 2020년 12월 17일자로 출원된 미국 특허 가출원 일련 번호 제63/126,544호로부터의 우선권을 주장하는데, 상기 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
[기술 분야]
설명된 실시형태는 x 선 계측 시스템 및 방법에 관한 것으로, 더 상세하게는, 개선된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 이들로 제한되는 것은 아니다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조될 수도 있고, 그 다음, 개개의 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는, 더 높은 수율을 촉진하기 위해 웨이퍼 상에서 결함을 검출하도록 반도체 제조 프로세스 동안 다양한 단계에서 사용된다. 나노 스케일 구조물의 임계 치수, 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기 위해, 산란 측정법 구현(scatterometry implementation)과 반사 측정법 구현(reflectometry implementation) 및 관련된 분석 알고리즘을 포함하는 다수의 계측 기반의 기술이 일반적으로 사용된다.
전통적으로, 박막, 반복된 주기적 구조물, 또는 둘 모두로 이루어지는 타겟에 대해, 산란 측정법 임계 치수(scatterometry critical dimension) 측정이 수행된다. 디바이스 제조 동안, 이들 막 및 주기적 구조물은 통상적으로 실제 디바이스 기하학적 형상 및 재료 구조물 또는 중간 설계를 나타낸다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터 스케일의 치수를 향해 이동함에 따라, 특성 묘사가 더욱 어려워지게 된다. 복합적인(complex) 삼차원 기하학적 형상 및 다양한 물리적 속성(property)을 갖는 재료를 통합하는 디바이스는 특성 묘사의 어려움에 기여한다. 예를 들면, 게이트 올 어라운드 전계 효과 트랜지스터(Gate-All-Around Field Effect Transistor; GAAFET) 구조물은 현재 반도체 제조사에 의해 훨씬 더 작은 마이크로프로세서 및 메모리 셀을 생성하기 위해 개발되고 있다. GAAFET 구조물의 기하학적 형상의 특성 묘사는 현재의 계측 기술에 대해 도전 과제를 제시한다.
나노구조물의 재료 조성 및 형상에 관한 정확한 정보는 최첨단의(leading-edge) 프론트 엔드 반도체 제조 설비의 프로세스 개발 환경에서 제한된다. 산란 측정 광학 계측 시스템은 측정 편향을 방지하기 위해 정확한 기하학적 형상 및 분산 모델에 의존한다. 선험적으로 이용 가능한 나노구조물의 재료 조성 및 형상의 제한된 지식 때문에, 측정 레시피 개발 및 유효성 확인(validation)은 느리고 지루한 프로세스이다. 예를 들면, 단면 투과 전자 현미경 검사(transmission electron microscopy; TEM) 이미지는 광학 산란 측정법 모델 개발을 안내하기 위해 사용되지만, 그러나 TEM 이미징은 느리고 파괴적이다.
적외선 내지 가시 광을 활용하는 산란계 광학 계측 도구(scatterometric optical metrology tool)는 파장 미만의 구조물(sub-wavelength structure)로부터 0 차 회절 신호를 측정한다. 디바이스 임계 치수가 계속 축소됨에 따라, 산란 측정법 광학 계측 감도 및 성능은 감소하고 있다. 더구나, 피측정 구조물(structure under measurement)에서 흡수 재료가 존재하는 경우, 광학 영역(예를 들면, 0.5 내지 10 ev) 내의 조명 광의 침투 및 산란은 종래의 광학 계측 시스템의 유용성을 제한한다.
유사하게, 전자 빔 기반의 계측 시스템은, 조명, 후방 산란, 및 2차 방출 전자의 흡수 및 산란에 기인하여 반도체 구조물을 침투하기 위해 고군분투한다.
원자력 현미경(Atomic force microscopes; AFM) 및 주사 터널 현미경(scanning-tunneling microscopes; STM)은, 원자 분해능(atomic resolution)을 달성할 수 있지만, 그러나 이들은 시료의 표면만을 조사할 수 있다. 또한 AFM 및 STM 현미경은, 대량 생산(high volume manufacturing; HVM) 설정에서 이들 기술을 비실용적으로 만드는 긴 주사 시간을 필요로 한다.
주사 전자 현미경(scanning electron microscopes; SEM)은 중간 분해능 레벨을 달성하지만, 그러나 충분한 깊이까지 구조물을 침투할 수 없다. 따라서, 높은 애스펙트 비율의 홀(hole)은 특성이 잘 묘사되지 않는다. 또한, 시료의 필수적 대전(charging)은 이미징 성능에 악영향을 미친다.
경질 X 선(hard X-ray) 에너지 레벨(15keV 초과)의 광자를 활용하는 투과 소각 X 선 산란 측정법(Transmission, Small-Angle X-Ray Scatterometry; T-SAXS) 시스템은 까다로운 측정 애플리케이션을 다룰 가망성을 보여주었다. 그러나, 얕은 구조물, 예를 들면, 로직 계측 애플리케이션으로부터 경질 x 선의 산란은 약한데, 이것은 달성 가능한 측정 분해능 및 스루풋을 심각하게 제한한다. 그러한 만큼, T-SAXS는 대량 제조 환경에서 로직 계측 애플리케이션에 대한 실행 가능한 옵션인 것으로 보이지는 않았다.
T-SAXS 시스템은 거의 수직 입사 조명에 기인하여 웨이퍼 상에서 작은 빔 풋프린트(beam footprint)를 달성한다. 그러나, T-SAXS 시스템은 피측정 웨이퍼를 통한 적절한 투과를 위해 고에너지 광자(예를 들면, >16 keV)를 필요로 한다. 통상적으로, 회절 효율성은 광자 에너지(E)를 1/E2로서 스케일링하고 회절 차수의 각도 분리는 1/E에서 스케일링된다. 2D 주기적 구조물에 대한 순서 중첩을 방지하기 위해, 입체 각도 수용(solid-angular acceptance)은 1/E2로서 스케일링된다. 이들 스케일링 계수는 얕은 구조물의 계측을 위해 T-SAXS 시스템에 대해 강력한 패널티를 부과한다.
또한, 모든 이전의 패턴화된 단계로부터의 회절 패턴은 투과율 측정에서 현재 계층 구조물의 회절 패턴 상에 중첩된다. 중요한 금속 층의 최소 피치(즉, 주기)가 단지 10-20 %만큼 차이가 나는 값으로 수렴할 것으로 예상되기 때문에, 각도 수용은 검출기에서 별개의 회절 신호로 엄격하게 제한된다. 그렇지 않으면, 모든 이전 계층의 기하학적 형상 정보가 현재의 계층을 특성 묘사하는 계측 시스템으로 피드포워딩되어야만(fed-forward) 한다. 통상적으로, 복잡한 대량 제조 환경의 맥락 내에서, 필요로 되는 계측 및 프로세스 정보를 획득하고 관리하는 것이 매우 어렵다.
종래의 스침 입사, 소각 x 선 산란 측정법(grazing incidence, small angle x-ray scatterometry; GI-SAXS) 시스템은 회절 강도를 최대화하기 위해 8 keV를 초과하는 광자 에너지 및 반도체 재료에 대한 반사를 위한 임계각(예를 들면, 1 도 미만의 스침 각도(grazing angle)) 근처에서 동작한다. 이것은 웨이퍼 상으로 투영되는 극도로 큰 조명 빔 스팟 사이즈(예를 들면, 1 mm보다 더 큼)로 이어진다. 이것은 너무 커서 심지어 스크라이브 라인 계측 타겟도 사용 불가능하다. 따라서, GI-SAXS 측정을 수행하기 위해서는, 극도로 큰, 특수한 계측 타겟이 웨이퍼 상에서 구성되어야만 한다. 기능적 웨이퍼 면적(functional wafer real estate)의 이 손실은 비용이 많이 든다. 또한, GI-SAXS 측정의 표면 감도는 우수하지만, 그러나 높은 애스펙트 비율 구조물의 침투는 소산 장 거동(evanescent field behavior)에 기인하여 매우 제한된다.
연질 X 선 기반의 산란 측정법 및 반사 측정법은 현재의 계측 요건을 해결할 유망한 기술이다. 그러나, 현재 이용 가능한 시스템은 대량 제조 환경에서 수용 가능한 충분히 컴팩트한 패키지에서 충분한 휘도와 함께 타겟 상에서 충분히 작은 조명 스팟 사이즈를 제공하지 않는다.
하나의 예에서, 싱크로트론 빔라인(synchrotron beamline) x 선 조명 소스는 작은 조명 스팟에 걸쳐 고휘도의 연질 x 선 조명을 제공한다. 그러나, 싱크로트론 빔라인 x 선 조명 소스는 그것의 극도로 큰 물리적 사이즈 및 높은 비용에 기인하여 대량 제조 시설에서 실행 가능하지 않다.
Rehovot, Israel에 의한 Nova Measuring Instruments Ltd.(노바 메저링 인스트루먼츠 리미티드)의 미국 특허 번호 제9,588,066호, 제10,119,925호, 및 제10,481,112호는 연질 x 선 기반의 산란 측정법 및 반사계 시스템을 설명한다. 그러나, 개시된 시스템은 충분히 높은 휘도 x 선 조명 소스, 타겟 상에서의 작은 조명 스팟 사이즈, 및 대량 제조 환경에서 신흥의 계측 애플리케이션을 다루기 위한 작은 풋프린트를 활용하지 않는다. 예로서, 개시된 시스템은 x 선 조명 소스로부터 측정 타겟까지의 조명 경로에서 환상의 모노크로메이터(toroidal monochromator)의 사용을 설명한다. 환상 표면은 광학 표면에 걸쳐 두 개의 직교 방향에서 상이한 반경을 포함한다. 환상 표면에 의해 야기되는 파면 에러(wavefront error)는, 환상 표면의 배율이 1x인 또는 그 근처인 환상 표면의 곡률 중심에 x 선 조명 소스가 위치될 때 최소화된다. 따라서, 환상 표면을 활용하는 실용적인 조명 광학 시스템은 1x 또는 그 근처의 배율 레벨로 제한된다. 결과적으로, 환상의 광학기기(toroidal optic)는, 예를 들면, 어퍼쳐를 활용하는 것에 의해, 수용 불가능한 광자 손실을 야기하지 않으면서 사이즈에서의 임의의 식별 가능한 감소를 가지고 x 선 조명 소스 스팟을 측정 타겟으로 투영할 수 없다. 결과적으로, 환상의 모노크로메이터를 활용하는 개시된 시스템은 측정 타겟에서 적어도 조명 소스 사이즈만큼 큰 조명 스팟 사이즈를 투영한다. 또한, 환상 표면은 연장된 x 선 조명 소스에 대해 또는 환상 표면에서의 조명 입사가 넌제로 입사각(angles of incidence; AOI)에서 발생하는 경우, 상당한 수차를 생성한다. 일반적으로, x 선 조명 소스 사이즈가 극도로 작고 조명 소스 및 그것의 이미지가 환상 표면의 곡률 중심에 또는 그 근처에 함께 위치되지 않는 한, 단일의 환상 표면이 타겟과 관련하여 낮은 입사 AOI(GAOI)에서 측정 타겟에서 100 마이크로미터 이하의 조명 스팟 사이즈를 달성할 가능성은 없다. 불행하게도, 낮은 비용 및 수용할 만큼 작은 패키지 사이즈를 갖는 그러한 작은 조명 소스 사이즈는 아직 실현되지 않았다.
요약하면, 스크라이브라인 타겟과 호환 가능한 조명 빔 스팟 사이즈 및 낮은 애스펙트 비율 구조물 및 높은 애스펙트 비율 구조물 둘 모두에 대한 측정 성능을 갖는 연질 x 선 기반의 계측 시스템에 대한 필요성이 존재한다. 그러한 시스템은 대량 반도체 제조 시설과 사이즈 및 비용에서 호환되는 컴팩트한 물리적 패키지에서 고휘도, 작은 스팟 사이즈 조명을 제공해야만 한다.
연질 x 선 조명에 기초하여 반도체 구조물의 구조적 및 재료적 특성을 측정하기 위한 방법 및 시스템이 제시된다. 더 구체적으로, 작은 전체적 물리적 풋프린트를 갖는 조명 스팟 사이즈에 걸친 고휘도 연질 x 선 조명에 기초하여 측정을 수행하기 위한 방법 및 시스템이 본원에서 제시된다.
반도체 웨이퍼의 연질 X 선(Soft X-Ray; SXR) 기반의 측정은, 작은 빔 스팟 사이즈(예를 들면, 효과적인 조명 스팟에 걸쳐 50 마이크로미터 미만)를 사용하여 일정 범위의 파장, 입사각, 및 방위각에 걸쳐 수행된다. 하나의 양태에서, SXR 기반의 계측 시스템의 포커싱 광학기기(focusing optic)는 적어도 1.25의 축소도(demagnification)(즉, 0.8 이하의 배율)를 가지고 피측정 시료(specimen under measurement) 상으로 조명 소스의 이미지를 투영한다. 몇몇 실시형태에서, SXR 기반의 계측 시스템의 포커싱 광학기기는 적어도 2.0의 축소도(즉, 0.5 이하의 배율)를 가지고 피측정 시료 상으로 조명 소스의 이미지를 투영한다. 추가적인 양태에서, x 선 조명 소스로부터 피측정 시료까지의 광학 빔 경로(즉, 조명 빔 경로)는 2 미터 미만이다.
다른 양태에서, SXR 기반의 측정은 연질 x 선 영역(즉, 80-3000 eV)에서 x 선 방사선을 사용하여 수행된다. 몇몇 실시형태에서, SXR 기반의 측정은 거의 0 도로부터 90 도(수직 입사)까지의 범위 내의 스침 입사각에서 수행된다. 특정한 측정 애플리케이션에 대한 스침 각도는 피측정 구조물 안으로의 소망되는 침투를 달성하도록 그리고 작은 빔 스팟 사이즈(예를 들면, 50 마이크로미터 미만)로 측정 정보 내용을 최대화하도록 선택된다.
몇몇 실시형태에서, 조명 광학기기(illumination optic)는 최대 50의 축소도(즉, 0.02만큼 작은 배율)를 가지고 조명 소스의 이미지를 피측정 시료 상으로 투영한다. 그러한 큰 축소도 값은 상대적으로 큰 조명 소스 사이즈가 상대적으로 짧은 조명 경로 길이에 걸쳐 피측정 시료 상의 상대적으로 작은 조명 스팟 상으로 투영되는 것을 가능하게 한다. 이러한 방식으로, SXR 기반의 계측 시스템의 전체 물리적 풋프린트는 대량 반도체 제조 시설과 호환될 만큼 충분히 작다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 반사능(reflective power)을 갖는 적어도 하나의 표면을 포함한다. 이들 실시형태 중 일부에서, 반사성 표면 상의 코팅은 하나 이상의 영역으로 분할된다. 각각의 코팅 영역은 광 스루풋, 일정 범위의 입사각, 및 일정 범위의 파장에 대해 최적화된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 회절력(diffractive power)을 갖는 적어도 하나의 표면을 포함한다. 이들 실시형태 중 일부에서, 회절 표면은 하나 이상의 영역으로 분할된다. 각각의 영역은 광 스루풋, 일정 범위의 입사각, 및 일정 범위의 파장에 대해 최적화된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 Schwarzschild(슈바르츠실트) 구성으로 배열되는 적어도 두 개의 구면 광학 엘리먼트(spherical optical element)를 포함하는 구면 반사성 대물 렌즈(spherical reflective objective)를 포함한다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 조명 소스로부터 피측정 시료까지의 조명 광학 경로에서 타원체(ellipsoidal) 반사성 광학 엘리먼트를 포함한다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 조명 소스로부터 피측정 시료까지의 조명 광학 경로에서 타원형(elliptical) 반사성 광학 엘리먼트 및 반사성 쌍곡면 광학 엘리먼트를 포함한다. 몇몇 실시형태에서, 광학 엘리먼트는 Wolter Type(볼터 타입) 1 구성으로 배열된다. 일반적으로, 임의의 적절한 볼터 구성, 예를 들면, 타입 2 또는 타입 3 구성이 이 특허 문서의 범위 내에서 고려된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 조명 소스로부터 피측정 시료까지의 조명 광학 경로에서 자유 형태 반사성 광학 엘리먼트를 포함한다.
다른 양태에서, 조명 광학기기 서브시스템은 조명 소스와 피측정 시료에 결합되는 내부 시야 조리개(field stop)를 포함한다.
추가적인 양태에서, 조명 서브시스템의 내부 시야 조리개는 피측정 시료 상으로 투영되는 조명 스팟의 사이즈를 최소화하기 위해 피측정 시료와 관련하여 틸트 시프트된다(tilt shifted).
다른 양태에서, 조명 서브시스템의 조명 소스는 피측정 시료 상으로 투영되는 조명 스팟의 사이즈를 최소화하기 위해 피측정 시료와 관련하여 틸트 시프트된다.
몇몇 실시형태에서, 조명 동공 어퍼쳐(illumination pupil aperture)는 도구 대 도구 하드웨어 매칭을 향상시키기 위해, 측정 충실도를 최적화하기 위해, 상이한 피측정 시료에 대한 광 스루풋을 최적화하기 위해, 회절 차수 크로스토크(diffraction order cross-talk)를 최소화하기 위해, 또는 이들의 임의의 조합을 위해, 포지션 및 사이즈에서 조정 가능하다.
몇몇 실시형태에서, 조명 필드 어퍼쳐는 도구 대 도구 하드웨어 매칭을 향상시키기 위해, 측정 충실도를 최적화하기 위해, 상이한 피측정 시료에 대한 광 스루풋을 최적화하기 위해, 회절 차수 크로스토크를 최소화하기 위해, 또는 이들의 임의의 조합을 위해, 포지션 및 사이즈에서 조정 가능하다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 소망되는 스펙트럼 대역의 조명 광(예를 들면, 연질 x 선 광)을 투과시키고 바람직하지 않은 스펙트럼 대역의 방사선(예를 들면, 자외선, 가시, 적외선 광, 또는 이들의 임의의 조합)을 차단하는 필터를 포함한다.
추가적인 양태에서, 조명 광학기기 서브시스템은 소스 방출을 수집하고 하나 이상의 불연속 파장 또는 스펙트럼 대역을 선택하고, 선택된 광을 소망되는 공칭 스침 입사각에서 피측정 시료 상으로 포커싱한다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은, 피측정 시료 상으로의 투영을 위해 소망되는 파장 또는 파장의 범위를 선택하는 단계적 다층(graded multi-layers)을 포함한다.
추가적인 양태에서, SXR 기반의 계측 시스템은 수집 동공 어퍼쳐(collection pupil aperture)를 포함하는 수집 광학기기 서브시스템을 포함한다. 몇몇 실시형태에서, 수집 동공 어퍼쳐는, 도구 대 도구 하드웨어 매칭을 향상시키기 위해, 측정 충실도를 최적화하기 위해, 그리고 상이한 피측정 시료에 대한 광 스루풋을 최적화하기 위해 포지션 및 사이즈에서 조정 가능하다.
추가적인 양태에서, SXR 기반의 계측 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 계측 도구는 검출기에 의해 생성되는 신호를 획득하도록 그리고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하도록 활용되는 컴퓨팅 시스템을 포함한다.
앞서 언급한 것은 개요이며, 따라서, 필요에 의해, 세부사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것에 불과하며 어떠한 방식으로든 제한하는 것은 아니다는 것을 기술 분야의 숙련된 자는 인식할 것이다. 본원에 기술되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기재되는 비제한적인 상세한 설명에서 명확해질 것이다.
도 1은 적어도 하나의 신규의 양태에서 시료의 특성을 측정하기 위한 SXR 기반의 계측 도구(100)의 실시형태를 예시하는 단순화된 다이어그램이다.
도 2는 세그먼트화된 구성에서, 빔 축(A) 주위에 배치되는 네 개의 미러 엘리먼트를 포함하는 포커싱 광학기기의 단부 뷰(end view)를 예시하는 단순화된 다이어그램이다.
도 3은 입사각(θ) 및 방위각(φ)에 의해 설명되는 특정한 방위에서 웨이퍼 상에 입사하는 x 선 조명 빔을 묘사한다.
도 4는 두 개의 구면 미러(spherical mirror)를 포함하는 하나의 실시형태에서의 조명 광학기기 서브시스템을 묘사한다.
도 5는 타원체 미러를 포함하는 하나의 실시형태에서의 조명 광학기기 서브시스템을 묘사한다.
도 6은 볼터 대물 렌즈를 포함하는 하나의 실시형태에서의 조명 광학기기 서브시스템을 묘사한다.
도 7은 자유 형태 표면 형태를 갖는 미러를 포함하는 하나의 실시형태에서의 조명 광학기기 서브시스템을 묘사한다.
도 8은 내부 시야 조리개를 포함하는 하나의 실시형태에서의 조명 광학기기 서브시스템을 묘사한다.
도 9는 틸트 시프트(tilt shift)를 포함하는 하나의 실시형태에서의 조명 광학기기 서브시스템을 묘사한다.
도 10은 본원에서 설명되는 바와 같은 조명 서브시스템에 의해 50 마이크로미터×50 마이크로미터 반치전폭 강도(full width, half maximum intensity)의 조명 소스 사이즈로부터 피측정 시료 상으로 투영되는 조명 스팟의 형상 및 사이즈의 시뮬레이션을 예시하는 플롯(270)을 묘사한다.
도 11은 예시적인 모델 구축 및 분석 엔진을 예시하는 단순화된 다이어그램이다.
도 12는 본원에서 설명되는 방법에 따라 반도체 웨이퍼의 SXR 기반의 측정을 수행하는 방법의 플로우차트이다.
이제, 배경 기술의 예 및 본 발명의 몇몇 실시형태를 상세히 참조할 것인데, 본 발명의 실시형태의 예는 첨부의 도면에서 예시된다.
연질 x 선 조명에 기초하여 상이한 반도체 제조 프로세스와 관련되는 반도체 구조물의 구조적 및 재료적 특성(예를 들면, 재료 조성, 구조물 및 막의 치수 특성, 등등)을 측정하기 위한 방법 및 시스템이 제시된다. 더 구체적으로, 작은 전체적 물리적 풋프린트를 갖는 조명 스팟 사이즈에 걸친 고휘도 연질 x 선 조명에 기초하여 반도체 구조물의 측정을 수행하기 위한 방법 및 시스템이 본원에서 제시된다.
반도체 웨이퍼의 연질 X 선(Soft X-Ray; SXR) 기반의 측정은, 작은 빔 스팟 사이즈(예를 들면, 효과적인 조명 스팟에 걸쳐 50 마이크로미터 미만)를 사용하여 일정 범위의 파장, 입사각, 및 방위각에 걸쳐 수행된다. 하나의 양태에서, SXR 기반의 계측 시스템의 포커싱 광학기기는 적어도 1.25의 축소도(즉, 0.8 이하의 배율)를 가지고 피측정 시료 상으로 조명 소스의 이미지를 투영한다. 몇몇 실시형태에서, SXR 기반의 계측 시스템의 포커싱 광학기기는 적어도 2.0의 축소도(즉, 0.5 이하의 배율)를 가지고 피측정 시료 상으로 조명 소스의 이미지를 투영한다. 추가적인 양태에서, x 선 조명 소스로부터 피측정 시료까지의 광학 빔 경로(즉, 조명 빔 경로)는 2 미터 미만이다. 다른 양태에서, SXR 기반의 측정은 연질 x 선 영역(즉, 80-3000 eV)에서 x 선 방사선을 사용하여 수행된다. 몇몇 실시형태에서, SXR 기반의 측정은 거의 0 도로부터 90 도(수직 입사)까지의 범위 내의 스침 입사각에서 수행된다. 특정한 측정 애플리케이션에 대한 스침 각도는 피측정 구조물 안으로의 소망되는 침투를 달성하도록 그리고 작은 빔 스팟 사이즈(예를 들면, 50 마이크로미터 미만)로 측정 정보 내용을 최대화하도록 선택된다.
도 1은 적어도 하나의 신규의 양태에서 시료의 특성을 측정하기 위한 SXR 기반의 계측 도구(100)의 실시형태를 예시한다. 도 1에서 도시되는 바와 같이, 시스템(100)은 입사 조명 빔 스팟에 의해 조명되는 시료(101)의 측정 영역(102)에 걸쳐 측정을 수행하기 위해 사용될 수도 있다.
묘사된 실시형태에서, 계측 도구(100)는 x 선 조명 소스(110), 초점 조명 광학기기(111), 빔 제어 슬릿(112 및 113)을 포함한다. x 선 조명 소스(110)는 높은 스루풋 측정에 적절한 SXR 방사선을 생성하도록 구성된다. 더 구체적으로, X 선 조명 소스(110)는 다색의 고휘도 대형 에텐듀(etendue) 소스이다. 몇몇 실시형태에서, x 선 조명 소스(110)는 80 내지 3000 전자 볼트 사이의 범위 내의 x 선 방사선을 생성하도록 구성된다. 일반적으로, 측정을 위한 x 선 조명을 공급하기 위해, 높은 스루풋의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 SXR을 생성할 수 있는 임의의 적합한 고휘도 x 선 조명 소스가 고려될 수도 있다.
몇몇 실시형태에서, x 선 소스는, x 선 소스가 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 하는 튜닝 가능한 모노크로메이터(tunable monochromator)를 포함한다. 몇몇 실시형태에서, 하나 이상의 x 선 소스는, x 선 소스가 피측정 시료 안으로 충분한 침투를 허용하는 파장의 광을 공급하는 것을 보장하기 위해 활용된다.
몇몇 실시형태에서, 조명 소스(110)는 고차 고조파 생성(high harmonic generation; HHG) x 선 소스이다. 몇몇 다른 실시형태에서, 조명 소스(110)는 위글러(wiggler)/언듈레이터(undulator) 싱크로트론 방사선 소스(synchrotron radiation source; SRS)이다. 예시적인 위글러/언듈레이터 SRS는 미국 특허 번호 제8,941,336호 및 제8,749,179호에서 설명되는데, 이들 특허의 내용은 참조에 의해 그들 전체가 본원에 통합된다.
몇몇 실시형태에서, 입자 가속기 소스, 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 고정식 고체 애노드 소스(stationary, solid anode source), 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 플라즈마 기반의 소스, 및 역 콤프턴 소스(inverse Compton source) 중 임의의 것이 x 선 조명 소스(110)로서 활용될 수도 있다.
다른 예에서, x 선 조명 소스(101)는 x 선 방사선을 자극하기 위해 고체 또는 액체 타겟에 충돌하도록 구성되는 전자 빔 소스를 포함한다. 고휘도, 액체 금속 x 선 조명을 생성하기 위한 방법 및 시스템은, KLA-Tencor Corp.(KLA 텐코 코포레이션)에게 2011년 4월 19일자로 발행된 미국 특허 번호 제7,929,667호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
몇몇 다른 실시형태에서, 조명 소스(110)는 레이저 유지 플라즈마(laser sustained plasma; LSP) 광원이다. 이들 실시형태 중 일부에서, LSP 광원은 크세논, 크립톤, 아르곤, 네온, 이산화탄소, 질소, 또는 이들의 임의의 조합을 포함하는 타겟 재료를 포함한다. 더구나, 타겟 재료는 플라즈마로의 전이 이전에 액체 또는 고체 상태에서 유지될 수도 있다. 일반적으로, 적절한 LSP 타겟 재료의 선택은 공진 SXR 영역에서의 밝기에 대해 최적화된다. 예를 들면, 크립톤에 의해 방출되는 플라즈마는 실리콘 K 에지(K-edge)에서 높은 휘도를 제공한다. 다른 예에서, 크세논에 의해 방출되는 플라즈마는 (80 내지 3000 eV)의 전체 SXR 영역 전체에 걸쳐 높은 휘도를 제공한다. 그러한 만큼, 크세논은 광대역 SXR 조명이 소망되는 경우 방출 재료의 양호한 선택이다.
LSP 타겟 재료 선택은 또한 신뢰 가능하고 긴 수명의 광원 동작을 위해 최적화될 수도 있다. 크세논, 크립톤, 및 아르곤과 같은 비활성 기체(noble gas) 타겟 재료는 비활성이며 최소의 오염 제거 프로세싱을 가지면서 또는 오염 제거 프로세싱 없이 폐루프 동작에서 재사용될 수 있다. 예시적인 SXR 조명 소스는 미국 특허 번호 제10,959,318호에서 설명되는데, 이 특허의 내용은 참조에 의해 그 전체가 본원에 통합된다.
몇몇 실시형태에서, 조명 소스(예를 들면, 조명 소스(110))에 의해 방출되는 파장은 선택 가능하다. 몇몇 실시형태에서, 조명 소스(110)는 하나 이상의 선택된 스펙트럼 영역에서 플럭스를 최대화하기 위해 컴퓨팅 시스템(130)에 의해 제어되는 LSP 광원이다. 타겟 재료에서의 레이저 피크 강도는 플라즈마 온도를 제어하고 따라서 방출된 방사선의 스펙트럼 영역을 제어한다. 레이저 피크 강도는 펄스 에너지, 펄스 폭, 또는 둘 모두를 조정하는 것에 의해 변경된다. 하나의 예에서, 100 피코초 펄스 폭은 SXR 방사선을 생성하는 데 적합하다.
도 1에서 묘사되는 바와 같이, 컴퓨팅 시스템(130)은, 조명 소스(110)로 하여금 조명 소스(110)로부터 방출되는 파장의 스펙트럼 범위를 조정하게 하는 커맨드 신호(136)를 조명 소스(110)로 전달한다. 하나의 예에서, 조명 소스(110)는 플라즈마 스펙트럼의 일부, 또는 플라즈마 스펙트럼 전체의 휘도 및 출력을 향상시키기 위해 활용되는 하나 이상의 레이저 광원(예를 들면, 펌프 레이저 광원)을 포함하는 LSP 광원이다. 이들 예 중 일부에서, LSP 광원은 LSP 광원으로부터 방출되는 파장의 소망되는 스펙트럼 범위를 실현하기 위해 펄스 지속 기간, 펄스 주파수, 및 타겟 재료 조성 중 임의의 것을 조정한다. 몇몇 예에서, LSP 광원 타겟 재료는, 예를 들면, 극저온으로 냉각된 드럼의 표면 상에서 고체 상태로 유지되는 크세논을 포함하고, 레이저 광은 고체 상태 크세논의 작은 부분에 포커싱된다. 몇몇 예에서, LSP 광원 타겟 재료는, 예를 들면, 극저온으로 냉각된 드럼의 표면 상에서 고체 상태로 유지되는 이산화탄소를 포함하고, 레이저 광은 고체 상태 이산화탄소의 작은 부분에 포커싱된다. 몇몇 예에서, LSP 광원 타겟 재료는, 예를 들면, 극저온으로 냉각된 드럼의 표면 상에서 고체 상태로 유지되는 타겟 재료, 예컨대 크세논 및 이산화탄소의 조합을 포함하고, 레이저 광은 고체 상태 타겟 재료의 작은 부분에 포커싱된다. 몇몇 다른 예에서, LSP 광원 타겟 재료는 기체 상태에서 유지되는 타겟 재료, 예컨대 크세논 및 이산화탄소의 조합을 포함한다.
몇몇 실시형태에서, LSP 조명 소스는 연질 x 선, 자외선, 가시 및 적외선 영역의 파장을 포함하는 조명 방사선을 생성한다. 몇몇 실시형태에서, LSP 조명 소스는 300 전자볼트로부터 400 전자볼트까지의 범위 내의 파장을 포함하는 조명 방사선을 생성한다. 몇몇 실시형태에서, LSP 조명 소스는 100 전자볼트로부터 1,000 전자볼트까지의 범위 내의 파장을 포함하는 조명 방사선을 생성한다. 몇몇 실시형태에서, LSP 조명 소스는 단일의 파장을 포함하는 조명 방사선(협대역 조명)을 생성한다.
X 선 조명 소스(110)는 유한한 횡방향 치수(lateral dimension)(즉, 빔 축에 직교하는 넌제로 치수)를 갖는 소스 영역에 걸쳐 X 선 방출을 생성한다. 작은 소스 사이즈는 시료 상의 작은 타겟 영역에 대한 높은 휘도를 갖는 조명을 가능하게 하고, 따라서 측정 정밀도, 정확도, 및 스루풋을 향상시킨다. 그러나, 일반적으로, 플라즈마의 달성 가능한 사이즈에는 한계가 있다. 몇몇 실시형태에서, 달성 가능한 플라즈마 사이즈는 50 마이크로미터보다 더 큰 횡방향 치수, 반치전폭 강도에 의해 특성 묘사된다. 몇몇 실시형태에서, 플라즈마 사이즈는 100 마이크로미터보다 더 큰 횡방향 치수, 반치전폭 강도에 의해 특성 묘사된다. 몇몇 실시형태에서, 플라즈마 사이즈는 50 마이크로미터보다 더 작은 횡방향 치수, 반치전폭 강도에 의해 특성 묘사된다.
몇몇 실시형태에서, LSP 조명 소스는 플라즈마 포지션에서 플라즈마 사이즈 및 이동을 최소화하기 위해 실시간으로 레이저 초점을 유지하도록 폐루프 제어 구성에서 동작하는 능동 펌프 레이저 초점 조정을 포함한다.
몇몇 실시형태에서, LSP 조명 소스는 플라즈마 포지션에서 플라즈마 사이즈 및 이동을 최소화하기 위해 빔 형상 및 파면 특성을 유지하도록 폐루프 방식으로 동작하는 빔 형상 및 파면의 능동 제어를 포함한다.
일반적으로, x 선 조명 광학기기는 조명 방사선을 성형하여 시료(101)에 지향시킨다. 하나의 양태에서, SXR 기반의 계측 시스템은 하나 이상의 조명 소스로부터 피측정 시료까지 2 미터 미만의 광학 경로 길이를 갖는 조명 광학기기를 포함한다. 더구나, 조명 광학기기는 적어도 1.25의 축소도(즉, 0.8 이하의 배율)를 가지고 조명 소스의 이미지를 피측정 시료 상으로 투영한다. 몇몇 실시형태에서, 조명 광학기기는 적어도 2.0의 축소도(즉, 0.5 이하의 배율)를 가지고 조명 소스의 이미지를 피측정 시료 상으로 투영한다. 이러한 방식으로, 100 마이크로미터 이상의 횡방향 치수에 의해 특성 묘사되는 조명 소스는 50 마이크로미터 이하의 횡방향 치수에 의해 특성 묘사되는 조명 스팟 사이즈에 이르기까지 포커싱된다.
실제로, 제조 에러는 조명 스팟에서 큰 점 확산 함수(point spread function; PSF)에 대한 지배적인 기여자이다. 몇몇 실시형태에서, 조명 광학기기는 적어도 4.0의 축소도(즉, 0.25 이하의 배율)를 가지고 조명 소스의 이미지를 피측정 시료 상으로 투영한다. 짧은 광학 경로 길이(2 m 미만)를 활용하는 것은 마지막 초점 광학 엘리먼트로부터 피측정 시료까지의 광학 경로 길이를 감소시킨다. 더구나, 적어도 4.0의 축소도 및 마지막 초점 광학 엘리먼트로부터 피측정 시료까지 짧은 광학 경로 길이를 활용하는 것은, 임의의 반사성 광학 엘리먼트의 표면 결함(예를 들면, 툴링 마크(tooling mark))으로부터 광이 산란하는 것에 기인하는 조명 스팟 사이즈에서의 확산을 최소화한다. 이러한 방식으로, 반사성 광학 표면에 의해 야기되는 중간 주파수 에러에 기인하는 조명 스팟의 점 확산 함수(PSF)의 확장은 최소화된다.
몇몇 실시형태에서, 조명 광학기기는 최대 50의 축소도(즉, 0.02만큼 작은 배율)를 가지고 조명 소스의 이미지를 피측정 시료 상으로 투영한다. 그러한 큰 축소도 값은 상대적으로 큰 조명 소스 사이즈가 상대적으로 짧은 조명 경로 길이에 걸쳐 피측정 시료 상의 상대적으로 작은 조명 스팟 상으로 투영되는 것을 가능하게 한다. 이러한 방식으로, SXR 기반의 계측 시스템의 전체 물리적 풋프린트는 대량 반도체 제조 시설과 호환될 만큼 충분히 작다. 몇몇 실시형태에서, 조명 스팟 사이즈는 40 마이크로미터 이하의 횡방향 치수에 의해 특성 묘사된다. 몇몇 실시형태에서, 조명 스팟 사이즈는 100 마이크로미터 이하의 횡방향 치수에 의해 특성 묘사된다.
도 1에서 묘사되는 바와 같이, 포커싱 광학기기(111)는 소스 방사선을 시료(101) 상에 위치되는 계측 타겟 상으로 포커싱한다. 유한한 횡방향 소스 치수는, 소스의 에지로부터 유래하는 광선 및 빔 슬릿(112 및 113)에 의해 제공되는 임의의 빔 성형에 의해 정의되는 타겟 상의 유한한 스팟 사이즈(102)로 귀결된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 반사능을 갖는 적어도 하나의 표면을 포함한다. 이들 실시형태 중 일부에서, 반사성 표면 상의 코팅은 하나 이상의 영역으로 분할된다. 각각의 코팅 영역은 광 스루풋, 일정 범위의 입사각, 및 일정 범위의 파장에 대해 최적화된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 회절력을 갖는 적어도 하나의 표면을 포함한다. 이들 실시형태 중 일부에서, 회절 표면은 하나 이상의 영역으로 분할된다. 각각의 영역은 광 스루풋, 일정 범위의 입사각, 및 일정 범위의 파장에 대해 최적화된다.
도 4는 하나의 실시형태에서의 조명 광학기기 서브시스템(210)을 묘사한다. 도 4에서 묘사되는 바와 같이, 조명 광학기기 서브시스템(210)은 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광학 경로에서 반사성 구면 광학 엘리먼트(211) 및 반사성 구면 광학 엘리먼트(212)를 포함하는 구면 반사성 대물 렌즈를 포함한다. 반사성 구면 광학 엘리먼트(211 및 212)는 슈바르츠실트 구성으로 배열된다. 반사성 구면 광학 엘리먼트(211 및 212)는 적어도 1.25의 축소도 계수(demagnification factor)를 가지고 조명 광(213)을 웨이퍼(101) 상으로 포커싱한다. 더구나, 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광(213)의 광학 경로 길이는 2 미터 미만이다.
짧은 경로 길이 및 높은 축소도는 반사성 구면 엘리먼트(211 및 212)의 표면으로부터 광이 산란하는 것에 기인하는 조명 스팟 사이즈에서의 확산을 최소화한다. 구면 반사성 엘리먼트의 사용은 구면 표면 형태의 제조의 상대적 용이성에 기인하여 유리하다. 하나의 반사성 표면이 아닌, 두 개의 미러 표면의 사용은 추가적인 광자 강도 손실을 도입한다. 그러나, 구면 표면의 표면 모양은 상대적으로 큰 표면 영역에 걸쳐 고도로 랜덤화된 표면 에러를 가지고 제조된다. 따라서, 구면 반사성 광학 표면에 의해 야기되는 중간 주파수 에러 및 기울기 에러는 상대적으로 낮다. 바람직한 실시형태에서, 반사성 구면 엘리먼트(211 및 212)는 2.5보다 더 큰 축소도를 가지고 배열된다. 2.5 미만의 축소도를 갖는 배열이 가능하지만, 그러나 주 미러(primary mirror)의 사이즈가 상대적으로 커지게 된다. 몇몇 실시형태에서, 반사성 구면 엘리먼트(211 및 212)는 4 내지 12의 축소도를 가지고 배열된다. 몇몇 실시형태에서, 반사성 구면 엘리먼트(211 및 212)는 30 내지 40, 또는 심지어 그 보다 더 큰 축소도를 가지고 배열된다.
도 5는 하나의 실시형태에서의 조명 광학기기 서브시스템(220)을 묘사한다. 도 5에서 묘사되는 바와 같이, 조명 광학기기 서브시스템(220)은 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광학 경로에서 타원체 반사성 광학 엘리먼트(221)를 포함한다. 반사성 타원체 광학 엘리먼트는 적어도 1.25의 축소도 계수를 가지고 조명 광(222)을 웨이퍼(101) 상으로 포커싱한다. 더구나, 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광(222)의 광학 경로 길이는 2 미터 미만이다.
짧은 경로 길이 및 높은 축소도는 반사성 타원체 엘리먼트(221)의 표면으로부터 광이 산란하는 것에 기인하는 조명 스팟 사이즈에서의 확산을 최소화한다. 타원체 반사성 엘리먼트의 사용은, 보다 복잡한 표면 형상과 비교하여, 타원체 표면 형태의 제조의 상대적 용이성에 기인하여 유리하다. 또한, 하나의 미러 표면의 사용은 광자 강도 손실을 최소화한다. 타원체 반사성 광학 표면에 의해 야기되는 중간 주파수 및 기울기 에러가 구면 표면보다 더 클 수도 있지만, 전체 광학 성능은, 상당히 더 적은 제조 노력을 가지고도, 더 복잡한 표면 형상과 비교하여 손색이 없다. 바람직한 실시형태에서, 반사성 타원체 엘리먼트(221)는 1.25보다 더 큰 축소도를 가지고 배열된다. 몇몇 실시형태에서, 반사성 타원체 엘리먼트(221)는 4 내지 12의 축소도를 가지고 배열된다. 몇몇 실시형태에서, 반사성 타원체 엘리먼트(221)는 30 내지 40, 또는 심지어 더 큰 축소도를 가지고 배열된다. 축소도 계수가 증가됨에 따라, 치수의 비율인 A/B도 또한 증가된다. 달성 가능한 축소도의 한계는 조명 경로 길이(2 미터 미만)에 대한 제약 및 A/B의 비율의 달성 가능한 값에 대한 실질적인 제약에 의해 주로 주도된다.
도 6은 하나의 실시형태에서의 조명 광학기기 서브시스템(230)을 묘사한다. 도 6에서 묘사되는 바와 같이, 조명 광학기기 서브시스템(230)은 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광학 경로에서 타원형 반사성 광학 엘리먼트(231) 및 반사성 쌍곡면 광학 엘리먼트(232)를 포함한다. 반사성 광학 엘리먼트(231 및 232)는 볼터 타입 1 구성으로 배열된다. 반사성 광학 엘리먼트(231 및 232)는 적어도 1.25의 축소도 계수를 가지고 조명 광(233)을 웨이퍼(101) 상으로 포커싱한다. 더구나, 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광(233)의 광학 경로 길이는 2 미터 미만이다.
도 6에서 묘사되는 바와 같이, 쌍곡면 미러(232)는 쌍곡선 형상의 수학적 초점이 타원의 초점과 일치하도록 배치된다. 이러한 방식으로, 광학 서브시스템의 초점 거리는 쌍곡면 미러에 의해 감소된다. 상대적으로 짧은 초점 거리는 타입 1 볼터 광학 구성의 이점이다. 타입 1 볼터 구성이 도 6에서 묘사되지만, 일반적으로, 임의의 적절한 볼터 구성, 예를 들면, 타입 2 또는 타입 3 구성이 이 특허 문서의 범위 내에서 고려된다. 추가적인 실시형태에서, 미러(231 및 232)는 네스트화된(nested) 동심 쉘의 세트로서 배열된다. 이들 실시형태에서, 조명 서브시스템의 총 어퍼쳐는 증가된다.
바람직한 실시형태에서, 반사성 광학 엘리먼트(231 및 232)는 1.25보다 더 큰 축소도를 가지고 배열된다. 몇몇 실시형태에서, 반사성 광학 엘리먼트(231 및 232)는 4 내지 12의 축소도를 가지고 배열된다. 몇몇 실시형태에서, 반사성 광학 엘리먼트(231 및 232)는 30 내지 40, 또는 심지어 더 큰 축소도를 가지고 배열된다.
도 7은 하나의 실시형태에서의 조명 광학기기 서브시스템(240)을 묘사한다. 도 7에서 묘사되는 바와 같이, 조명 광학기기 서브시스템(240)은 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광학 경로에서 자유 형태 반사성 광학 엘리먼트(241)를 포함한다. 자유 형태 반사성 광학 엘리먼트는 적어도 1.25의 축소도 계수를 가지고 조명 광(242)을 웨이퍼(101) 상으로 포커싱한다. 더구나, 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광(242)의 광학 경로 길이는 2 미터 미만이다.
짧은 경로 길이 및 높은 축소도는 자유 형태 반사성 엘리먼트(241)의 표면으로부터 광이 산란하는 것에 기인하는 조명 스팟 사이즈의 확산을 최소화한다. 하나의 미러 표면의 사용은 광자 강도 손실을 최소화한다. 자유 형태 반사성 엘리먼트의 사용은 타겟 상에서 더 작은 연질 x 선 조명 스팟을 가능하게 하는 더 나은 파면 보정에 기인하여 유익하다. 더구나, 반사성 엘리먼트(241)의 표면 형상은, 상대적으로 단순한 타원형 형상과 비교하여 더 많은 제조 노력의 비용에도 불구하고, 중간 주파수 및 기울기 에러를 최소화하도록 제조된다. 바람직한 실시형태에서, 자유 형태 반사성 엘리먼트(241)는 1.25보다 더 큰 축소도를 가지고 배열된다. 몇몇 실시형태에서, 자유 형태 반사성 엘리먼트(241)는 4 내지 12의 축소도를 가지고 배열된다. 몇몇 실시형태에서, 자유 형태 반사성 엘리먼트(241)는 30 내지 40, 또는 심지어 더 큰 축소도를 가지고 배열된다.
도 8은 하나의 실시형태에서의 조명 광학기기 서브시스템(250)을 묘사한다. 하나의 양태에서, 조명 광학기기 서브시스템(250)은 조명 소스(110) 및 피측정 시료(101)에 결합되는 내부 시야 조리개(254)를 포함한다. 몇몇 실시형태에서, 내부 시야 조리개(254)의 형상, 사이즈, 또는 둘 모두는 빔 슬릿(112)과 유사하게 조정 가능하다.
도 8에서 묘사되는 바와 같이, 조명 광학기기 서브시스템(250)은 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광학 경로에서 포커싱 반사성 광학 엘리먼트(focusing reflective optical element)(251 및 252)를 포함한다. 또한, 내부 시야 조리개(254)는 포커싱 반사성 광학 엘리먼트(251 및 252) 사이의 조명 광학 경로에서 위치된다. 더구나, 내부 시야 조리개(254)는 조명 소스(110) 및 웨이퍼(101)에 결합된다. 도 8에서 묘사되는 실시형태는 두 개의 미러를 포함하지만, 그러나, 일반적으로, 조명 서브시스템(250)은 두 개보다 더 많은 미러 및 적어도 하나의 내부 시야 조리개를 포함할 수도 있다. 포커싱 반사성 광학 엘리먼트(251 및 252)는 적어도 1.25의 축소도 계수를 가지고 조명 광(253)을 웨이퍼(101) 상으로 포커싱한다. 더구나, 조명 소스(110)로부터 웨이퍼(101)까지의 조명 광(253)의 광학 경로 길이는 2 미터 미만이다. 바람직한 실시형태에서, 포커싱 반사성 광학 엘리먼트(251 및 252)는 1.25보다 더 큰 축소도를 가지고 배열된다. 몇몇 실시형태에서, 포커싱 반사성 광학 엘리먼트(251 및 252)는 4 내지 12의 축소도를 가지고 배열된다. 몇몇 실시형태에서, 포커싱 반사성 광학 엘리먼트(251 및 252)는 30 내지 40, 또는 심지어 더 큰 축소도를 가지고 배열된다.
다른 양태에서, 조명 서브시스템의 내부 시야 조리개는, 피측정 시료 상으로 투영되는 조명 스팟의 사이즈를 최소화하기 위해, 피측정 시료와 관련하여 틸트 시프트된다.
하나의 실시형태에서, 도 9에서 묘사되는 바와 같이, 내부 시야 조리개(264)는, 웨이퍼(101)의 표면 상으로 투영되는 조명 스팟의 사이즈를 최소화하기 위해, 웨이퍼(101)와 관련하여 틸트 시프트된다. 반사성 광학 엘리먼트(261 및 262)는 시야 조리개(264) 및 웨이퍼(101)와 관련하여 Scheimpflug(샤임플러그) 조건에 따라 배열된다. 몇몇 실시형태에서, 내부 시야 조리개(264)의 형상, 사이즈, 또는 둘 모두는 빔 슬릿(112)과 유사하게 조정 가능하다.
다른 양태에서, 도 9에서 설명되는 바와 같이, 조명 서브시스템의 조명 소스(110)는 웨이퍼(101)의 표면 상으로 투영되는 조명 스팟의 사이즈를 최소화하기 위해 웨이퍼(101)와 관련하여 틸트 시프트된다. 반사성 광학 엘리먼트(265)는 샤임플러그 조건에 따라 조명 소스(110) 및 웨이퍼(101)와 관련하여 배열된다.
하나의 실시형태에서, 도 9에서 묘사되는 바와 같이, 조명 소스(110)는, 웨이퍼(101)의 표면 상으로 투영되는 조명 스팟의 사이즈를 최소화하기 위해, 웨이퍼(101)와 관련하여 틸트 시프트된다. 반사성 광학 엘리먼트(265, 261, 및 262)는 샤임플러그 조건에 따라 조명 소스(110) 및 웨이퍼(101)와 관련하여 배열된다. 이러한 방식으로, 조명 소스(110)는 웨이퍼(101)와 관련하여 틸트 시프트되지만, 그러나 조명 서브시스템의 초점의 평면은 웨이퍼(101)의 표면과 정렬된다. 결과적으로, 조명 광(263)은 웨이퍼(101) 표면 상의 조명된 영역의 전체 영역에 걸쳐 포커싱된다.
도 9는 반사성 엘리먼트(261 및 262)를 포함하는 반사성 대물 렌즈에 진입하는 조명 광(263)의 빔의 광학 축과 관련하여 기울어진 각도에서 배향되는 조명 어퍼쳐(예를 들면, 조명 슬릿(264))를 갖는 조명 서브시스템(260)을 묘사한다. 몇몇 실시형태에서, 조명 어퍼쳐(264)는, 조명 어퍼쳐(264)의 이미지 평면(267), 반사성 엘리먼트(261 및 262)를 포함하는 대물 렌즈의 주 평면, 및 시료(101)의 표면 평면(266)이 공통 라인(268)을 따라 교차하도록 배향된다. 이 구성은 샤임플러그 조건을 충족한다. 샤임플러그 조건은, 오브젝트 평면(예를 들면, 시료(101)의 표면) 또는 이미지 평면(예를 들면, 조명 어퍼쳐(264)의 이미지 평면) 중 어느 하나가 서로에 대해 기울어지는 경우, 모든 필드 높이에 대한 기하학적 형상의 이미지 조건을 충족하기 위해, 이미징 시스템(예를 들면, 반사성 엘리먼트(261 및 262)를 포함하는 대물 렌즈)의 주 평면까지의 오브젝트 및 이미지 둘 모두의 거리는 오브젝트 높이에 따라 변할 필요가 있다는 것을 식별한다. 도 9에서 묘사되는 바와 같이, 샤임플러그 조건을 충족하는 조명 어퍼쳐(264)의 이미지 평면의 방위에서, 조명 어퍼쳐는 블러없이 시료(101)의 표면 상으로 이미지화된다(즉, 전체 필드에 걸쳐 초점이 맞다). 이것은 조명 스팟 사이즈에서의 유효한 감소를 초래한다.
일반적으로, 본원에서 설명되는 바와 같은 연질 x 선 기반의 계측 시스템의 조명 서브시스템은 하나 이상의 미러를 활용할 수도 있다. 몇몇 실시형태에서, 적어도 하나의 미러는 비구면 표면을 포함한다. 몇몇 실시형태에서, 적어도 하나의 미러는 자유 형태 표면을 포함한다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 조명 동공 어퍼쳐를 포함한다. 이들 실시형태 중 일부에서, 조명 동공 어퍼쳐(예를 들면, 조명 동공 어퍼쳐(113))는 도구 대 도구 하드웨어 매칭을 향상시키기 위해, 측정 충실도를 최적화하기 위해, 상이한 피측정 시료에 대한 광 스루풋을 최적화하기 위해, 회절 차수 크로스토크를 최소화하기 위해, 또는 이들의 임의의 조합을 위해, 포지션 및 사이즈에서 조정 가능하다. 이들 실시형태 중 일부에서, 컴퓨팅 시스템(130)은 제어 커맨드를 조명 동공 어퍼쳐 서브시스템으로 전달한다. 조명 동공 어퍼쳐 서브시스템의 하나 이상의 액추에이터는, 조명 동공 어퍼쳐의 소망되는 사이즈, 형상, 또는 둘 모두를 달성하기 위해, 제어 커맨드에 응답하여 조명 동공 어퍼쳐 구조물의 사이즈, 형상, 또는 둘 모두를 변경한다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 조명 필드 어퍼쳐를 포함한다. 이들 실시형태 중 일부에서, 조명 필드 어퍼쳐(예를 들면, 조명 필드 어퍼쳐(112, 254, 또는 264))는 도구 대 도구 하드웨어 매칭을 향상시키기 위해, 측정 충실도를 최적화하기 위해, 상이한 피측정 시료에 대한 광 스루풋을 최적화하기 위해, 회절 차수 크로스토크를 최소화하기 위해, 또는 이들의 임의의 조합을 위해, 포지션 및 사이즈에서 조정 가능하다. 이들 실시형태 중 일부에서, 컴퓨팅 시스템(130)은 제어 커맨드를 조명 필드 어퍼쳐 서브시스템으로 전달한다. 조명 필드 어퍼쳐 서브시스템의 하나 이상의 액추에이터는, 조명 필드 어퍼쳐의 소망되는 사이즈, 형상, 또는 둘 모두를 달성하기 위해, 제어 커맨드에 응답하여 조명 필드 어퍼쳐 구조물의 사이즈, 형상, 또는 둘 모두를 변경한다.
도 10은 본원에서 설명되는 바와 같은 조명 서브시스템에 의해 50 마이크로미터×50 마이크로미터 반치전폭 강도의 조명 소스 사이즈로부터 피측정 시료 상으로 투영되는 조명 스팟의 형상 및 사이즈의 시뮬레이션을 예시하는 플롯(270)을 묘사한다. 도 10에서 예시되는 바와 같이, 웨이퍼에서의 예시적인 조명 스팟 사이즈는 20 마이크로미터×20 마이크로미터 미만인데, 적어도 2.5의 축소도를 나타낸다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 소망되는 스펙트럼 대역의 조명 광(예를 들면, 연질 x 선 광)을 투과시키고 바람직하지 않은 스펙트럼 대역의 방사선(예를 들면, 자외선, 가시, 적외선 광, 또는 이들의 임의의 조합)을 차단하는 필터를 포함한다.
추가적인 양태에서, 조명 광학기기 서브시스템(예를 들면, 도 1에서 묘사되는 포커싱 광학 엘리먼트(111))은 소스 방출을 수집하고 하나 이상의 불연속 파장 또는 스펙트럼 대역을 선택하고, 선택된 광을 소망되는 공칭 스침 입사각에서 시료(101) 상으로 포커싱한다.
공칭 스침 입사각은, 계측 타겟 경계 내에 남아 있는 동안, 신호 정보 내용을 최대화하기 위해 계측 타겟의 소망되는 침투를 달성하도록 선택된다. 경질 x 선의 임계 각도는 매우 작지만, 그러나 연질 x 선의 임계 각도는 상당히 더 크다. 이러한 추가적인 측정 유연성의 결과로서, SXR 측정은, 스침 입사각의 정확한 값에 대한 더 적은 민감도를 가지고 구조물 안으로 더 깊게 조사된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템은 0 도 내지 30 도의 범위 내의 공칭 스침 입사각에서 피측정 시료의 표면 상의 측정 스팟으로 조명 소스로부터의 일정량의 조명 광을 지향시키도록 구성된다. 몇몇 실시형태에서, 조명 광학기기 서브시스템은 10 도 내지 20 도의 범위 내의 공칭 스침 입사각에서 피측정 시료의 표면 상의 측정 스팟으로 조명 소스로부터의 일정량의 조명 광을 지향시키도록 구성된다.
몇몇 실시형태에서, 조명 광학기기 서브시스템(예를 들면, 도 1에서 묘사되는 포커싱 광학 엘리먼트(111))은 시료(101) 상으로의 투영을 위한 소망되는 파장 또는 파장의 범위를 선택하는 단계적 다층 코팅을 포함한다. 몇몇 예에서, 포커싱 광학기기(111)는, 일정 범위의 입사각에 걸쳐 하나의 파장을 선택하고 선택된 파장을 시료(101) 상으로 투영하는 단계적 다층 코팅 구조물을 포함한다. 몇몇 예에서, 포커싱 광학기기(111)는, 하나의 입사각에 걸쳐 일정 범위의 파장을 선택하고 선택된 파장을 하나의 시료(101) 상으로 투영하는 단계적 다층 코팅 구조물을 포함한다. 몇몇 예에서, 포커싱 광학기기(111)는, 일정 범위의 입사각에 걸쳐 일정 범위의 파장을 선택하고 선택된 입사 파장을 시료(101) 상으로 투영하는 단계적 다층 코팅 구조물을 포함한다.
광의 스루풋을 최대화하기 위해서는, 단계적 다층 광학기기가 선호된다. 일반적으로, 다층 광학기기는 반사된 파장을 선택한다. 선택된 파장의 스펙트럼 대역폭은 시료(101)에 제공되는 플럭스, 측정된 회절 차수의 정보 내용을 최적화하고, 검출기에서의 각도 분산 및 회절 피크 중첩을 통해 신호의 열화를 방지한다. 또한, 발산을 제어하기 위해 단계적 다층 광학기기가 활용된다. 각각의 파장에서의 각도 발산은 검출기에서의 최소 공간 중첩 및 플럭스에 대해 최적화된다.
몇몇 예에서, 단계적 다층 광학기기는, 특정한 재료 계면 또는 구조적 치수로부터의 회절 신호의 콘트라스트 및 정보 내용을 향상시키기 위해 파장을 선택한다. 예를 들면, 선택된 파장은 엘리먼트 고유의 공진 영역(예를 들면, 실리콘 K 에지(K-edge), 질소, 산소 K 에지, 등등)에 걸치도록 선택될 수도 있다. 또한, 이들 예에서, 조명 소스는 선택된 스펙트럼 영역(예를 들면, HHG 스펙트럼 튜닝(tuning), LSP 레이저 튜닝, 등등)에서 플럭스를 최대화하도록 또한 조정될 수도 있다.
몇몇 다른 예에서, 측정시에 이용 가능한 사전 구조 정보가 거의 없거나 또는 전혀 없다. 이들 예에서, 흡수 에지에 걸친 회절 패턴의 측정을 가능하게 하기 위해, 다수의 파장(예를 들면, 3-4 개)이 선택된다. 측정된 신호는, 예를 들면, 다수의 파장 이상 회절 기술(wavelength anomalous diffraction technique)을 사용하여, 피측정 구조물의 원소 조성을 제외한 어떠한 사전 정보도 없는 구조적 속성의 모델이 없는 측정(model-free measurement)을 가능하게 한다. 모델이 없는 측정에 기초하여 구조적 속성을 추정한 이후, 모델 기반의 측정 기술을 사용하여 파라미터 추정치가 추가로 개선될(refined) 수도 있다.
몇몇 예에서, 피측정 계측 타겟의 이상 산란 인자(즉, 산란 속성)는 선험적으로 알려져 있지 않다. 이들 예에서, 막 다층 반사율(film multilayer reflectivity)은 다수의 공진 파장에서 측정된다. 브래그(Bragg) 피크의 각도 편위(angular excursion)는 이상 산란 인자를 추출하기에 충분한 정보를 제공한다.
몇몇 예에서, 비공명 x 선 반사율 측정은 모델 기반의 측정의 피팅(fitting)을 개선하는 다층 주기 및 인터페이스 조도 파라미터의 독립적인 추정치를 제공한다. 몇몇 실시형태에서, 결합된 계측 도구는, 측정 스루풋을 향상시키기 위해, 본원에서 설명되는 바와 같은 다중 파장 SXR 회절 서브시스템 및 x 선 반사 측정법 서브시스템을 포함한다. 하나의 실시형태에서, 다중 파장 SXR 회절 서브시스템 및 x 선 반사 측정법 서브시스템은, 피측정 시료 또는 광학 측정 서브시스템 중 어느 하나를 이동시킬 필요 없이 동시 측정 또는 순차적 측정을 가능하게 하는 직교하는 입사 평면을 활용한다. 몇몇 실시형태에서, SXR 다층 미러에 의해 제공되는 AOI 범위가 x 선 반사 측정법에 대해 너무 작은 경우, 입사각의 범위를 확장시키기 위해 웨이퍼 회전, 검출기 회전, 또는 둘 모두가 활용될 수도 있다.
몇몇 실시형태에서, 포커싱 광학기기(111)는 타원형 표면 형상을 각각 구비하는 복수의 반사성 광학 엘리먼트를 포함한다. 각각의 반사성 광학 엘리먼트는 기판 및 상이한 파장 또는 파장의 범위를 반사하도록 조정되는 다층 코팅을 포함한다. 몇몇 실시형태에서, 상이한 파장 또는 파장의 범위를 각각 반사하는 복수의 반사성 광학 엘리먼트(예를 들면, 1 내지 5 개)가 각각의 입사각에서 배열된다. 추가적인 실시형태에서, 상이한 파장 또는 파장의 범위를 각각 반사하는 반사성 광학 엘리먼트의 다수의 세트(예를 들면, 2 내지 5 개)가 상이한 입사각에서 세트에서 각각 배열된다. 몇몇 실시형태에서, 반사성 광학 엘리먼트의 다수의 세트는 측정 동안 시료(101) 상으로 조명 광을 동시에 투영한다. 몇몇 다른 실시형태에서, 반사성 광학 엘리먼트의 다수의 세트는 측정 동안 시료(101) 상으로 조명 광을 순차적으로 투영한다. 이들 실시형태에서, 시료(101) 상으로 투영되는 조명 광을 제어하기 위해 능동 셔터 또는 어퍼쳐가 활용된다.
몇몇 실시형태에서, 포커싱 광학기기(111)는 동일한 계측 타겟 영역 상에서 다수의 파장, 방위각 및 AOI에서 광을 포커싱한다. 도 2는 세그먼트화된 구성으로 빔 축(A) 주위에 배치되는 네 개의 미러 엘리먼트(150A-150D)를 포함하는 포커싱 광학기기(150)의 단부 뷰(즉, 빔 축을 따르는 단부 뷰)를 묘사한다. 각각의 미러 엘리먼트는 상이한 파장 또는 파장의 범위를 반사하도록 조정되는 다층 코팅을 포함한다. 몇몇 실시형태에서, 각각의 미러 엘리먼트(150A-D)는 균일한 다층 설계를 포함한다(즉, 특정한 미러 엘리먼트의 표면은 그 특정한 미러 엘리먼트의 전체 미러 표면 영역에 걸쳐 동일한 파장 또는 파장의 범위를 반사한다). 몇몇 다른 실시형태에서, 각각의 미러 엘리먼트는 불균일한 다층 설계를 포함한다(즉, 미러 엘리먼트에 의해 반사되는 파장 또는 파장의 범위는 미러 표면 상에서의 입사의 위치에 의존한다). 이들 실시형태 중 일부에서, 각각의 미러 엘리먼트는 형상이 타원형이고, 일정 범위의 입사각에 걸쳐 조명 광을 시료(101)에 투영한다. 비록, 도 2가 네 개의 미러 엘리먼트를 묘사하지만, 일반적으로, 포커싱 광학기기는 동일한 계측 타겟 영역 상에서 다수의 파장, 방위각 및 AOI에서 광을 포커싱하도록 배열되는 임의의 수의 미러 엘리먼트를 포함할 수도 있다. 몇몇 다른 실시형태에서, 포커싱 광학기기는 입사 평면에 네스트화되는 다수의 미러 엘리먼트(즉, 네스트화된 볼터 구성)를 포함한다.
몇몇 예에서, x 선 광학기기는 다층 x 선 광학기기를 사용하여 시료(101)의 측정 영역(102) 상으로의 x 선 빔을 1 밀리라디안 미만의 발산으로 시준 또는 집속한다.
몇몇 실시형태에서, x 선 광학기기는, 하나 이상의 x 선 시준용 미러(x-ray collimating mirror), x 선 어퍼쳐(aperture), x 선 빔 스톱(x-ray beam stop), 굴절 x 선 광학기기, 구역 플레이트와 같은 회절 광학기기, 슈바르츠실트(Schwarzschild) 광학기기, 커크패트릭-바에즈(Kirkpatrick-Baez) 광학기기, 몬텔(Montel) 광학기기, 볼터(Wolter) 광학기기, 구면 미러, 타원체 미러와 같은 거울반사 x 선 광학기기(specular x-ray optic), 중공 모세관 x 선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학기기(polycapillary optic), 다층 광학기기 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가적인 세부 사항은 미국 특허 공개 공보 번호 제2015/0110249호에서 설명되는데, 이 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
추가적인 양태에서, 동일한 계측 영역 상으로 동시에, 순차적으로, 또는 이들의 조합으로 투영되는 파장의 범위, AOI, 방위각, 또는 이들의 임의의 조합은 포커싱 광학기기의 하나 이상의 미러 엘리먼트를 능동적으로 배치하는 것에 의해 조정된다. 도 1에서 묘사되는 바와 같이, 컴퓨팅 시스템(130)은, 시료(101) 상으로 투영되는 소망되는 범위의 파장, AOI, 방위각 또는 이들의 임의의 조합을 달성하기 위해, 액추에이터 시스템(115)으로 하여금, 포커싱 광학기기(111)의 광학 엘리먼트 중 하나 이상의 포지션, 정렬, 또는 둘 모두를 조정하게 하는 커맨드 신호(137)를 액추에이터 시스템(115)으로 전달한다.
일반적으로, 입사각 또는 입사각의 범위는 피측정 계측 타겟에 의한 조명 광의 침투 및 흡수를 최적화하기 위해 각각의 파장에 대해 선택된다. 많은 예에서, 다중 층 구조물이 측정되고, 소망되는 관심 층과 관련되는 신호 정보를 최대화하도록 입사각이 선택된다. 오버레이 계측의 예에서, 파장(들) 및 입사각(들)은, 이전 층으로부터의 산란과 현재 층으로부터의 산란 사이의 간섭으로부터 유래하는 신호 정보를 최대화하도록 선택된다. 또한, 신호 정보 내용을 최적화하기 위해 방위각도 또한 선택된다. 또한, 방위각은 검출기에서 회절 피크의 각도 분리를 보장하도록 선택된다.
추가적인 양태에서, SXR 기반의 계측 시스템(예를 들면, 계측 도구(100))은 시료(101) 상에 입사하는 조명 빔(114)을 성형하기 위해 그리고 차단되지 않으면 피측정 계측 타겟을 조명할 조명 광의 일부를 선택적으로 차단하기 위해 하나 이상의 빔 슬릿 또는 어퍼쳐를 포함한다. 하나 이상의 빔 슬릿은, x 선 조명 스팟이 피측정 계측 타겟의 영역 내에 피팅되도록, 빔 사이즈 및 형상을 정의한다. 또한, 하나 이상의 빔 슬릿은, 검출기 상의 회절 차수의 중첩을 최소화하도록 조명 빔 발산을 정의한다.
다른 추가적인 양태에서, SXR 기반의 계측 시스템(예를 들면, 계측 도구(100))은, 피측정 계측 타겟을 동시에 조명하는 조명 파장의 세트를 선택하기 위한 하나 이상의 빔 슬릿 또는 어퍼쳐를 포함한다. 몇몇 실시형태에서, 다수의 파장을 포함하는 조명은 피측정 계측 타겟에 동시에 입사된다. 이들 실시형태에서, 하나 이상의 슬릿은 다수의 조명 파장을 포함하는 조명을 통과시키도록 구성된다. 일반적으로, 피측정 계측 타겟의 동시적 조명은 신호 정보 및 스루풋을 증가시키는 데 바람직하다. 그러나, 실제로, 검출기에서의 회절 차수의 중첩은 조명 파장의 범위를 제한한다. 몇몇 실시형태에서, 하나 이상의 슬릿은 상이한 조명 파장을 순차적으로 통과시키도록 구성된다. 몇몇 예에서, 더 큰 각도 발산에서의 순차적인 조명은 더 높은 스루풋을 제공하는데, 그 이유는, 빔 발산이 더 큰 경우 동시적 조명과 비교하여 순차적 조명에 대한 신호 대 노이즈 비율이 더 높을 수도 있기 때문이다. 측정이 순차적으로 수행되는 경우 회절 차수의 중첩의 문제는 이슈가 되지 않는다. 이것은 측정 유연성을 증가시키고 신호 대 노이즈 비율을 향상시킨다.
도 1은 포커싱 광학기기(111)와 웨이퍼(101) 사이의 빔 경로에서 위치되는 빔 제어 슬릿(112 및 113)을 묘사한다. 하나의 실시형태에서, 빔 제어 슬릿(112)은 웨이퍼(101) 상의 조명 스팟의 사이즈 및 형상을 제어하기 위해 활용되는 조정 가능한 필드 어퍼쳐이다. 또한, 빔 제어 슬릿(113)은 웨이퍼(101) 상의 조명 스팟의 개구수(numerical aperture)를 제어하기 위해 활용되는 조정 가능한 동공 어퍼쳐이다. 몇몇 실시형태에서, 빔 제어 슬릿(112)은 입사 빔(114)의 조명 파장(들)을 선택한다. 하나의 양태에서, 빔 형성 슬릿(113)의 슬릿은 빔 발산에 기인하는 입사 빔 스팟 사이즈의 확대를 최소화하기 위해 시료(101)에 매우 근접하게 위치된다.
몇몇 실시형태에서, 빔 성형 슬릿(112)은 다수의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 하나의 실시형태에서, 빔 성형 슬릿(112)은 네 개의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 이들 네 개의 빔 성형 슬릿은, 유입하는 빔의 일부를 효과적으로 차단하고 박스 형상의 조명 단면을 갖는 조명 빔(114)을 생성한다.
빔 성형 슬릿(112)의 슬릿은, 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료로 구성된다. 예시적인 재료는, 게르마늄, 갈륨 비화물, 인듐 인화물, 등등과 같은 단결정 재료를 포함한다. 통상적으로, 슬릿 재료는, 구조적 경계를 가로지르는 산란을 최소화하기 위해, 톱질되기 보다는, 결정학적 방향(crystallographic direction)을 따라 쪼개진다. 또한, 슬릿은, 유입하는 방사선과 슬릿 재료의 내부 구조물 사이의 상호 작용이 최소 양의 산란을 생성하도록, 유입하는 빔과 관련하여 배향된다. 결정은, 슬릿의 한쪽 면 상에서의 x 선 빔의 완전한 차단을 위해, 고밀도 재료(예를 들면, 텅스텐)로 만들어진 각각의 슬릿 홀더에 부착된다.
추가적인 양태에서, SXR 기반의 계측 시스템(예를 들면, 계측 도구(100))은 수집 동공 어퍼쳐를 포함하는 수집 광학기기 서브시스템을 포함한다. 몇몇 실시형태에서, 수집 동공 어퍼쳐는, 도구 대 도구 하드웨어 매칭을 향상시키기 위해, 측정 충실도를 최적화하기 위해, 그리고 상이한 피측정 시료에 대한 광 스루풋을 최적화하기 위해 포지션 및 사이즈에서 조정 가능하다.
다른 추가적인 양태에서, SXR 기반의 계측 시스템은 X 선 검출기를 포함한다. 도 1에서 묘사되는 바와 같이, X 선 검출기(119)는 시료(101)로부터 산란되는 x 선 방사선(118)을 수집하여, SXR 기반의 측정 모달리티에 따라 입사 x 선 방사선에 민감한 시료(101)의 속성을 나타내는 출력 신호(135)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(118)은 x 선 검출기(119)에 의해 수집되고, 한편 시료 위치 결정 시스템(140)은 각도 분해된 산란된 x 선을 생성하도록 시료(101)를 위치시키고 배향시킨다.
몇몇 실시형태에서, SXR 기반의 계측 시스템은 높은 다이나믹 레인지(예를 들면, 105보다 더 큼)를 갖는 하나 이상의 광자 카운팅 검출기(photon counting detector)를 포함한다. 몇몇 실시형태에서, 단일의 광자 카운팅 검출기는 검출된 광자의 위치 및 수를 검출한다.
몇몇 실시형태에서, x 선 검출기는 하나 이상의 x 선 광자 에너지를 분해하고, 시료의 속성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 몇몇 실시형태에서, x 선 검출기(119)는, CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내의 X 선 광자 상호 작용은, 픽셀 위치 및 카운트의 수 외에 에너지에 의해 구별된다. 몇몇 실시형태에서, X 선 광자 상호 작용은, X 선 광자 상호 작용의 에너지를, 미리 결정된 상위 임계 값 및 미리 결정된 더 낮은 임계 값과 비교하는 것에 의해 구별된다. 하나의 실시형태에서, 이 정보는 추가 프로세싱 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.
다수의 조명 파장을 갖는 주기적 타겟의 동시 조명으로부터 유래하는 회절 패턴은 회절에서의 각도 분산에 기인하여 검출기 평면에서 분리된다. 이들 실시형태에서, 적분 검출기(integrating detector)가 활용된다. 회절 패턴은 영역 검출기, 예를 들면, 진공 호환 후면 CCD(vacuum-compatible backside CCD) 또는 하이브리드 픽셀 어레이 검출기(hybrid pixel array detector)를 사용하여 측정된다. 각도 샘플링은 브래그 피크 통합에 대해 최적화된다. 픽셀 레벨 모델 피팅(pixel level model fitting)이 활용되는 경우, 각도 샘플링은 신호 정보 내용에 대해 최적화된다. 샘플링 레이트는 0 차 신호의 포화를 방지하기 위해 선택된다.
추가적인 양태에서, SXR 기반의 계측 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 1에서 묘사되는 바와 같이, 계측 도구(100)는, 검출기(119)에 의해 생성되는 신호(135)를 획득하도록 그리고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하도록 활용되는 컴퓨팅 시스템(130)을 포함한다.
몇몇 예에서, SXR 조명 기초한 계측은, 측정된 데이터를 갖는 미리 결정된 측정 모델의 역 솔루션(inverse solution)에 의해 샘플의 치수를 결정하는 것을 수반한다. 측정 모델은 몇몇(대략 열 개) 조정 가능한 파라미터를 포함하며 시료의 기하학적 형상과 광학적 속성 및 측정 시스템의 광학적 속성을 나타낸다. 역 솔루션의 방법은, 모델 기반의 회귀, 단층 촬영, 머신 러닝, 또는 이들의 임의의 조합을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 이러한 방식에서, 타겟 프로파일 파라미터는, 측정된 산란된 x 선 강도와 모델링된 결과 사이의 에러를 최소화하는 파라미터로 표현된 측정 모델(parameterized measurement model)의 값을 분해하는 것에 의해 추정된다.
측정된 파라미터 값의 정밀도 및 정확도를 증가시키기 위해, 큰 범위의 파장, 입사각 및 방위각에서 측정을 수행하는 것이 바람직하다. 이 접근법은, 분석에 대해 이용 가능한 데이터 세트의 수 및 다양성을 확장시키는 것에 의해 파라미터 사이의 상관 관계를 감소시킨다.
웨이퍼 표면 법선에 대한 x 선 입사각 및 조명 파장의 함수로서의 회절 방사선의 강도의 측정치가 수집된다. 다수의 회절 차수에 포함되는 정보는 통상적으로 고려 하에 있는 각각의 모델 파라미터 사이에서 고유하다. 따라서, x 선 산란은 작은 에러 및 감소된 파라미터 상관 관계를 갖는 관심 파라미터의 값에 대한 추정 결과를 산출한다.
반도체 웨이퍼(101)의 표면 법선에 대한 조명 x 선 빔(114)의 각각의 방위는, x 선 조명 빔(114)에 대한 웨이퍼(101)의 임의의 두 개의 각도 회전에 의해 설명되거나, 또는 그 반대도 가능하다. 하나의 예에서, 방위는 웨이퍼에 고정되는 좌표 시스템과 관련하여 설명될 수 있다. 도 3은 입사각(θ) 및 방위각(φ)에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(114)을 묘사한다. 좌표 프레임 XYZ는, 계측 시스템(예를 들면, 조명 빔(114))에 고정되고 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면에서 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'는 웨이퍼(101)의 표면과 정렬되는 평면 내에 있다. 도 3에서 묘사되는 바와 같이, x 선 조명 빔(114)은 Z 축과 정렬되고 따라서 XZ 평면 내에 놓인다. 입사각(θ)은 XZ 평면에서 웨이퍼의 표면 법선에 대한 x 선 조명 빔(114)의 방위를 설명한다. 더구나, 방위각(φ)은, X'Z' 평면에 대한 XZ 평면의 방위를 설명한다. 정리하면, θ 및 φ는, 웨이퍼(101)의 표면에 대한 x 선 조명 빔(114)의 방위를 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 하는 회전 및 웨이퍼(101)의 표면과 정렬되는 축(즉, Y 축)을 중심으로 하는 회전에 의해 설명된다. 몇몇 다른 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면과 정렬되는 제1 축 및 웨이퍼(101)의 표면과 정렬되며 제1 축에 수직인 다른 축을 중심으로 하는 회전에 의해 설명된다.
다른 양태에서, 계측 도구(100)는, 웨이퍼(101)를 고정 지지하며 시료 위치 결정 시스템(140)에 커플링되는 웨이퍼 척(wafer chuck)(103)을 포함한다. 시료 위치 결정 시스템(140)은 조명 빔(114)에 대하여 6 자유도에서 시료(101)를 능동적으로 배치하도록 구성된다. 하나의 예에서, 컴퓨팅 시스템(130)은 시료(101)의 소망되는 위치를 나타내는 시료 위치 결정 시스템(140)에 커맨드 신호(도시되지 않음)를 전달한다. 응답에서, 시료 위치 결정 시스템(140)은, 시료(101)의 소망되는 위치 결정을 달성하기 위해 시료 위치 결정 시스템(140)의 다양한 액추에이터로의 커맨드 신호를 생성한다.
SXR은 설계 규칙 타겟에 대한 오버레이 측정을 가능하게 하는데, 그 이유는 조명 파장(들)이 측정된 구조물의 주기보다 더 짧기 때문이다. 이것은 오버레이가 설계 규칙 타겟보다 더 크게 측정되는 현존하는 기술에 비해 상당한 이점을 제공한다. SXR 파장의 사용은 프로세스 설계 규칙에서의 타겟 설계, 즉 "넌제로 오프셋"이 없는 타겟 설계를 허용한다.
SXR 기반의 측정을 위한 오버레이 계측 타겟은 1 차원 주기적 어레이 또는 2 차원 주기적 어레이를 포함할 수도 있다. 1 차원 타겟은 입사면을 따라 큰 각도 발산을 나타내어, 플럭스 및 스루풋을 증가시킨다. 2 차원 타겟의 경우, 회절의 각도 분산은 두 개의 평면 내 축(in-plane axis)에 대해 동일하지 않다. 따라서, 입사면에 평행한 샘플 방향에 대해, 추가적인 수퍼 주기(super-period)가 부과될 수도 있다. 이들 예에서, 웨이퍼를 회전시키는 것 및 동일한 타겟에 대해 단일의 서브시스템에 의해 순차적인 직교 측정을 수행하는 것이 유리할 수도 있다.
다른 추가적인 양태에서, SXR 기반의 측정을 위한 오버레이 계측 타겟은 오버레이 및 임계 치수 둘 모두를 측정하기 위해 활용될 수도 있다. 이것은, 엔드 라인 단축, 라인 대 접촉 거리, 등등과 같은, 에지 배치 에러(Edge Placement Errors; EPE)의 측정을 또한 가능하게 한다.
몇몇 실시형태에서, x 선 조명 소스(110), 집속 광학기기(111), 슬릿(112 및 113), 또는 이들의 임의의 조합은, 시료(101)과 동일한 대기 환경(예를 들면, 가스 퍼지 환경(gas purge environment))에서 유지된다. 그러나, 몇몇 실시형태에서, 공기 중에서의 x 선 산란은 검출기에 대한 이미지에 노이즈를 제공한다. 그러므로, 몇몇 실시형태에서, x 선 조명 소스(110), 포커싱 광학기기(111) 및 슬릿(112 및 113) 중 임의의 것은 국소화된 진공 환경에서 유지된다. 몇몇 실시형태에서, 조명 소스(110), 포커싱 광학기기(111), 및 슬릿(112 및 113)은 배기된 비행 튜브(evacuated flight tube) 내의 제어된 환경(예를 들면, 진공)에서 유지된다. 조명 빔(114)은 시료(101)에 입사하기 이전에 비행 튜브의 끝에 있는 윈도우를 통과한다.
유사하게, 몇몇 실시형태에서, 시료(101)와 검출기(119) 사이의 광학 경로 길이(즉, 수집 빔 경로)는 길고 공기 중에서의 x 선 산란은 검출기에 대한 이미지에 노이즈를 제공한다. 따라서, 바람직한 실시형태에서, 시료(101)와 검출기(119) 사이의 수집 빔 경로 길이의 상당 부분은 진공 윈도우(예를 들면, 진공 윈도우(124))에 의해 시료(예를 들면, 시료(101))로부터 분리되는 국소화된 진공 환경에서 유지된다. 몇몇 실시형태에서, x 선 검출기(119)는 시료(101)와 검출기(119) 사이의 빔 경로 길이와 동일한 국소화된 진공 환경에서 유지된다. 예를 들면, 도 1에서 묘사되는 바와 같이, 진공 챔버(123)는 검출기(119) 및 시료(101)와 검출기(119) 사이의 빔 경로 길이의 상당 부분을 둘러싸는 국소화된 진공 환경을 유지한다.
몇몇 다른 실시형태에서, x 선 검출기(119)는 시료(101)와 동일한 대기 환경(예를 들면, 가스 퍼지 환경)에서 유지된다. 이것은 검출기(119)로부터 열을 제거하는 데 유리할 수도 있다. 그러나, 이들 실시형태에서, 진공 챔버 내의 국소화된 진공 환경에서 시료(101)와 검출기(119) 사이의 빔 경로 길이의 상당 부분을 유지하는 것이 바람직하다.
몇몇 실시형태에서, 시료(101)를 비롯한 전체 광학 시스템은 진공으로 유지된다. 그러나, 일반적으로, 시료(101)를 진공에서 유지하는 것과 관련되는 비용은, 시료 위치 결정 시스템(140)의 구성과 관련되는 복잡성에 기인하여 높다.
다른 추가적인 양태에서, 컴퓨팅 시스템(130)은 시료의 측정된 구조물의 구조적 모델(예를 들면, 기하학적 형상 모델, 재료 모델, 또는 결합된 기하학적 형상 및 재료 모델)을 생성하도록, 구조적 모델로부터의 적어도 하나의 기하학적 형상 파라미터를 포함하는 SXR 응답 모델을 생성하도록, 그리고 SXR 응답 모델을 사용한 SXR 측정 데이터의 피팅 분석을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 분해하도록 구성된다. 분석 엔진은, 시뮬레이팅된 SXR 신호를 측정된 데이터와 비교하고 그에 의해 기하학적 형상 속성뿐만 아니라 샘플의 전자 밀도와 같은 재료 속성의 결정을 허용하기 위해 사용된다. 도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 본원에서 설명되는 바와 같은 모델 구축 및 분석 기능성(functionality)을 구현하도록 구성되는 모델 구축 및 분석 엔진으로서 구성된다.
도 11는 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(180)을 나타내는 다이어그램이다. 도 11에서 묘사되는 바와 같이, 모델 구축 및 분석 엔진(180)은, 시료의 측정된 구조물의 구조 모델(182)을 생성하는 구조 모델 구축 모듈(structural model building module)(181)을 포함한다. 몇몇 실시형태에서, 구조적 모델(182)은 또한 시료의 재료 속성을 포함한다. 구조적 모델(182)은 SXR 응답 함수 구축 모듈(183)에 대한 입력으로서 수신된다. SXR 응답 함수 구축 모듈(183)은 구조 모델(182)에 적어도 부분적으로 기초하여 SXR 응답 함수 모델(184)을 생성한다. 몇몇 예에서, SXR 응답 함수 모델(184)은, 구조물 계수로서 또한 공지되어 있는 다음의 x 선 폼팩터(form factor)에 기초하는데,
Figure pct00001
여기서, F는 폼팩터이고, q는 산란 벡터이며, ρ(r)은 구면 좌표(spherical coordinate)에서의 시료의 전자 밀도이다. 그러면, x 선 산란 강도는, 다음의 식에 의해 주어진다
Figure pct00002
SXR 응답 함수 모델(184)은 피팅 분석 모듈(185)에 대한 입력으로서 수신된다. 피팅 분석 모듈(185)은 모델링된 SXR 응답을 대응하는 측정된 데이터와 비교하여, 시료의 기하학적 형상의 속성뿐만 아니라 재료 속성을 결정한다.
몇몇 예에서, 실험 데이터에 대한 모델링된 데이터의 피팅은 카이 제곱 값(chi-squared value)을 최소화하는 것에 의해 달성된다. 예를 들면, SXR 기반의 측정의 경우, 카이 제곱 값은 다음과 같이 정의될 수 있는데,
Figure pct00003
여기서,
Figure pct00004
는 "채널" j에서의 측정된 SXR 신호(126)인데, 인덱스 j는 회절 차수, 에너지, 각도 좌표, 등등과 같은 시스템 파라미터의 세트를 설명한다.
Figure pct00005
는, 구조물(타겟) 파라미터(v1, ..., vL)의 세트에 대해 평가되는, "채널" j에 대한 모델링된 SXR 신호(Sj)인데, 여기서 이들 파라미터는 기하학적 형상(예를 들면, CD, 측벽 각도, 오버레이, 등등) 및 재료(전자 밀도, 등등)를 설명한다. σSAXS,j는 j 번째 채널과 관련되는 불확실성이다. NSAXS는 x 선 계측에서의 채널의 총 수이다. L은 계측 타겟을 특성 묘사하는 파라미터의 수이다.
식 (3)은 상이한 채널과 관련되는 불확실성이 상관되지 않는다는 것을 가정한다. 상이한 채널과 관련되는 불확실성이 상관되는 예에서, 불확실성 사이의 공분산이 계산될 수 있다. 이들 예에서, SXR 기반의 측정을 위한 카이 제곱 값은 다음과 같이 표현될 수 있는데
Figure pct00006
여기서, VSAXS는 SAXS 채널 불확실성의 공분산 매트릭스이고, T는 전치 행렬(transpose)을 나타낸다.
몇몇 예에서, 피팅 분석 모듈(185)은 SXR 응답 모델(184)을 사용한 SXR 측정 데이터(135)에 대해 피팅 분석을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 분해한다. 몇몇 예에서, 가 최적화된다.
본원의 상기에서 설명되는 바와 같이, SXR 계측 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, SXR 계측 데이터의 피팅은 다른 함수에 의해 달성될 수도 있다.
SXR 계측 데이터의 피팅은, 주목하는 기하학적 형상 및/또는 재료 파라미터에 대한 감도를 제공하는 임의의 타입의 SXR 기술에 대해 유리하다. 시료 파라미터는, 시료와의 SXR 빔 상호 작용을 설명하는 적절한 모델이 사용되는 한, 결정론적일 수 있거나(예를 들면, CD, SWA, 등등) 또는 통계적일 수 있다(예를 들면, 측벽 조도의 rms 높이, 조도 상관 길이, 등등).
일반적으로, 컴퓨팅 시스템(130)은, 실시간 임계 치수 기입(Real Time Critical Dimensioning; RTCD)을 활용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 그것은 시료(101)와 관련되는 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수도 있다. 일반적으로, CD 엔진의 몇몇 형태는, 시료의 할당된 CD 파라미터와 측정된 시료와 관련되는 CD 파라미터 사이의 차이를 평가하기 위해 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 번호 제7,826,071호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
몇몇 예에서, 모델 구축 및 분석 엔진(180)은, 피드 사이드웨이 분석(feed sideways analysis), 피드 포워드 분석(feed forward analysis), 및 병렬 분석의 임의의 조합에 의해, 측정된 파라미터의 정확도를 향상시킨다. 피드 사이드웨이 분석은, 동일한 시료의 상이한 영역 상에서 다수의 데이터 세트를 취하고 제1 데이터세트로부터 결정되는 공통 파라미터를 분석을 위해 제2 데이터세트 상으로 전달하는 것을 가리킨다. 피드 포워드 분석은, 상이한 시료 상에서 데이터 세트를 취하고 공통 파라미터를 단계별 사본의 정확한 파라미터 피드 포워드 접근법(a stepwise copy exact parameter feed forward approach)을 사용하여 후속하는 분석으로 순방향으로 전달하는 것을 의미한다. 병렬 분석은, 피팅 동안 적어도 하나의 공통 파라미터가 커플링되는 다수의 데이터세트에 대한 비선형 피팅 방법론의 병렬 또는 동시 적용을 가리킨다.
다수의 도구 및 구조물 분석은, 회귀 분석, 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터세트의 다른 피팅 프로시져에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 가리킨다. 다수의 도구 및 구조물 분석을 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2009년 1월 13일에 발행된 미국 특허 번호 제7,478,019호에서 설명되는데, 이 미국 특허의 전체 내용은 참조에 의해 본원에 통합된다.
다른 추가적인 양태에서, 하나 이상의 관심 파라미터의 값의 초기 추정치는, 측정 타겟에 대한 입사 x 선 빔의 단일의 방위에서 수행되는 SXR 측정에 기초하여 결정된다. 초기의 추정된 값은, 다수의 방위에서 SXR 측정으로부터 수집되는 측정 데이터를 사용한 측정 모델의 회귀에 대한 관심 파라미터의 시작 값으로 구현된다. 이러한 방식에서, 관심 파라미터의 가까운 추정치가 상대적으로 적은 양의 계산 노력으로 결정되고, 이 가까운 추정치를 훨씬 더 큰 데이터 세트에 걸친 회귀에 대한 시작점으로서 구현하는 것에 의해, 더 적은 전체적인 계산 노력으로, 관심 파라미터의 개선된 추정치가 획득된다.
다른 양태에서, 계측 도구(100)는 본원에서 설명되는 바와 같이 빔 제어 기능성을 구현하도록 구성되는 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(130))을 포함한다. 도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 입사 조명 빔(114)의 강도, 발산, 스팟 사이즈, 편광, 스펙트럼, 및 위치 결정과 같은 조명 속성 중 임의의 것을 제어하도록 동작 가능한 빔 컨트롤러로서 구성된다.
도 1에서 예시되는 바와 같이, 컴퓨팅 시스템(130)은 검출기(119)에 통신 가능하게 커플링된다. 컴퓨팅 시스템(130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 하나의 예에서, 측정 데이터(135)는 시료의 측정된 응답의 표시(indication)(즉, 회절 차수의 강도)를 포함한다. 검출기(119)의 표면 상에서의 측정된 응답의 분포에 기초하여, 시료(101) 상에서의 조명 빔(114)의 입사의 위치 및 면적은 컴퓨팅 시스템(130)에 의해 결정된다. 하나의 예에서, 측정 데이터(135)에 기초하여 시료(101) 상에서의 조명 빔(114)의 입사의 위치 및 면적을 결정하기 위해, 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용된다. 몇몇 예에서, 컴퓨팅 시스템(130)은 소망되는 조명 파장을 선택하기 위해 커맨드 신호(136)를 x 선 조명 소스(110)에 전달한다. 몇몇 예에서, 컴퓨팅 시스템(130)은, 소망되는 빔 방향을 달성하도록 x 선 방출을 재지향시키기 위해, 커맨드 신호(137)를 액추에이터 서브시스템(115)으로 전달한다. 몇몇 예에서, 컴퓨팅 시스템(130)은, 입사 조명 빔(114)이 소망되는 빔 스팟 사이즈, 방위, 및 파장(들)을 가지고 시료(101)에 도달하도록, 빔 성형 슬릿(112 및 113)으로 하여금 빔 스팟 사이즈, 개구수를 변경하게 하고 조명 파장을 선택하게 하는 커맨드 신호(138 및 139)를 빔 성형 슬릿(112 및 113)으로 각각 전달한다. 하나의 예에서, 커맨드 신호(138 및 139)는, 입사 빔(114)을 소망되는 형상 및 사이즈로 재성형하기 위해 그리고 소망되는 파장을 선택하기 위해, 슬릿(112 및 113)과 관련되는 액추에이터로 하여금, 위치를 변경하게 한다. 몇몇 다른 예에서, 컴퓨팅 시스템(130)은, 입사 조명 빔(114)이 시료(101)와 관련하여 소망되는 위치 및 각도 방위에 도달하도록 시료(101)를 배치하고 배향하기 위한 커맨드 신호를 웨이퍼 위치 결정 시스템(140)으로 전달한다.
또 다른 양태에서, SXR 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정 구조물의 이미지를 생성하기 위해 사용된다. 몇몇 실시형태에서, SXR 응답 함수 모델은, 일반 전자 밀도 메쉬(generic electron density mesh)로부터의 산란을 설명하기 위해 일반화된다. 이 메쉬에서 모델링된 전자 밀도를 제한하여 연속성 및 희소한 에지를 강제하면서, 측정된 신호에 이 모델을 매치시키는 것은 샘플의 삼차원 이미지를 제공한다.
비록 SXR 측정에 기초한 임계 치수(CD) 계측에 대해 기하학적 형상의 모델 기반의 파라메트릭 반전(geometric, model-based, parametric inversion)이 바람직하지만, 동일한 SXR 측정 데이터로부터 생성되는 시료의 맵은, 측정된 시료가 기하학적 형상 모델의 가정치로부터 벗어날 때 모델 에러를 식별 및 보정하는 데 유용하다.
몇몇 예에서, 이미지는 동일한 산란 측정법 측정 데이터의 기하학적 형상의 모델 기반의 파라메트릭 반전에 의해 추정되는 구조적 특성에 비교된다. 불일치는, 측정된 구조물의 기하학적 형상 모델을 업데이트하기 위해 그리고 측정 성능을 향상시키기 위해 사용된다. 정확한 파라메트릭 측정 모델에 수렴하는 능력은, 집적 회로의 제조 프로세스를 제어, 모니터링, 및 문제 해결하기 위해 집적 회로를 측정할 때 특히 중요하다.
몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이들 재료 특성의 조합의 이차원(two dimensional; 2-D) 맵이다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이들 재료 특성의 조합의 삼차원(three dimensional; 3-D) 맵이다. 맵은 상대적으로 적은 물리적 제약을 사용하여 생성된다. 몇몇 예에서, 임계 치수(CD), 측벽 각도(sidewall angle; SWA), 오버레이, 에지 배치 오차, 피치 워크, 등등과 같은 하나 이상의 관심 파라미터는 결과적으로 나타나는 맵으로부터 직접적으로 추정된다. 몇몇 다른 예에서, 샘플 기하학적 형상 또는 재료가 모델 기반의 CD 측정을 위해 활용되는 파라메트릭 구조적 모델에 의해 예상되는 기대 값의 범위를 벗어날 때, 맵은 웨이퍼 프로세스를 디버깅하는 데 유용하다. 하나의 예에서, 구조물의 측정된 파라미터에 따라 파라메트릭 구조적 모델에 의해 예측되는 구조물의 렌더링과 맵 사이의 차이는, 파라메트릭 구조적 모델을 업데이트하기 위해 그리고 그것의 측정 성능을 향상시키기 위해 사용된다. 또 다른 세부 사항은 미국 특허 공개 공보 번호 제2015/0300965호에서 설명되는데, 이 미국 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다. 추가적인 세부 사항은 미국 특허 공보 번호 제2015/0117610호에서 설명되는데, 이 미국 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
또 다른 양태에서, 모델 구축 및 분석 엔진(180)은 결합된 x 선 및 광학 측정 분석을 위한 모델을 생성하기 위해 활용된다. 몇몇 예에서, 광학적 시뮬레이션은, 예를 들면, 광학적 신호 예컨대 상이한 편광에 대한 반사율, 타원편광 해석 파라미터, 상 변화, 등등을 계산하기 위해 맥스웰(Maxwell) 방정식을 풀어야 하는 엄격한 결합파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다.
하나 이상의 관심 파라미터의 값은, 결합된 기하학적으로 파라미터화된 응답 모델을 사용한, 복수의 상이한 입사각에서의 SXR 회절 차수의 검출된 강도 및 검출된 광학적 강도의 결합된 피팅 분석에 기초하여 결정된다. 광학적 강도는, 도 1에서 묘사되는 시스템(100)과 같은 SXR 기반의 계측 시스템과 기계적으로 통합될 수도 있는 또는 통합되지 않을 수도 있는 광학 계측 도구에 의해 측정된다. 또 다른 세부 사항은, 미국 특허 공개 공보 번호 제2014/0019097호 및 미국 특허 공보 번호 제2013/0304424호에서 설명되는데, 각각의 내용은 그들 전체가 참조에 의해 본원에 통합된다.
몇몇 실시형태에서, 하나 이상의 관심 파라미터는 다수의 측정 모달리티와 관련되는 측정된 신호의 결합된 피팅 분석에 기초하여 결정된다. 예를 들면, SXR 기반의 측정은 분광 타원편광 해석법(spectroscopic ellipsometry; SE) 기반의 측정, 분광 반사 측정법(spectroscopic reflectometry; SR) 측정, 또는 이들의 임의의 조합과 결합될 수도 있다. 몇몇 예에서, SXR 기반의 측정은 하드 X 선 측정과 결합될 수도 있다. 몇몇 예에서, SXR 기반의 측정은 Mueller Matrix(뮐러 매트릭스) SE, Mueller Matrix SR, 회전 보상기, 회전 보상기(RCRC) SE, 회전 편광기, 회전 보상기(RPRC) SE, 회전 편광기 SE, 회전 보상기 SE, 또는 이들의 임의의 조합과 같은 다수의 광학 측정 모달리티와 관련되는 분광 측정과 조합될 수도 있다. 몇몇 예에서, SXR 기반의 측정은, 진공 자외선(vacuum ultraviolet; VUV)으로부터 근적외선(near infrared; NIR)까지의 범위에 이르는 스펙트럼 대역의 조명 광을 사용하여 수행되는 광학 SE 측정, 진공 자외선(VUV)으로부터 근적외선(NIR)까지의 범위에 이르는 스펙트럼 대역의 조명 광을 사용하여 수행되는 광학 SR 측정, 또는 이들의 임의의 조합과 관련되는 분광 측정과 결합될 수도 있다. 몇몇 실시형태에서, SXR 기반의 측정은 광학 SE 측정, 광학 SR 측정, 또는 둘 모두를 사용하여 동시에 수행된다. 몇몇 다른 실시형태에서, SXR 기반의 측정은 광학 SE 측정, 광학 SR 측정, 또는 둘 모두를 사용하여 순차적으로 수행된다. 몇몇 실시형태에서, SXR 기반의 측정은 푸리에 변환 적외선(Fourier Transform Infrared; FTIR) 분광 측정과 결합된다. 몇몇 실시형태에서, SXR 기반의 측정은 초분광 이미징(Hyperspectral Imaging; HSI) 기반의 측정과 결합된다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(130), 또는, 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 시료 위치 결정 시스템(140)과 같은 시스템(100)의 상이한 서브시스템은, 본원에서 설명되는 단계의 적어도 일부를 실행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다. 게다가, 하나 이상의 컴퓨팅 시스템(130)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(130)은, x 선 조명 소스(110), 빔 성형 슬릿(112 및 113), 포커싱 광학기기 액추에이터 시스템(115), 시료 위치 결정 시스템(140), 및 검출기(119)에, 기술 분야에서 공지되어 있는 임의의 방식으로, 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은, x 선 조명 소스(110), 빔 성형 슬릿(112 및 113), 포커싱 광학기기 액추에이터 시스템(115), 시료 위치 결정 시스템(140), 및 검출기(119)와 관련되는 컴퓨팅 시스템에 각각 커플링될 수도 있다. 다른 예에서, x 선 조명 소스(110), 빔 성형 슬릿(112 및 113), 포커싱 광학기기 액추에이터 시스템(115), 시료 위치 결정 시스템(140), 및 검출기(119) 중 임의의 것은, 컴퓨터 시스템(130)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
컴퓨터 시스템(130)은, 유선 및/또는 무선 부분을 포함할 수도 있는 송신 매체에 의해 시스템의 서브시스템(예를 들면, x 선 조명 소스(110), 빔 성형 슬릿(112 및 113), 포커싱 광학기기 액추에이터 시스템(115), 시료 위치 결정 시스템(140), 검출기(119), 및 등등)으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는, 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수도 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 190))로부터 측정 데이터(예를 들면, 신호(135))를 수신하도록 구성될 수도 있다. 예를 들면, 검출기(119)를 사용하여 획득되는 스펙트럼 결과는, 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132 또는 190))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(130)에 의해 결정되는 시료 파라미터 값(186)은 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(190))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(130)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 망라하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(134)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 1에서 예시되는 바와 같이, 메모리(132)에 저장되는 프로그램 명령어는 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령어(134)는 컴퓨터 판독 가능 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 12는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(200)을 예시한다. 하나의 양태에서, 방법(200)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 다음의 설명은 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정한 구조적 양태는 제한을 나타내지 않으며, 단지 예시적인 것으로 해석되어야 한다는 것이 본원에서 인식된다.
블록(201)에서, x 선 조명 소스에 의해 일정량의 연질 x 선 방사선이 생성된다.
블록(202)에서, 일정량의 연질 x 선 방사선은 조명 스팟에서 x 선 조명 소스로부터 피측정 시료로 포커싱된다. x 선 조명 소스로부터 피측정 시료까지의 조명 광학 경로의 길이는 2 미터 미만이다. 일정량의 연질 x 선 방사선은 적어도 1.25의 축소도 계수를 가지고 조명 스팟 상으로 포커싱된다.
블록(203)에서, 입사하는 연질 x 선 조명에 응답하여 피측정 시료로부터 산란되는 일정량의 x 선 방사선이 검출기에 의해 검출된다.
블록(204)에서, 관심 시료 상에 배치되는 구조물을 특성 묘사하는 관심 파라미터의 값은 x 선 방사선의 검출된 양에 기초하여 결정된다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 산란 측정법 측정은 제조 프로세스 도구의 일부로서 구현된다. 제조 프로세스 도구의 예는, 리소그래피 노광 도구, 막 퇴적 도구, 임플란트 도구, 및 에칭 도구를 포함하지만 그러나 이들로 제한되는 것은 아니다. 이 방식에서, SXR 분석의 결과는 제조 프로세스를 제어하는 데 사용된다. 하나의 예에서, 하나 이상의 타겟으로부터 수집되는 SXR 측정 데이터는 제조 프로세스 도구로 전송된다. SXR 측정 데이터는 본원에서 설명되는 바와 같이 분석되고, 결과는 반도체 구조물의 제조에서 에러를 감소시키기 위해 제조 프로세스 도구의 동작을 조정하도록 사용된다.
본원에서 설명되는 바와 같은 산란 측정법 측정치는, 다양한 반도체 구조물의 특성을 결정하기 위해 사용될 수도 있다. 예시적인 구조물은, FinFET, 나노와이어 또는 그래핀과 같은 저차원 구조물(low-dimensional structure), 10 nm 미만의 구조물, 리소그래피 구조물, 기판 관통 비아(through substrate via; TSV), 메모리 구조물 예컨대 DRAM, DRAM 4F2, FLASH, MRAM 및 고 애스펙트 비율 메모리 구조물을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 예시적인 구조적 특성은, 기하학적 형상 파라미터 예컨대 라인 에지 조도, 라인 폭 조도, 기공 사이즈, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이, 및 재료 파라미터 예컨대 전자 밀도, 조성, 결정립 구조(grain structure), 형태(morphology), 응력, 변형률(strain), 및 원소 신원(elemental identification)을 포함하지만, 그러나 이들로 제한되지는 않는다. 몇몇 실시형태에서, 계측 타겟은 주기적 구조물이다. 몇몇 다른 실시형태에서, 계측 타겟은 비주기적이다.
몇몇 예에서, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND) 또는 수직 NAND 메모리(vertical NAND memory)(V-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)를 포함하는 그러나 이들로 제한되지는 않는 높은 애스펙트 비율 반도체 구조물의 임계 치수, 두께, 오버레이, 및 재료 속성의 측정은, 본원에서 설명되는 바와 같은 SXR 기반의 측정 시스템을 사용하여 수행된다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는 구조물의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조물 사이의 임계 치수(예를 들면, 두 구조물 사이의 거리), 및 둘 이상의 구조물 사이의 변위(예를 들면, 중첩하는 격자 구조물 사이의 오버레이 변위, 등등)를 포함한다. 구조물은 삼차원 구조물, 패턴화된 구조물, 오버레이 구조물, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 비롯한, 임의의 양태에서 시료를 특성 묘사하기 위해 적어도 부분적으로 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 이러한 용어는 본원에서 설명되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 게다가, 본원에서 설명되는 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은, LED 검사 도구, 에지 검사 도구, 후면 검사 도구, 매크로 검사 도구, 또는 멀티 모드 검사 도구(동시적으로 하나 이상의 플랫폼으로부터의 데이터를 수반함), 및 본원에서 설명되는 측정 기술로부터 이익을 얻는 임의의 다른 계측 또는 검사 도구로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지되어 있는 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 그러한 기판은 반도체 제조 설비에서 공통적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화될" 수도 있거나 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 방출될(released) 수도 있는 또는 방출되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질의 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 재료의 이러한 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능 매체 상에 저장될 수도 있거나 또는 컴퓨터 판독 가능 매체를 통해 송신될 수도 있다. 컴퓨터 판독 가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적용 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 비제한적인 예로서, 이러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망되는 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 사용될 수 있으며 범용 컴퓨터 또는 특수 목적용 컴퓨터, 또는 범용 프로세서 또는 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, XRF 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는 데, 여기서 디스크(disk)는 보통 데이터를 자기적으로 재생하고, 디스크(disc)는 레이저를 이용하여 데이터를 광학적으로 재생한다. 상기의 조합도 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.
비록 소정의 특정한 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상기에서 설명되는 특정한 실시형태로 제한되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합은 청구범위에서 기술되는 바와 같은 본 발명의 범위로부터 벗어나지 않으면서 실시될 수 있다.

Claims (32)

  1. 계측 시스템으로서,
    일정량의 연질 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스;
    상기 x 선 조명 소스와 피측정 시료 사이의 조명 광학 경로에서 배치되는 조명 광학기기 서브시스템(illumination optics subsystem) - 상기 조명 광학기기 서브시스템은 상기 일정량의 연질 x 선 방사선을 상기 x 선 조명 소스로부터 상기 피측정 시료 상의 조명 스팟으로 지향시키도록 구성되는 하나 이상의 x 선 조명 광학 엘리먼트를 포함하고, 상기 x 선 조명 소스로부터 상기 피측정 시료까지의 상기 조명 광학 경로의 길이는 2 미터 미만이고, 상기 하나 이상의 x 선 조명 광학 엘리먼트는 상기 일정량의 연질 x 선 방사선을 적어도 1.25의 축소도 계수(demagnification factor)를 가지고 상기 조명 스팟 상으로 포커싱함 - ;
    입사 x 선 방사선에 응답하여 상기 피측정 시료로부터 산란되는 일정량의 x 선 방사선을 검출하도록 구성되는 x 선 검출기; 및
    상기 x 선 방사선의 검출된 양에 기초하여 상기 시료 상에 배치되는 구조물을 특성 묘사하는 관심 파라미터의 값을 결정하도록 구성되는 컴퓨팅 시스템
    을 포함하는, 계측 시스템.
  2. 제1항에 있어서,
    상기 x 선 조명 소스는 연질 x 선으로부터 적외선까지의 범위에 걸치는 파장을 갖는 x 선 방사선을 생성하도록 구성되는 레이저 유지 플라즈마(Laser Sustained Plasma; LSP) 조명 소스인 것인, 계측 시스템.
  3. 제2항에 있어서,
    상기 LSP 조명 소스의 타겟 재료는 고체 상태의 이산화탄소, 고체 상태의 크세논, 또는 두 개 이상의 가스의 조합을 포함하는 것인, 계측 시스템.
  4. 제1항에 있어서,
    상기 연질 x 선 방사선은 80 전자볼트(electronvolt)에서부터 3,000 전자볼트까지의 광자 에너지 범위 내의 다수의 조명 파장을 포함하는 것인, 계측 시스템.
  5. 제1항에 있어서,
    상기 연질 x 선 방사선은 0 도와 30 도 사이의 공칭 스침 입사각(nominal grazing incidence angle)에서 상기 시료 상의 상기 조명 스팟에 입사되는 것인, 계측 시스템.
  6. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 상기 시료 상의 상기 조명 스팟에 입사하는 상기 일정량의 연질 x 선 방사선을 복수의 입사각, 복수의 파장, 복수의 방위각, 또는 이들의 임의의 조합에서 동시에 포커싱하는 것인, 계측 시스템.
  7. 제1항에 있어서,
    상기 x 선 조명 소스의 소스 영역은 50 마이크로미터 이상의 횡방향 치수(lateral dimension)에 의해 특성 묘사되는 것인, 계측 시스템.
  8. 제1항에 있어서,
    상기 x 선 조명 소스의 소스 영역은 50 마이크로미터 이하의 횡방향 치수에 의해 특성 묘사되는 것인, 계측 시스템.
  9. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 50 이하의 축소도 계수를 가지고 상기 일정량의 연질 x 선 방사선을 상기 시료 상으로 포커싱하는 것인, 계측 시스템.
  10. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 4 이상의 축소도 계수를 가지고 상기 일정량의 연질 x 선 방사선을 상기 시료 상으로 포커싱하는 것인, 계측 시스템.
  11. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 Schwarzschild(슈바르츠실트) 구성으로 구성되는 두 개 이상의 구면 형상의 미러(spherically shaped mirror)를 포함하는 것인, 계측 시스템.
  12. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 타원체 형상의 미러(ellipsoidally shaped mirror)를 포함하는 것인, 계측 시스템.
  13. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 Wolter(볼터) 구성으로 구성되는 두 개 이상의 미러를 포함하는 것인, 계측 시스템.
  14. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 자유 형태 형상을 갖는 적어도 하나의 미러를 포함하는 것인, 계측 시스템.
  15. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 Scheimpflug(샤임플러그) 구성으로 구성되는 하나 이상의 조명 광학 엘리먼트를 포함하는 것인, 계측 시스템.
  16. 제1항에 있어서,
    상기 x 선 조명 소스는 상기 피측정 시료와 관련하여 틸트 시프트되는(tilt shifted) 것인, 계측 시스템.
  17. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 상기 x 선 조명 소스와 상기 피측정 시료 사이의 광학 경로에서 적어도 하나의 시야 조리개(field stop)를 포함하는 것인, 계측 시스템.
  18. 제17항에 있어서,
    상기 적어도 하나의 시야 조리개의 사이즈, 형상, 또는 둘 모두는 스루풋을 최적화하도록, 회절 차수 크로스토크를 최소화하도록, 다른 계측 시스템과 매치하도록, 또는 이들의 임의의 조합을 행하도록 조정 가능한 것인, 계측 시스템.
  19. 제1항에 있어서,
    상기 조명 광학기기 서브시스템은 조명 동공 어퍼쳐(illumination pupil aperture)를 포함하는 것인, 계측 시스템.
  20. 제19항에 있어서,
    상기 조명 동공 어퍼쳐의 사이즈, 형상, 또는 둘 모두는 스루풋을 최적화하도록, 회절 차수 크로스토크를 최소화하도록, 다른 계측 시스템과 매치하도록, 또는 이들의 임의의 조합을 행하도록 조정 가능한 것인, 계측 시스템.
  21. 제1항에 있어서,
    상기 하나 이상의 x 선 조명 광학 엘리먼트는 다수의 조명 파장의 서브세트를 선택하고 상기 다수의 파장의 상기 선택된 서브세트를 상기 시료 상으로 포커싱하는 것인, 계측 시스템.
  22. 제1항에 있어서,
    하나 이상의 상기 관심 파라미터의 결정은 상기 x 선 방사선의 검출된 양 및 광학 측정 신호의 결합된 피팅 분석(combined fitting analysis)에 기초하는 것인, 계측 시스템.
  23. 방법으로서,
    일정량의 연질 x 선 방사선을 생성하는 단계;
    x 선 조명 소스로부터의 상기 일정량의 연질 x 선 방사선을 피측정 시료 상의 조명 스팟으로 포커싱하는 단계 - 상기 x 선 조명 소스로부터 상기 피측정 시료까지의 상기 조명 광학 경로의 길이는 2 미터 미만이고, 상기 일정량의 연질 x 선 방사선은 적어도 1.25의 축소도 계수를 가지고 상기 조명 스팟 상으로 포커싱됨 - ;
    입사 x 선 방사선에 응답하여 상기 피측정 시료로부터 산란되는 일정량의 x 선 방사선을 검출하는 단계; 및
    상기 x 선 방사선의 검출된 양에 기초하여 상기 시료 상에 배치되는 구조물을 특성 묘사하는 관심 파라미터의 값을 결정하는 단계
    를 포함하는, 방법.
  24. 제23항에 있어서,
    상기 시료 상의 상기 조명 스팟에 입사하는 상기 일정량의 연질 x 선 방사선을 복수의 입사각, 복수의 파장, 복수의 방위각, 또는 이들의 임의의 조합에서 동시에 포커싱하는 단계를 더 포함하는, 방법.
  25. 제23항에 있어서,
    상기 포커싱은 슈바르츠실트 구성으로 구성되는 두 개의 구면 형상의 미러를 수반하는 것인, 방법.
  26. 제23항에 있어서,
    상기 포커싱은 타원체 형상의 미러를 수반하는 것인, 방법.
  27. 제23항에 있어서,
    상기 포커싱은 볼터 구성으로 구성되는 두 개 이상의 미러를 수반하는 것인, 방법.
  28. 제23항에 있어서,
    상기 포커싱은 자유 형태 형상을 갖는 적어도 하나의 미러를 수반하는 것인, 방법.
  29. 제23항에 있어서,
    상기 포커싱은 샤임플러그 구성으로 구성되는 하나 이상의 조명 광학 엘리먼트를 수반하는 것인, 방법.
  30. 제23항에 있어서,
    상기 포커싱은 상기 피측정 시료와 관련하여 상기 x 선 조명 소스를 틸트 시프팅하는 것을 수반하는 것인, 방법.
  31. 제23항에 있어서,
    상기 다수의 조명 파장의 서브세트를 선택하는 단계; 및
    상기 다수의 파장의 상기 선택된 서브세트를 상기 시료 상으로 포커싱하는 단계
    를 더 포함하는, 방법.
  32. 계측 시스템으로서,
    일정량의 연질 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스 - 상기 x 선 조명 소스는 100 마이크로미터 이상의 횡방향 치수에 의해 특성 묘사되는 x 선 조명 소스 사이즈를 가짐 - ;
    상기 x 선 조명 소스와 피측정 시료 사이의 조명 광학 경로에서 배치되는 조명 광학기기 서브시스템 - 상기 조명 광학기기 서브시스템은 상기 일정량의 연질 x 선 방사선을 상기 x 선 조명 소스로부터, 50 마이크로미터 이하의 횡방향 치수에 의해 특성 묘사되는 상기 피측정 시료 상의 조명 스팟으로 지향시키도록 구성되는 하나 이상의 x 선 조명 광학 엘리먼트를 포함하고, 상기 x 선 조명 소스로부터 상기 피측정 시료까지의 상기 조명 광학 경로의 길이는 2 미터 미만임 - ;
    입사 x 선 방사선에 응답하여 상기 피측정 시료로부터 산란되는 일정량의 x 선 방사선을 검출하도록 구성되는 x 선 검출기; 및
    상기 x 선 방사선의 검출된 양에 기초하여 상기 시료 상에 배치되는 구조물을 특성 묘사하는 관심 파라미터의 값을 결정하도록 구성되는 컴퓨팅 시스템
    을 포함하는, 계측 시스템.
KR1020237020283A 2020-12-17 2021-12-08 컴팩트하고 작은 스팟 사이즈의 연질 x 선 산란 측정법을 위한 방법 및 시스템 KR20230119651A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063126544P 2020-12-17 2020-12-17
US63/126,544 2020-12-17
US17/411,030 US12013355B2 (en) 2020-12-17 2021-08-24 Methods and systems for compact, small spot size soft x-ray scatterometry
US17/411,030 2021-08-24
PCT/US2021/062326 WO2022132521A1 (en) 2020-12-17 2021-12-08 Methods and systems for compact, small spot size soft x-ray scatterometry

Publications (1)

Publication Number Publication Date
KR20230119651A true KR20230119651A (ko) 2023-08-16

Family

ID=82022213

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237020283A KR20230119651A (ko) 2020-12-17 2021-12-08 컴팩트하고 작은 스팟 사이즈의 연질 x 선 산란 측정법을 위한 방법 및 시스템

Country Status (6)

Country Link
US (1) US12013355B2 (ko)
EP (1) EP4248194A1 (ko)
KR (1) KR20230119651A (ko)
CN (1) CN116583745A (ko)
TW (1) TW202242399A (ko)
WO (1) WO2022132521A1 (ko)

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
FR2955391B1 (fr) * 2010-01-18 2012-03-16 Xenocs Systeme compact d'analyse par rayons-x
CN103154818B (zh) * 2010-09-28 2015-07-15 卡尔蔡司Smt有限责任公司 微光刻投射曝光设备的光学系统以及降低图像位置误差的方法
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
EP2951643B1 (en) 2013-01-30 2019-12-25 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014127151A1 (en) 2013-02-14 2014-08-21 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9553033B2 (en) 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9594036B2 (en) * 2014-02-28 2017-03-14 Sigray, Inc. X-ray surface analysis and measurement apparatus
US9823203B2 (en) * 2014-02-28 2017-11-21 Sigray, Inc. X-ray surface analysis and measurement apparatus
US20150285749A1 (en) * 2014-04-03 2015-10-08 Massachusetts Institute Of Technology Compact X-Ray Source for CD-SAXS
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9726624B2 (en) * 2014-06-18 2017-08-08 Bruker Jv Israel Ltd. Using multiple sources/detectors for high-throughput X-ray topography measurement
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
GB201421837D0 (en) * 2014-12-09 2015-01-21 Reishig Peter A method of generating a fingerprint for a gemstone using X-ray imaging
US10034362B2 (en) 2014-12-16 2018-07-24 Kla-Tencor Corporation Plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10217625B2 (en) * 2015-03-11 2019-02-26 Kla-Tencor Corporation Continuous-wave laser-sustained plasma illumination source
US10257918B2 (en) * 2015-09-28 2019-04-09 Kla-Tencor Corporation System and method for laser-sustained plasma illumination
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
WO2017211545A1 (en) 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology apparatus
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
CN109844917B (zh) * 2016-10-13 2023-07-04 科磊股份有限公司 用于过程控制的计量系统及方法
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10859518B2 (en) * 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10690602B2 (en) * 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11333621B2 (en) * 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10732515B2 (en) * 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
RU2706713C1 (ru) * 2019-04-26 2019-11-20 Общество С Ограниченной Ответственностью "Эуф Лабс" Источник коротковолнового излучения высокой яркости
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10338013B1 (en) * 2018-01-25 2019-07-02 Kla-Tencor Corporation Position feedback for multi-beam particle detector
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816487B2 (en) * 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
EP3627226A1 (en) * 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
US11557462B2 (en) 2019-03-13 2023-01-17 Kla Corporation Collecting and recycling rare gases in semiconductor processing equipment
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target

Also Published As

Publication number Publication date
CN116583745A (zh) 2023-08-11
US12013355B2 (en) 2024-06-18
EP4248194A1 (en) 2023-09-27
WO2022132521A1 (en) 2022-06-23
TW202242399A (zh) 2022-11-01
US20220196576A1 (en) 2022-06-23

Similar Documents

Publication Publication Date Title
KR102536604B1 (ko) 다색 연엑스선 회절에 기초한 반도체 계측을 위한 방법 및 시스템
US11536674B2 (en) Systems and methods for combined reflectometry and photoelectron spectroscopy
US11460418B2 (en) Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
TW202204884A (zh) 具有經改良濾波之軟x射線光學件
US11513085B2 (en) Measurement and control of wafer tilt for x-ray based metrology
US12013355B2 (en) Methods and systems for compact, small spot size soft x-ray scatterometry