KR20230107823A - 오버레이 핑거프린트들에 대한 마크 구조체를 결정하는 방법 - Google Patents

오버레이 핑거프린트들에 대한 마크 구조체를 결정하는 방법 Download PDF

Info

Publication number
KR20230107823A
KR20230107823A KR1020237017720A KR20237017720A KR20230107823A KR 20230107823 A KR20230107823 A KR 20230107823A KR 1020237017720 A KR1020237017720 A KR 1020237017720A KR 20237017720 A KR20237017720 A KR 20237017720A KR 20230107823 A KR20230107823 A KR 20230107823A
Authority
KR
South Korea
Prior art keywords
overlay
substrate
function
features
readable medium
Prior art date
Application number
KR1020237017720A
Other languages
English (en)
Inventor
화이첸 장
사이러스 에밀 타베리
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230107823A publication Critical patent/KR20230107823A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 명세서에서, 패턴 분포에 기초하여 메트롤로지 마크 구조체에 대한 피처들을 결정함으로써 칩 상에서 수행되는 1 이상의 공정에 의해 유도되는 오버레이 특성들을 측정하기 위해 칩 상에 형성될 수 있는 메트롤로지 마크 구조체를 생성하는 장치 및 방법이 설명된다. 상기 방법은 기판 상에서 수행되는 공정에 의해 유도되는 오버레이 핑거프린트를 특성화하기 위한 제 1 함수를 얻는 단계를 수반한다. 제 1 함수에 기초하여, 패턴 분포가 도출되며, 패턴 분포는 기판의 일부분 내의 다수의 피처들을 나타낸다(예를 들어, 밀도를 나타냄). 패턴 분포에 기초하여, 메트롤로지 마크 구조체의 피처들의 물리적 특성들(예를 들어, 형상, 크기 등)이 결정된다.

Description

오버레이 핑거프린트들에 대한 마크 구조체를 결정하는 방법
본 출원은 2020년 11월 24일에 출원된 미국 출원 63/117,689의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서의 기재내용은 일반적으로 리소그래피 공정에서의 오버레이 측정 및 공정 유도 오버레이 핑거프린트(process induced overlay fingerprint)들의 결정을 위한 개선된 메트롤로지 시스템들 및 방법들에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 1/M 배가 될 것이다. 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792에서 찾아볼 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광-후 절차들")을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처(feature)들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
리소그래피 및 다른 패터닝 공정 기술들의 발전으로, 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 그 동안에, 오버레이, 임계 치수(CD) 등에 관한 정확성의 요건은 점점 더 엄격해졌다. 패터닝 공정에서는 오버레이 오차, CD 오차 등과 같은 오차가 불가피하게 생성될 것이다. 예를 들어, 이미징 오차는 패터닝, 에칭, 현상, 베이킹, 화학 기계적 연마와 같은 공정들로부터 유도될 수 있으며, 예를 들어 오버레이, CD, 또는 패턴의 다른 측정가능한 물리적 특성들에 관하여 특성화될 수 있다. 오차는 디바이스의 기능 실패 또는 기능하는 디바이스의 1 이상의 전기적 문제를 포함하여 디바이스의 기능에 관한 문제를 야기할 수 있다. 따라서, 이 오차들 중 1 이상을 특성화하고, 이 오차들 중 1 이상을 감소시키거나 최소화하도록 패터닝 공정의 디자인, 수정, 제어 등의 단계들을 수행할 수 있는 것이 바람직하다.
본 발명은 앞서 논의된 다양한 문제들에 대처한다. 제 1 측면에서, 본 발명은 리소그래피 공정에서 한 층 상의 제 1 오버레이 패턴과 또 다른 층 상의 제 2 오버레이 패턴 사이의 오버레이 측정들을 위한 마크 구조체들을 결정하는 개선된 방법을 제공한다. 이 마크 구조체는, 예를 들어 오버레이 핑거프린트 퍼텐셜 함수에 관하여 규정된 오버레이 특성화에 따라 패턴 밀도 맵들을 사용하여 디자인될 수 있다. 또한, 마크 구조체가 일련의 반도체 공정들에 의해 제작되고 측정된 후, 측정 데이터를 규정된 오버레이 특성화에 따라 도출되는 예상 데이터와 비교함으로써 상이한 공정들로부터의 오버레이 기여가 결정될 수 있으며, 예를 들어 데이터는 오버레이 핑거프린트 데이터이다. 오버레이 측정은 마이크로미터 스케일, 나노미터 스케일, 또는 나노미터 이하 스케일일 수 있다.
일 실시예에서, 메트롤로지 마크 구조체를 결정하는 방법이 제공된다. 상기 방법은 기판 상에서 수행되는 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트를 특성화하기 위한 제 1 함수를 얻는 단계를 포함한다. 제 1 함수에 기초하여, 기판의 일부분 내의 다수의 피처들을 나타내는 패턴 분포가 도출된다. 또한, 패턴 분포를 가이드로서 사용하여, 기판 상에 배치하기 위한 메트롤로지 마크 구조체의 피처들의 물리적 특성들이 결정된다.
일 실시예에서, 메트롤로지 마크 구조체를 디자인하는 것은 패턴 밀도 맵에 따라 메트롤로지 구조체의 피처들의 밀도 변조를 수반한다. 일 실시예에서, 최상층 피처들이 소정 밀도 변조로 최하층 피처들에 정렬될 수 있다.
일 실시예에서, 밀도 변조는 피처들 또는 마크 구조체들의 크기, 형상, 총수(count) 등을 변동시킴으로써 달성될 수 있다. 일 실시예에서, 밀도 변조는 그래디언트(gradient)가 오버레이 핑거프린트에 대응하는 지정된 퍼텐셜 함수로부터 도출되는 패턴 밀도 맵에 기초하여 수행된다. 예를 들어, 퍼텐셜 함수는 (패턴의 피처들의 밀도를 특성화하는) 밀도 함수(D)와 (반도체 제조 공정을 특성화하는) 커널 함수(K)의 컨볼루션으로서 표현될 수 있다.
본 명세서에서, 상기 방법은 공정 성능 파라미터(예컨대, 오버레이)의 측정을 가능하게 하고, 공정 성능 파라미터로부터의 공정 유도 핑거프린트들(예컨대, 오버레이 핑거프린트들)의 추출을 가능하게 한다. 일 실시예에서, 메트롤로지 마크 구조체는 오버레이와 관련하여 관심 있는 공정 효과(예를 들어, 에칭 로딩 또는 응력)의 길이 스케일들과 비교하여 충분히 큰 영역을 따라 연장되는 기판 상에 형성될 수 있다.
일 실시예에 따르면, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 제공된다. 명령어들은 컴퓨터에 의해 실행될 때, 청구항들에 열거되는 방법들을 구현한다.
본 명세서에 통합되고 그 일부를 구성하는 첨부된 도면들은 본 명세서에 개시된 주제의 소정 측면들을 나타내고, 묘사와 함께 개시된 실시예들과 관련된 원리들 중 일부를 설명하는 데 도움이 된다. 도면들에서,
도 1은 일 실시예에 따른, 리소그래피 장치를 예시하는 도면;
도 2는 일 실시예에 따른, 도 1의 장치에서의 측정 및 노광 공정들을 개략적으로 예시하는 도면;
도 3은 일 실시예에 따른, 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)를 예시하는 도면;
도 4는 일 실시예에 따른, 다수 주기적 구조체 타겟의 형태 및 기판 상의 측정 스폿의 외곽선을 개략적으로 도시하는 도면;
도 5는 일 실시예에 따른, 도 4의 타겟의 이미지를 개략적으로 도시하는 도면;
도 6은 일 실시예에 따른, 예시적인 메트롤로지 장치 및 메트롤로지 기술을 개략적으로 도시하는 도면;
도 7은 일 실시예에 따른, 예시적인 메트롤로지 장치를 개략적으로 도시하는 도면;
도 8은 일 실시예에 따른, 메트롤로지 마크 구조체들을 디자인하는 방법의 흐름도;
도 9는 일 실시예에 따른, 패턴 밀도 맵으로부터 메트롤로지 마크 구조체를 생성하는 프로세스를 예시하는 도면;
도 10은 일 실시예에 따른, 예시적인 메트롤로지 마크 구조체를 예시하는 도면;
도 11은 일 실시예에 따른, 또 다른 예시적인 메트롤로지 마크 구조체를 예시하는 도면;
도 12는 일 실시예에 따른, 또 다른 예시적인 메트롤로지 마크 구조체를 예시하는 도면;
도 13a는 일 실시예에 따른, 예시적인 메트롤로지 마크 구조체를 예시하는 도면;
도 13b는 일 실시예에 따른, 도 13a의 메트롤로지 마크 구조체에 적용된 제 1 커널을 사용하여 결정되는 제 1 공정과 연계된 제 1 오버레이 핑거프린트를 예시하는 도면;
도 13c는 일 실시예에 따른, 도 13a의 메트롤로지 마크 구조체에 적용된 제 2 커널을 사용하여 결정되는 제 2 공정과 연계된 제 2 오버레이 핑거프린트를 예시하는 도면;
도 14는 일 실시예에 따른, 본 명세서에 설명된 방법들 중 일부를 수행하는 데 사용하는 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 15는 일 실시예에 따른, 또 다른 리소그래피 투영 장치(LPA)의 개략적인 다이어그램;
도 16은 일 실시예에 따른, 리소그래피 투영 장치의 상세한 도면; 및
도 17은 일 실시예에 따른, 리소그래피 투영 장치(LPA)의 소스 컬렉터 모듈(SO)의 상세한 도면이다.
이제, 당업자가 본 발명을 실시할 수 있게 하도록 실례가 되는 본 발명의 예시들로서 제공되는 도면들을 참조하여, 본 발명이 상세하게 설명될 것이다. 특히, 아래의 숫자들 및 예시들은 단일 실시예로 본 발명의 범위를 제한하려는 것이 아니며, 설명되거나 예시된 요소들 중 일부 또는 전부의 상호교환에 의해 다른 실시예들이 가능하다. 또한, 본 발명의 소정 요소들이 알려진 구성요소들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 본 발명의 이해에 필요한 이러한 알려진 구성요소들의 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 상세한 설명은 생략되어 본 발명을 모호하게 하지 않을 것이다. 소프트웨어로 구현되는 것으로 설명되는 실시예들은 이에 제한되지 않아야 하며, 본 명세서에서 달리 명시되지 않는 한, 당업자라면 이해하는 바와 같이 하드웨어 또는 소프트웨어와 하드웨어의 조합들로 구현되는 실시예들을 포함할 수 있고, 그 역도 마찬가지이다. 본 명세서에서, 단일 구성요소를 나타내는 실시예는 제한적인 것으로 간주되어서는 안 되며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 본 발명은 복수의 동일한 구성요소들을 포함하는 다른 실시예들을 포괄하도록 의도되고, 그 역도 마찬가지이다. 더욱이, 출원인들은 명세서 또는 청구항들의 여하한의 용어가 이러한 것으로서 명시적으로 언급되지 않는 한, 일반적이지 않거나 특별한 의미를 갖는 것을 의도하지 않는다. 또한, 본 발명은 예시에 의해 본 명세서에서 언급되는 알려진 구성요소들에 대한 현재 알려진 및 미래 알려질 균등물들을 포괄한다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, 여기서 사용되는 "방사선" 및 "빔"이라는 용어들은 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 400 내지 780 nm 범위 내의 파장 λ을 갖는) 가시 방사선, (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장 λ을 갖는) 자외(UV) 방사선, (예를 들어, 13.5 nm와 같은 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV 또는 연질 X-선) 방사선, 또는 5 nm 미만에서 동작하는 경질 X-선을 포함하는 모든 형태의 전자기 방사선을 포괄한다. 일반적으로, 약 780 내지 3000 nm(또는 그 이상)의 파장들을 갖는 방사선은 IR 방사선으로 간주된다. UV는 약 100 내지 400 nm의 파장들을 갖는 방사선을 지칭한다. 리소그래피 내에서, "UV"라는 용어는 수은 방전 램프(mercury discharge lamp)에 의해 생성될 수 있는 파장들: G-라인 436 nm; H-라인 405 nm; 및/또는 I-라인 365 nm에도 적용된다. 진공 UV 또는 VUV(즉, 공기에 의해 흡수되는 UV)는 약 100 내지 200 nm의 파장을 갖는 방사선을 지칭한다. 심 UV(DUV)는 일반적으로 126 nm 내지 428 nm 범위의 파장들을 갖는 방사선을 지칭하고, 일 실시예에서 엑시머 레이저(excimer laser)가 리소그래피 장치 내에서 사용되는 DUV 방사선을 발생시킬 수 있다. 예를 들어, 5 내지 20 nm의 범위 내의 파장을 갖는 방사선은 적어도 일부분이 5 내지 20 nm의 범위 내에 있는 소정 파장 대역을 갖는 방사선에 관련된다는 것을 이해하여야 한다.
패터닝 디바이스는 1 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 디바이스의 전체 크기 및 밀도를 결정한다. 물론, 디바이스 제작의 목표들 중 하나는 원래 디자인 의도를 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 다른 이러한 패터닝 디바이스의 예시들로는 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 어레이를 포함한다.
프로그램가능한 거울 어레이의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)일 수 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여, 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다.
프로그램가능한 LCD 어레이의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
도 1은 리소그래피 장치를 개략적으로 도시한다. 상기 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지체 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 각각 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 각각 연결되는 2 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다. 기준 프레임(reference frame: RF)이 다양한 구성요소들을 연결하고, 패터닝 디바이스 및 기판 및 이들 상의 피처들의 위치들을 설정하고 측정하는 기준의 역할을 한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지체(MT)는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 패터닝 디바이스 지지체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 패터닝 디바이스를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다. 패터닝 디바이스의 예시들로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널을 포함한다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 또한, "패터닝 디바이스"라는 용어는 이러한 프로그램가능한 패터닝 디바이스를 제어하는 데 사용하기 위한 패턴 정보를 디지털 형태로 저장하는 디바이스를 언급하는 것으로 해석될 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체(예컨대, 물)로 덮일 수 있는 타입으로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다.
작동 시, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별개의 개체들일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 사용되는 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는, 예를 들어 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD), 인티그레이터(IN) 및 콘덴서(CO)를 포함할 수 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(MT) 상에 유지되어 있는 패터닝 디바이스(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WTa 또는 WTb)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 1보다 많은 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마크들이 포함될 수도 있으며, 이 경우 마크들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다. 정렬 마크들을 검출하는 정렬 시스템은 아래에서 더 설명된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[예를 들어, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다. 당업계에 잘 알려져 있는 바와 같이, 다른 타입들의 리소그래피 장치 및 작동 모드들이 가능하다. 예를 들어, 스텝 모드가 알려져 있다. 소위 "마스크없는(maskless)" 리소그래피에서는, 프로그램가능한 패터닝 디바이스가 정지 상태로 유지되지만 변화하는 패턴을 가지며, 기판 테이블(WT)이 이동되거나 스캐닝된다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 장치(LA)는 2 개의 기판 테이블들(WTa, WTb), 및 기판 테이블들이 교환될 수 있는 2 개의 스테이션들 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 갖는 소위 듀얼 스테이지 타입으로 이루어진다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노광되고 있는 동안, 또 다른 기판이 측정 스테이션에서 다른 기판 테이블 상으로 로딩되고 다양한 준비작업 단계들이 수행될 수 있다. 이는 상기 장치의 스루풋을 상당히 증가시킬 수 있다. 준비작업 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 높이 윤곽들을 매핑(map)하는 단계, 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마크들의 위치를 측정하는 단계를 포함할 수 있다. 위치 센서(IF)가 노광 스테이션뿐 아니라 측정 스테이션에 있는 동안 기판 테이블의 위치를 측정할 수 없는 경우, 기준 프레임(RF)에 대해 두 스테이션들에서 기판 테이블의 위치들이 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 다른 구성들이 알려져 있고, 나타낸 듀얼-스테이지 구성 대신에 이용가능하다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치들이 알려져 있다. 이들은 준비작업 측정들을 수행하는 경우에 함께 도킹(dock)되고, 그 후 기판 테이블이 노광을 거치는 동안에 도킹해제(undock)된다.
도 2는 도 1의 듀얼 스테이지 장치에서 기판(W) 상의 타겟부들(예를 들어, 다이들)을 노광하는 단계들을 포함하는 도 1의 장치에서의 예시적인 측정 및 노광 공정들을 나타낸다. 좌측의 점선 박스 내에는 측정 스테이션(MEA)에서 수행되는 단계들이 있는 한편, 우측은 노광 스테이션(EXP)에서 수행되는 단계들을 나타낸다. 때에 따라, 앞서 설명된 바와 같이, 기판 테이블들(WTa, WTb) 중 하나는 노광 스테이션에 있는 한편, 다른 테이블은 측정 스테이션에 있을 것이다. 이 설명을 위해, 기판(W)이 이미 노광 스테이션으로 로딩되었다고 가정된다. 단계 200에서, 새로운 기판(W')이 도시되지 않은 메카니즘에 의해 장치로 로딩된다. 이 두 기판들은 리소그래피 장치의 스루풋을 증가시키기 위해 병렬로(in parallel) 처리된다.
새로-로딩된 기판(W')을 우선 참조하면, 이는 앞서 처리되지 않은 기판으로서, 장치에서 첫 번째 노광(first time exposure)을 위해 새로운 포토레지스트와 준비될 수 있다. 하지만, 일반적으로, 설명되는 리소그래피 공정은 일련의 노광 및 처리 단계들에서의 하나의 단계에 불과할 것이므로, 기판(W')이 이미 여러 번 이 장치 및/또는 다른 리소그래피 장치들을 거쳤고, 겪어야 할 후속한 공정들도 가질 수 있다. 특히, 오버레이 성능을 개선하기 위해, 작업은 패터닝 및 처리의 1 이상의 사이클을 이미 거친 기판 상의 올바른 위치에 새로운 패턴들이 적용될 것을 보장하여야 한다. 이 처리 단계들은 기판 내의 왜곡들을 점진적으로 도입하며, 이는 만족스러운 오버레이 성능을 달성하기 위해서 측정되고 보정될 수 있다.
이전 및/또는 후속 패터닝 단계는 언급된 바와 같이 다른 리소그래피 장치들에서 수행될 수 있고, 심지어 상이한 타입들의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터들에 있어서 매우 요구가 많은 디바이스 제조 공정에서의 몇몇 층들은 요구가 덜한 다른 층들보다 더 고급 리소그래피 툴에서 수행될 수 있다. 그러므로, 몇몇 층들은 침지 타입 리소그래피 툴에서 노광될 수 있는 한편, 다른 층들은 "건식(dry)" 툴에서 노광된다. 몇몇 층들은 DUV 파장들에서 동작하는 툴에서 노광될 수 있는 한편, 다른 층들은 EUV 파장 방사선을 이용하여 노광된다.
202에서, 기판 마크들(P1) 등 및 이미지 센서들(도시되지 않음)을 이용한 정렬 측정들이 기판 테이블(WTa/WTb)에 대한 기판의 정렬을 측정 및 기록하는 데 사용된다. 또한, 기판(W')에 걸친 수 개의 정렬 마크들이 정렬 센서(AS)를 이용하여 측정될 것이다. 이 측정들은 일 실시예에서 "웨이퍼 그리드"를 구축하는 데 사용되며, 이는 공칭 직사각형 그리드에 대한 여하한의 왜곡을 포함한 기판에 걸친 마크들의 분포를 매우 정확히 매핑한다.
단계 204에서, X-Y 위치에 대한 웨이퍼 높이(Z)의 맵이 또한 레벨 센서(LS)를 이용하여 측정된다. 통상적으로, 높이 맵은 노광된 패턴의 정확한 포커싱을 달성하기 위해서만 사용된다. 이는 추가로 다른 목적들을 위해 사용될 수 있다.
기판(W')이 로딩될 때, 레시피 데이터(recipe data: 206)가 수신되었고, 이는 수행될 노광들, 및 또한 앞서 구성된 그리고 이 위에 구성될 패턴들 및 웨이퍼의 속성들을 정의한다. 이 레시피 데이터가 202, 204에서 수행된 웨이퍼 위치, 웨이퍼 그리드, 및 높이 맵의 측정들에 추가된 후, 전체 레시피 및 측정 데이터 세트(208)가 노광 스테이션(EXP)에 전달될 수 있다. 예를 들어, 정렬 데이터의 측정들은 리소그래피 공정의 산물인 제품 패턴(product pattern)들에 대해 고정된 또는 공칭적으로 고정된 관계로 형성되는 정렬 타겟들의 X 및 Y 위치들을 포함한다. 노광 직전에 취해진 이 정렬 데이터는 데이터에 모델을 피팅하는 파라미터들을 갖는 정렬 모델을 생성하는 데 사용된다. 이 파라미터들 및 정렬 모델은 노광 작업 동안 현재 리소그래피 단계에서 적용된 패턴들의 위치들을 보정하는 데 사용된다. 사용 중인 모델은 측정된 위치들 사이에서 위치 편차들을 보간한다. 종래의 정렬 모델은, 상이한 차원들에서 "이상적인" 그리드의 병진, 회전 및 스케일링을 함께 정의하는 4, 5, 또는 6 개의 파라미터들을 포함한다. 더 많은 파라미터들을 사용하는 고급 모델들이 알려져 있다.
210에서, 웨이퍼들(W' 및 W)이 스와핑(swap)되어, 측정된 기판(W')이 노광 스테이션(EXP)에 들어가는 기판(W)이 되도록 한다. 도 1의 예시적인 장치에서, 이러한 스와핑은 장치 내에서 지지체들(WTa 및 WTb)을 교환함으로써 수행되어, 기판들(W, W')이 그 지지체들 상에 정확히 클램핑되고 위치된 채로 유지되고, 기판 테이블들과 기판들 자체 간의 상대 정렬을 보존하도록 한다. 따라서, 일단 테이블들이 스와핑되었으면, 투영 시스템(PS)과 기판 테이블(WTb)(전에는 WTa) 간의 상대 위치를 결정하는 것이 노광 단계들의 제어에서 기판(W)(전에는 W')에 대한 측정 정보(202, 204)를 사용하기 위해 필요한 전부이다. 단계 212에서, 마스크 정렬 마크들(M1, M2)을 이용하여 레티클 정렬이 수행된다. 단계 214, 단계 216, 단계 218에서, 스캐닝 동작들 및 방사선 펄스들이 다수의 패턴들의 노광을 완료하기 위해서 기판(W)에 걸쳐 연속 타겟 위치들에 적용된다.
노광 단계들의 수행에 있어서 측정 스테이션에서 얻어진 정렬 데이터 및 높이 맵을 이용함으로써, 이 패턴들이 원하는 위치들에 대해, 특히 동일한 기판에 앞서 놓인 피처들에 대해 정확히 정렬된다. 이제 W"로 표시되는 노광된 기판이 단계 220에서 장치로부터 언로딩되어, 노광된 패턴에 따라 에칭 또는 다른 공정들을 겪게 된다.
당업자는 앞선 설명이 실제 제조 상황의 일 예시에 관련된 다수의 매우 상세한 단계들의 단순화된 개요임을 알 것이다. 예를 들어, 단일 패스에서 정렬을 측정하기보다는, 흔히 동일하거나 상이한 마크들을 사용하는 개략 및 미세 측정의 개별 단계들이 있을 것이다. 개략 및/또는 미세 정렬 측정 단계들은 높이 측정 전이나 후에 수행될 수 되거나, 또는 인터리빙(interleave)될 수 있다.
일 실시예에서, 정렬 센서(AS)와 같은 광학 위치 센서들은 정렬 마크들을 판독하기 위해 가시 및/또는 근적외(NIR) 방사선을 사용한다. 일부 공정들에서, 정렬 마크가 형성된 후 기판 상의 층들의 처리는 신호 강도가 낮거나 전혀 없기 때문에 이러한 정렬 센서에 의해 마크들이 발견될 수 없는 상황들을 초래한다.
도 3은 리소그래피 셀 또는 클러스터를 예시한다. 리소그래피 장치(LA)는 때로는 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 또한 기판 상에 노광-전(pre-exposure) 및 노광-후(post-exposure) 공정들을 수행하는 장치들을 포함한다. 통상적으로, 이들은 1 이상의 레지스트 층을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및/또는 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 1 이상의 기판을 집어올리고, 이들을 상이한 공정 장치들 사이에서 이동시키며, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이 장치들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 상이한 장치들이 작동되어 스루풋과 처리 효율성을 최대화할 수 있다.
리소그래피 장치에 의해 노광되는 기판이 올바르고 일관성있게(consistently) 노광되기 위해서는, (예를 들어, 위에 놓인 층들의 구조체들 사이에, 또는 예를 들어 더블 패터닝 공정에 의해 층에 별개로 제공된 동일한 층의 구조체들 사이에 있을 수 있는) 오버레이, 라인 두께, 임계 치수(CD), 포커스 오프셋, 재료 속성 등과 같은 1 이상의 속성을 측정하거나 결정하도록 노광된 기판을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 시설은 통상적으로 리소셀에서 처리된 기판(W)들 중 일부 또는 전부를 수용하는 메트롤로지 시스템(MET)도 포함한다. 메트롤로지 시스템(MET)은 리소셀(LC)의 일부분일 수 있고, 예를 들어 이는 리소그래피 장치(LA)의 일부분일 수 있다.
메트롤로지 결과들은 감독 제어 시스템(SCS)에 간접적으로 또는 직접적으로 제공될 수 있다. 오차가 검출되는 경우, [특히 검사가 뱃치(batch)의 1 이상의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면] 후속한 기판의 노광에 대해, 및/또는 노광된 기판의 후속한 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판은 수율을 개선하도록 벗겨져서(strip) 재작업(rework)되거나, 버려져서 결점이 있다고 알려진 기판에 또 다른 처리를 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광들이 수행될 수 있다.
메트롤로지 시스템(MET) 내에서, 메트롤로지 장치는 기판의 1 이상의 속성을 결정하는 데 사용되며, 특히 상이한 기판들의 1 이상의 속성이 어떻게 변하는지 또는 동일한 기판의 상이한 층들의 1 이상의 속성이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 메트롤로지 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다. 신속한 측정을 가능하게 하기 위해, 메트롤로지 장치는 노광 직후에 노광된 레지스트 층에서 1 이상의 속성을 측정하는 것이 바람직하다. 하지만, 레지스트 내의 잠상(latent image)은 낮은 콘트라스트(contrast)를 갖고 - 방사선에 노광된 레지스트의 부분과 노광되지 않은 레지스트의 부분 사이에 굴절률에 있어서 매우 작은 차이만 존재하고 - 모든 메트롤로지 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 그러므로, 측정들은 통상적으로 노광된 기판 상에서 수행되는 제 1 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)이라고 칭해질 수 있다. 또한, 현상된 레지스트 이미지 - 이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었음 - 의 측정들을 수행하는 것이 가능하고, 또는 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판들의 재작업에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
메트롤로지를 가능하게 하기 위해, 1 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특정하게 디자인되고, 주기적 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 일부분, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체[예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 접촉부(Bit Line Contact: BLC) 등의 구조체]이다.
일 실시예에서, 기판 상의 타겟은 현상 이후에 주기적 구조체 피처들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1 이상의 1-D 주기적 구조체(예를 들어, 격자)를 포함할 수 있다. 일 실시예에서, 타겟은 현상 이후에 1 이상의 주기적 구조체가 레지스트에서 솔리드 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 프린트되는 1 이상의 2-D 주기적 구조체(예를 들어, 격자)를 포함할 수 있다. 대안적으로, 바아, 필라 또는 비아는 기판 안으로(예를 들어, 기판 상의 1 이상의 층 안으로) 에칭될 수 있다.
일 실시예에서, 패터닝 공정의 관심 성능 파라미터들 중 하나는 오버레이이다. 일부 실시예들에서, 오버레이는 (정반사에 대응하는) 0차 회절이 차단되고, 더 높은 차수들만이 처리되는 다크 필드 스케터로메트리(dark field scatterometry)를 이용하여 측정될 수 있다. 다크 필드 메트롤로지의 예시들은 PCT 특허 출원 공개공보 WO 2009/078708 및 WO 2009/106279에서 찾아볼 수 있으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 기술의 추가 개발들이 미국 특허 출원 공개공보 US2011-0027704, US2011-0043791, 및 US2012-0242970에서 설명되었으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 회절 차수들의 다크-필드 검출을 이용한 회절-기반 오버레이는 더 작은 타겟들에 대한 오버레이 측정들을 가능하게 한다. 이 타겟들은 조명 스폿보다 작을 수 있고, 기판 상의 디바이스 제품 구조체들에 의해 둘러싸일 수 있다. 일 실시예에서, 다수 타겟들이 한 번의 방사선 캡처로 측정될 수 있다. 하지만, 당업자라면, 본 발명이 어떠한 특정 타입의 오버레이 측정 메카니즘 또는 시스템에 제한되지 않음을 이해할 것이다.
도 4는 다수 주기적 구조체(예를 들어, 다수 격자) 타겟의 형태 및 기판 상의 측정 스폿의 외곽선을 도시한다.
도 4는 기판 상에 형성된 예시적인 복합 메트롤로지 타겟(T)을 도시한다. 복합 타겟은 함께 밀접하게 위치되는 4 개의 주기적 구조체들(이 경우에는 격자들)(32, 33, 34, 35)을 포함한다. 일 실시예에서, 주기적 구조체 레이아웃은 측정 스폿보다 작게 구성될 수 있다[예를 들어, 주기적 구조체 레이아웃은 오버필링(overfill)됨]. 따라서, 일 실시예에서, 주기적 구조체들은 메트롤로지 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 모두 있도록 충분히 함께 밀접하게 위치된다. 그 경우, 4 개의 주기적 구조체들은 이에 따라 모두 동시에 조명되고, 동시에 센서들(190 및 230)(도 7 참조) 상에 이미징된다. 오버레이 측정에 관련된 예시에서, 주기적 구조체들(32, 33, 34, 35)은 자체로 겹쳐진(overlying) 주기적 구조체들에 의해 형성된 복합 주기적 구조체들(예를 들어, 복합 격자들)이며, 예를 들어 주기적 구조체들은 기판(W) 상에 형성되는 디바이스의 상이한 층들에 패터닝되고, 하나의 층 내의 적어도 하나의 주기적 구조체가 상이한 층 내의 적어도 하나의 주기적 구조체와 겹치도록 한다. 이러한 타겟은 20㎛×20㎛ 또는 16㎛×16㎛ 내의 외측 치수들을 가질 수 있다. 또한, 일 실시예에서, 모든 주기적 구조체들이 특정 쌍의 층들 간의 오버레이를 측정하는 데 사용된다. 타겟이 단일 쌍보다 많은 쌍의 층들을 측정할 수 있게 하기 위해, 주기적 구조체들(32, 33, 34, 35)은 상이하게 편향(bias)된 오버레이 오프셋들을 가져, 복합 주기적 구조체들의 상이한 부분들이 형성되는 상이한 층들 간의 오버레이의 측정을 용이하게 할 수 있다. 따라서, 기판 상의 타겟에 대한 주기적 구조체들이 모두 한 쌍의 층들을 측정하는 데 사용될 것이고, 기판 상의 또 다른 동일한 타겟에 대한 주기적 구조체들이 모두 또 다른 쌍의 층들을 측정하는 데 사용될 것이며, 상이한 편향이 층의 쌍들 간의 구별을 용이하게 한다.
도 4로 되돌아가면, 주기적 구조체들(32, 33, 34, 35)은 나타낸 바와 같이 입사 방사선을 X 및 Y 방향들로 회절시키도록 그 방위가 상이할 수 있다. 일 예시에서, 주기적 구조체들(32 및 34)은 X-방향 주기적 구조체들이며, 각각 +d, -d의 편향들을 갖는다. 주기적 구조체들(33 및 35)은 Y-방향 주기적 구조체들일 수 있으며, 각각 오프셋들 +d 및 -d를 갖는다. 4 개의 주기적 구조체들이 예시되지만, 또 다른 실시예는 원하는 정확성을 얻기 위해 더 큰 매트릭스를 포함할 수 있다. 예를 들어, 3 x 3 어레이의 9 개의 복합 주기적 구조체들이 -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d 편향들을 가질 수 있다. 이 주기적 구조체들의 개별 이미지들이 센서(230)에 의해 포착되는 이미지에서 식별될 수 있다.
도 5는 도 7의 장치를 사용하여 얻어지는 도 4의 타겟의 이미지를 개략적으로 도시한다. 도 5는 어퍼처 플레이트(aperture plate :130)들을 사용하는 도 7의 장치에서 도 4의 타겟을 이용하여 센서(230) 상에 형성되고 센서(230)에 의해 검출될 수 있는 이미지의 일 예시를 나타낸다. 센서(190)는 상이한 개별적인 주기적 구조체들(32 내지 35)을 분해할 수 없지만, 센서(230)는 그렇게 할 수 있다. 어두운 직사각형은 센서 상의 이미지의 필드를 나타내며, 이 안에서 기판 상의 조명된 스폿(31)은 대응하는 원형 영역(41)으로 이미징된다. 이 안에서, 직사각형 영역들(42 내지 45)은 주기적 구조체들(32 내지 35)의 이미지들을 나타낸다. 타겟은 스크라이브 레인보다는, 또는 이에 추가하여 디바이스 제품 피처들 사이에 위치될 수 있다. 주기적 구조체들이 디바이스 제품 영역들 내에 위치되는 경우, 디바이스 피처들도 이 이미지 필드의 주변에서 보일 수 있다. 프로세서 및 제어기(PU)가 주기적 구조체들(32 내지 35)의 개별 이미지들(42 내지 45)을 식별하기 위해 패턴 인식을 이용하여 이 이미지들을 처리한다. 이 방식으로, 이미지들은 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬되지 않아도 되며, 이는 전체적으로 측정 장치의 스루풋을 크게 개선한다.
일단 주기적 구조체들의 개별 이미지들이 식별되면, 그 개별적인 이미지들의 세기들은 예를 들어 식별된 영역들 내의 선택된 픽셀 세기 값들을 합산하거나 평균함으로써 측정될 수 있다. 이미지들의 세기들 및/또는 다른 속성들이 서로 비교될 수 있다. 이 결과들은 리소그래피 공정의 상이한 파라미터들을 측정하도록 조합될 수 있다. 오버레이 성능은 이러한 파라미터의 일 예시이다.
도 6은 예시적인 메트롤로지 장치 및 메트롤로지 기술을 도시한다. 일 실시예에서, 패터닝 공정의 관심 파라미터들 중 하나는 피처 폭(예를 들어, CD)이다. 도 6은 피처 폭 결정을 가능하게 할 수 있는 예시적인 메트롤로지 장치(예를 들어, 스케터로미터)를 매우 개략적으로 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 전향된(redirected) 방사선은, 예를 들어 왼쪽 하단의 그래프에 나타낸 바와 같이 정반사된 방사선의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 프로파일 또는 구조체가, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 6의 오른쪽 하단에 나타낸 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 프로세서(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 구조체가 만들어진 공정의 정보로부터 일부 변수들이 가정되어, 측정된 데이터로부터 결정될 구조체의 몇몇 변수들만이 남게 된다. 이러한 메트롤로지 장치는 수직-입사(normal-incidence) 메트롤로지 장치 또는 경사-입사(oblique-incidence) 메트롤로지 장치로서 구성될 수 있다. 또한, 재구성에 의한 파라미터의 측정 이외에, 각도 분해 스케터로메트리(angle resolved scatterometry)가 제품 및/또는 레지스트 패턴들 내의 피처들의 비대칭 측정에 유용하다. 비대칭 측정의 특정 적용은 오버레이의 측정을 위한 것이며, 이때 타겟은 서로 중첩된 주기적 피처들의 일 세트를 포함한다. 이 방식으로의 비대칭 측정의 개념들은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US2006-066855에서 설명된다.
도 7은 본 발명의 실시예들에서 사용하기에 적절한 메트롤로지 장치(100)의 일 예시를 나타낸다. 이 타입의 메트롤로지 장치의 작동 원리들은 미국 특허 출원 공개공보 US 2006-033921 및 US 2010-201963에서 더 상세히 설명되며, 이들은 본 명세서에서 그 전문이 인용참조된다. 장치 전체에 걸쳐 수 개의 브랜치들을 갖는 광축이 점선(O)으로 나타내어진다. 이 장치에서, 소스(110)(예를 들어, 제논 램프)에 의해 방출된 방사선이 렌즈 시스템(120), 어퍼처 플레이트(130), 렌즈 시스템(140), 부분 반사면(partially reflecting surface: 150) 및 대물 렌즈(160)를 포함한 광학 시스템에 의해 기판(W) 상으로 지향된다. 일 실시예에서, 이 렌즈 시스템들(120, 140, 160)은 4F 구성의 이중 시퀀스(double sequence)로 배치된다. 일 실시예에서, 방사선 소스(110)에 의해 방출되는 방사선은 렌즈 시스템(120)을 사용하여 시준된다. 원하는 경우, 상이한 렌즈 구성이 사용될 수 있다. 방사선이 기판 상에 입사하는 각도 범위는 기판 평면의 공간 스펙트럼을 나타내는 평면 내의 공간 세기 분포를 정의함으로써 선택될 수 있다. 특히, 이는 대물 렌즈 퓨필 평면의 배면-투영된 이미지(back-projected image)인 평면에서 렌즈들(120 및 140) 사이에 적절한 형태의 어퍼처 플레이트(130)를 삽입함으로써 행해질 수 있다. 상이한 어퍼처들을 사용함으로써, 상이한 세기 분포들[예를 들어, 환형, 다이폴(dipole) 등]이 가능하다. 반경 방향 및 주변 방향(peripheral direction)에서의 조명의 각도 분포, 및 방사선의 파장, 편광 및/또는 가간섭성(coherency)와 같은 속성들이 모두 조정되어 원하는 결과들을 얻을 수 있다. 예를 들어, 가령 405 내지 900 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 관심 파장을 선택하기 위하여 소스(110)와 부분 반사면(150) 사이에 1 이상의 간섭 필터(130)가 제공될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 간섭 필터 대신에, 격자가 사용될 수 있다. 일 실시예에서, 1 이상의 편광기(170)가 소스(110)와 부분 반사면(150) 사이에 제공되어 관심 편광을 선택할 수 있다. 편광기는 상이한 편광기들의 일 세트를 포함하기보다는 튜닝가능할 수 있다.
도 7에 나타낸 바와 같이, 타겟(T)이 대물 렌즈(160)의 광축(O)에 수직인 기판(W)과 배치된다. 따라서, 소스(110)로부터의 방사선은 부분 반사면(150)에 의해 반사되고, 대물 렌즈(160)를 통해 기판(W) 상의 타겟(T)에 조명 스폿(S)으로 포커스된다. 일 실시예에서, 대물 렌즈(160)는 바람직하게는 적어도 0.9 또는 적어도 0.95인 높은 개구수(NA)를 갖는다. (물과 같은 비교적 높은 굴절률의 유체를 이용하는) 침지 메트롤로지 장치는 심지어 1이 넘는 개구수를 가질 수도 있다.
축(O)을 벗어난 각도들로부터 조명 스폿에 포커스되는 조명 광선들(170, 172)은 회절된 광선들(174, 176)을 발생시킨다. 이 광선들은 타겟(T)을 포함한 기판의 영역을 덮는 많은 평행 광선들 중 하나에 불과하다는 것을 기억하여야 한다. 조명 스폿 내의 각각의 요소는 메트롤로지 장치의 관측 시야(field of view) 내에 있다. 플레이트(130) 내의 어퍼처가 (유용한 양의 방사선을 수용하는 데 필요한) 유한 폭을 갖기 때문에, 입사 광선들(170, 172)은 사실상 각도 범위를 차지할 것이고, 회절된 광선들(174, 176)은 어느 정도 확산(spread out)될 것이다. 작은 타겟의 점확산 함수(point spread function)에 따르면, 각각의 회절 차수는 나타낸 바와 같은 단일의 이상적인 광선이 아니라, 각도 범위에 걸쳐 더 확산될 것이다.
적어도 기판(W) 상의 타겟에 의해 회절된 0차는 대물 렌즈(160)에 의해 수집되고, 부분 반사면(150)을 통해 다시 지향된다. 광학 요소(180)가 0차 및/또는 1차 회절 빔들을 이용하여 센서(190)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟(T)의 회절 스펙트럼(퓨필 평면 이미지)을 형성하는 광학 시스템(182)에 회절 빔들의 적어도 일부를 제공한다. 일 실시예에서, 어퍼처(186)가 제공되어, 센서(190)에 특정 회절 차수가 제공되도록 소정 회절 차수들을 필터링한다. 일 실시예에서, 어퍼처(186)는 실질적으로 또는 주로 0차 방사선만이 센서(190)에 도달하게 한다. 일 실시예에서, 센서(190)는 기판 타겟(T)의 2-차원 각도 산란 스펙트럼이 측정될 수 있도록 2-차원 검출기일 수 있다. 센서(190)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)을 사용할 수 있다. 센서(190)는 단파장(또는 협파장 범위)에서의 전향된 방사선의 세기, 다수 파장들에서의 개별 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정하는 데 사용될 수 있다. 또한, 센서는 횡자기(transverse magnetic)- 및/또는 횡전기(transverse electric)-편광을 갖는 방사선의 세기, 및/또는 횡자기- 및 횡전기-편광 방사선 간의 위상차를 개별적으로 측정하는 데 사용될 수 있다.
선택적으로, 광학 요소(180)는 회절 빔들의 적어도 일부를 측정 브랜치(200)에 제공하여 센서(230)(예를 들어, CCD 또는 CMOS 센서) 상에 기판(W) 상의 타겟의 이미지를 형성한다. 측정 브랜치(200)는 도입부에서 언급된 타입의 다크 필드 이미징, 및/또는 메트롤로지 장치의 포커싱[예를 들어, 기판(W)이 대물렌즈(160)와 포커싱될 수 있게 함]과 같은 다양한 보조 기능들에 사용될 수 있다.
격자의 상이한 크기들 및 형상들에 대한 커스터마이징된 관측 시야를 제공하기 위해, 조정가능한 필드 스톱(field stop: 300)이 소스(110)로부터 대물 렌즈(160)로의 경로 상에서 렌즈 시스템(140) 내에 제공된다. 필드 스톱(300)은 어퍼처(302)를 포함하고, 타겟(T)의 평면과 켤레인 평면에 위치되어, 조명 스폿이 어퍼처(302)의 이미지가 되도록 한다. 이미지는 배율에 따라 스케일링될 수 있거나, 또는 어퍼처와 조명 스폿이 1:1 크기 관계에 있을 수 있다. 조명을 상이한 타입들의 측정에 적응가능하게 만들기 위해, 어퍼처 플레이트(300)는 디스크 주위에 형성되는 다수의 어퍼처 패턴들을 포함할 수 있으며, 이는 회전되어 원하는 패턴을 제 자리에 가져온다. 대안적으로 또는 추가적으로, 동일한 효과를 달성하기 위해 플레이트(300)들의 일 세트가 제공되고 스와핑될 수 있다. 대안적으로 또는 추가적으로, 변형가능한 거울 어레이 또는 투과형 공간 광 변조기와 같은 프로그램가능한 어퍼처 디바이스가 사용될 수도 있다.
전형적으로, 타겟은 Y 축에 평행하거나 X 축에 평행한 주기적 구조체 피처들과 정렬될 것이다. 회절 거동과 관련하여, Y 축에 평행한 방향으로 연장되는 피처들을 갖는 주기적 구조체는 X 방향에서 주기성을 갖는 한편, X 축에 평행한 방향으로 연장되는 피처들을 갖는 주기적 구조체는 Y 방향에서 주기성을 갖는다. 두 방향들에서 성능을 측정하기 위해, 일반적으로 두 타입들의 피처들이 제공된다. 단순함을 위해 라인들 및 공간들에 대해 언급할 것이지만, 주기적 구조체는 라인들 및 공간으로 형성될 필요는 없다. 또한, 각각의 라인 및/또는 라인들 사이의 공간은 더 작은 서브-구조체들로 형성된 구조체일 수 있다. 또한, 주기적 구조체는 예를 들어 주기적 구조체가 포스트(post) 및/또는 비아 홀을 포함하는 경우, 2-차원에서 한 번에 주기성을 갖도록 형성될 수 있다.
리소그래피 공정을 모니터링하기 위해, 패터닝된 기판의 파라미터들, 예를 들어 기판 내에 또는 기판 상에 형성된 연속 층들 간의 오버레이 오차를 측정할 필요가 있다. 리소그래피 공정 시 형성된 미세한 구조체들의 측정을 수행하기 위한, 스캐닝 전자 현미경 및 다양한 특수 툴들의 사용을 포함하는 다양한 기술들이 존재한다. 한 가지 형태의 특수 검사 툴은 스케터로미터이며, 여기서 기판 표면의 타겟 상으로 방사선 빔이 지향되고 산란 또는 반사된 빔의 속성들이 측정된다. 빔이 기판에 의해 반사 또는 산란된 전후에 상기 빔의 속성들을 비교함으로써, 기판의 속성들이 결정될 수 있다. 이는, 예를 들어 알려진 기판 속성들과 연계된 알려진 측정들의 라이브러리에 저장된 데이터와 반사된 빔을 비교함으로써 행해질 수 있다. 두 가지 주요 타입들의 스케터로미터가 알려져 있다. 분광 스케터로미터(spectroscopic scatterometer)는 기판 상으로 광대역 방사선 빔을 향하게 하고, 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼(파장의 함수로서 세기)을 측정한다. 각도 분해 스케터로미터는 단색 방사선 빔을 사용하고, 각도의 함수로서 산란된 방사선의 세기를 측정한다.
디바이스들은 층별로 구축되며, 오버레이는 이러한 층들을 서로 위에 정확히 프린트하는 리소그래피 장치의 능력의 척도이다. 연속 층들 또는 동일한 층의 다수 공정들은 이전 층에 정확하게 정렬되어야 하며, 그렇지 않으면 구조체들 사이의 전기적 접촉이 불량하고 결과적인 디바이스들은 사양에 따라 수행되지 않을 것이다. 오버레이는 이러한 정렬 정확성의 척도이다. 양호한 오버레이는 디바이스 수율을 개선하고, 더 작은 제품 패턴들이 프린트될 수 있게 한다. 패터닝된 기판 내부 또는 기판 상에 형성된 연속 층들 간의 오버레이 오차는 (리소그래피 장치의) 노광 장치의 다양한 부분들에 의해 제어된다. 기판의 올바른 부분들 상으로의 방사선의 정렬을 담당하는 것은 주로 리소그래피 장치의 정렬 시스템이다.
오버레이는 "이미지-기반"[박스-인-박스(box-in-box)] 기술 또는 회절-기반 오버레이(DBO) 메트롤로지를 사용하여 측정될 수 있다. DBO는 그 TMU(Total Measurement Uncertainty)가 통상적으로 "이미지-기반" 기술들에 비해 더 우수하기 때문에 사용되는 신흥 메트롤로지 기술이다. "이미지-기반"의 경우, 오버레이는 이전에 형성된 제품 층 내의 마커 패턴에 대한 레지스트 마커 패턴의 위치 측정으로부터 도출될 수 있다. DBO의 경우, 오버레이는 예를 들어 최하층(예를 들어, 제품 층) 격자 위에 쌓인 최상층(예를 들어, 레지스트 층) 격자와 같은 2 개의 유사한 격자 구조체들의 회절 빔들로부터 간섭 패턴의 형상을 검출함으로써 간접적으로 측정된다.
반도체 칩 제조는 기판 상에 원하는 패턴을 형성하기 위해 기판 상에 수행되는 1 이상의 공정을 수반한다. 1 이상의 공정(예를 들어, 리소그래피, 레지스트 현상, 에칭, 화학적 증착 등)은 기판의 두 층들 사이에 오버레이 오차들을 유도할 수 있다. 기판의 오버레이는 1 이상의 공정에 의해 유도된 오버레이를 포함하는 오버레이 핑거프린트로 나타낼 수 있다. 일부 실시예들에서, 공정에 의해 유도된 오버레이 핑거프린트은 또 다른 공정에 의해 유도된 오버레이 핑거프린트와 상이할 수 있다. 오버레이 핑거프린트는 기판의 필드-내(intra-field) 또는 다이-내(intra-die)에 대한 것일 수 있다. 예를 들어, 필드-내는 기판(W)의 상이한 위치에서 동일한 패터닝 디바이스(M)를 사용하여 패터닝하는 1 이상의 인스턴스를 언급한다. 다이-내는 기판의 상이한 다이들과 연계된 오버레이 핑거프린트를 언급한다.
일 실시예에서, 필드-내 및 다이-내 공정 오버레이 핑거프린트는 칩 레이아웃의 불균일(예를 들어, 패턴 밀도 불균일)으로 인해 존재한다. 이러한 패턴 밀도의 불균일은 흔히 특정 공정(예를 들어, 박막 응력을 야기하는 증착 및 에칭 로딩 효과를 야기하는 에칭)과 상호작용하고 공정 오버레이 핑거프린트를 유도한다. 이러한 공정 오버레이 핑거프린트는 정확하게 측정되거나 예측되는 경우, 오버레이 옵티마이저(예를 들어, ASML의 OVO2/3/4) 또는 광 근접 보정(optical proximity correction: OPC) 제품들을 사용하여 보정될 수 있다.
현재, 오버레이 핑거프린트들은 디바이스 내 메트롤로지(IDM)와 같은 메트롤로지 툴을 통해 광학 CD-타입의 측정들을 사용하여 측정된다(예컨대, 도 7 참조). 일 예시로서, 디바이스 내 메트롤로지 툴은 (예를 들어, 가시 파장들을 사용하여) 퓨필에서 측정하는 스케터로미터를 포함하며, 5x5 ㎛2 이하의 디바이스-내 타겟들을 측정하는 데 적절하다(조명 스폿은 2 ㎛이거나 이와 유사함). 하지만, 비-주기적 로직[예를 들어, 시스템 온 칩(SoC)] 회로 패턴들을 포함하여 기판 상에 형성된 층들의 복잡한 스택에 대해서는, 광학 CD 측정들이 가능하지 않다. 이러한 패턴들에 대해, 스캐닝 전자 현미경(SEM)이 채택될 수 있다. 하지만, 기판 피처들에 대해 (예를 들어, CD-SEM으로) 몇 개의 국부적 측정들이 수행되더라도, 오버레이 핑거프린트를 생성하는 알려지지 않은 물리적 메카니즘 때문에 희소하게 샘플링된 결과를 전체 필드 또는 전체 다이에 대해 외삽하는 것은 어렵다.
본 발명은 공정 파라미터(예컨대, 오버레이)의 측정을 가능하게 하고, 공정 파라미터에서의 공정 유도 핑거프린트(예컨대, 오버레이 핑거프린트)의 추출을 가능하게 하는 구조체를 디자인하기 위한 메카니즘을 설명한다. 일 실시예에서, 디자인된 구조체는 메트롤로지 마크 구조체 또는 마크 구조체라고도 한다. 일 실시예에서, 메트롤로지 마크 구조체는 관심 있는 공정 효과(예를 들어, 에칭 로딩 또는 박막 응력)의 길이 스케일들과 비교하여 충분히 큰 영역을 따라 연장되는 기판 상에 형성될 수 있다. 예를 들어, (국부적) 오버레이에 대한 에칭/박막 응력 효과들의 경우에 약 10 내지 200 ㎛이다. 메트롤로지 마크 구조체는 기판의 층(예를 들어, 최상층)에 형성될 수 있으며, 층(예를 들어, 최상층) 상의 피처들과 최하층 상의 피처들 사이의 오버레이 측정들이 얻어질 수 있다.
일 실시예에서, 메트롤로지 마크 구조체의 디자인은 메트롤로지 구조체의 피처들의 밀도 변조를 수반한다. 일 실시예에서, 최상층 피처들이 최하층 피처들에 정렬될 수 있고, 최상층 피처들의 밀도 변조가 수행될 수 있다. 이러한 밀도 변조는 공정의 물리적 효과들을 특성화하는 함수를 사용하여 공정-특정적 오버레이 핑거프린트들의 추출 또는 분리를 가능하게 한다.
일 실시예에서, 밀도 변조는 피처들의 크기, 형상, 총수 등을 변화시킴으로써 달성될 수 있다. 일 실시예에서, 밀도 변조는 그래디언트가 오버레이 핑거프린트에 대응하는 퍼텐셜 함수에 기초하여 도출되는 패턴 밀도 맵에 기초하여 달성된다. 퍼텐셜 함수는 여하한의 적절한 미분가능한 함수(예를 들어, 포물선, 삼각 함수 등)일 수 있다. 일 실시예에서, 퍼텐셜 함수는 패턴 내의 피처들의 밀도를 특성화하는 밀도 함수(D)와 반도체 제조 공정을 특성화하는 커널(K)의 컨볼루션으로서 표현될 수 있다. 예를 들어, 퍼텐셜 함수(P)는 밀도 함수(D)와 커널(K)의 컨볼루션과 같으며, 이는 기호로 P = D(K)로 나타낼 수 있다. 앞서 언급된 관계는 밀도 함수(D)를 연산하도록 다시 쓰일 수 있다(예를 들어, 역을 적용함). 일 실시예에서, 푸리에 역변환이 적용되어, 밀도 함수(D)를 결정하도록 함수의 역을 연산할 수 있다.
일 실시예에서, 밀도 함수(D)는 (예를 들어, 마스크 규칙 체크를 포함한) 패턴 밀도 요건을 준수하는 패턴을 포함하는 메트롤로지 마크 구조체로 전환될 수 있고, 이는 레티클에 적용될 수 있다. 일 실시예에서, 메트롤로지 마크 구조체에 걸친 오버레이 데이터는 메트롤로지 툴(예컨대, SEM)을 사용하여 측정될 수 있다. 일 실시예에서, 오버레이 데이터는 오버레이의 크기 및 방향을 나타내는 벡터장으로서 표현될 수 있다. 일 실시예에서, 오버레이 데이터의 역전파(back propagating)가 공정을 특성화하는 커널(들)의 추론을 허용한다. 예를 들어, 커널은 어떤 공정 단계들이 오버레이 핑거프린트에 어느 정도 기여하는지를 드러낼 수 있다.
일 실시예에서, 메트롤로지 마크 구조체는 필드-내 또는 다이-내 오버레이 모니터링에 사용될 수 있다. 기판의 메트롤로지 마크 구조체에서 측정된 오버레이 핑거프린트들은 오버레이 제어 및 공정 제어를 포함 -이에 제한되지는 않음- 하는 다양한 적용예들을 가질 수 있다. 예를 들어, 디자인된 구조체는 기판의 응력 레벨을 직접 측정할 수 있으며, 측정된 응력 레벨은 커스터머 박막 공정을 최적화하는 데 더 사용될 수 있다. 일 실시예에서, 메트롤로지 마크 구조체는 오버레이 핑거프린트를 유도하는 상이한 물리적 모드들 및 길이 스케일들을 분리하는 데 사용될 수 있다. 이러한 오버레이 핑거프린트들의 분리는 오버레이 핑거프린트(예를 들어, 에칭 로딩 효과 또는 박막 응력으로 인해 야기되는 오버레이 핑거프린트)의 근본 원인들을 식별하는 데 사용될 수 있다.
도 8은 일 실시예에 따른, 1 이상의 반도체 제조 공정의 공정 특성들을 측정하기 위한 메트롤로지 마크 구조체를 디자인하는 방법(800)의 흐름도이다. 메트롤로지 마크 구조체는 패턴 분포에 기초한 피처들의 밀도 변조에 의해 결정된다. 일 실시예에서, 메트롤로지 마크 구조체의 피처들은 비-주기적 구조체를 갖고 제 1 층에 형성될 수 있으며, 제 2 층의 피처들은 주기적 구조체를 가질 수 있다. 메트롤로지 마크 구조체를 형성하고 기판 상의 메트롤로지 마크 구조체에서 오버레이를 측정할 때, 공정 커널이 측정된 오버레이로부터 공정 유도 오버레이 핑거프린트들을 분리하는 데 사용될 수 있다. 상기 방법(800)은 아래의 예시적인 프로세스들(P802, P804, 및 P806)과 관련하여 더 상세히 논의된다.
프로세스 P802는 기판 상에서 수행되는 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트를 특성화하는 제 1 함수(801)를 얻는 단계를 포함한다. 일 실시예에서, 오버레이 핑거프린트는 기판의 제 1 층 상의 패턴과 제 2 층 상의 또 다른 패턴의 상대 위치 사이의 오버레이의 표현이다. 제 1 함수는 원하는 오버레이 핑거프린트 특성 또는 속성을 나타내는 사용자-지정 함수일 수 있다.
일 실시예에서, 제 1 함수(801)는 그래디언트가 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트와 균등한 미분가능한 함수, 또는 소위 퍼텐셜 함수를 결정함으로써 얻어질 수 있다. 하지만, 본 발명은 제 1 함수에 의해 표현될 수 있는 오버레이 핑거프린트의 여하한의 특정한 특성 또는 속성에 제한되지 않음을 이해할 것이다. 일 실시예에서, 제 1 함수(801)는: 기판의 1 이상의 치수의 포물선 함수; 기판의 1 이상의 치수의 삼각 함수; 또는 기판의 1 이상의 치수의 역 함수 중 적어도 하나이다. 일 실시예에서, 제 1 함수(801)는 기판 상의 공간을 설명하는 데 사용되는 좌표의 관점에서 표현될 수 있다. 예를 들어, 제 1 함수(801)는 x, y 또는 극좌표의 함수로서 표현될 수 있다.
일 실시예에서, 제 1 함수(801)는 p(x,y)로서 나타낼 수 있고, 오버레이는 변위 벡터(ux,uy)로서 표현될 수 있다. 제 1 함수(801)와 오버레이 사이의 관계는 오버레이가 제 1 함수(801)의 그래디언트인 것으로 표현될 수 있다. 예를 들어, 관계는 기호로 (ux,uy) = ∇p(x,y)로서 나타낸다.
프로세스 P804는 제 1 함수(801)에 기초하여, 기판의 부분 내의 다수 피처들을 나타내는 패턴 분포(803)를 도출하는 단계를 포함한다. 예를 들어, 패턴 분포는 기판의 부분 내의 피처들의 밀도를 나타낼 수 있다. 피처들의 밀도는 피처들의 면적을 기판의 부분의 면적으로 나눔으로써 연산될 수 있다.
일 실시예에서, 패턴 분포(803)는 제 2 함수(802)를 사용하여 제 1 함수(801)를 디컨볼루션함으로써 도출되며, 여기서 제 2 함수(802)는 기판의 층에 대한 반도체 제조 공정의 물리적 효과를 특성화한다.
일 실시예에서, 제 2 함수(802)는 패턴 분포(803)와 컨볼루션할 때 분리가능하다. 일 실시예에서, 제 2 함수(802)는 기판의 치수(예를 들어, 길이)의 함수인 커널 함수를 포함할 수 있으며, 이는 제 2 함수(802)가 기판 상의 상이한 길이들에서 오버레이 핑거프린트의 변화들을 포착하게 한다. 일 실시예에서, 커널 함수는 오버레이에 대한 공정의 물리적 효과를 포착하는 파라미터로서 길이를 갖는 가우시안 커널이다. 예를 들어, 제 1 길이에서의 공정(예를 들어, 에칭)의 물리적 효과는 오버레이에서 제 1 기여로 나타나고, 제 2 길이에서는 오버레이에서 제 2 기여로 나타나는 등이다. 일 실시예에서, 제 2 함수(802)는: 오버레이 핑거프린트에 기여하는 에칭 공정 동안의 표면 전하들의 재분포를 특성화하는 확산 커널; 또는 오버레이 핑거프린트에 기여하는 에칭 공정 동안 완화되는 응력을 특성화하는 응력 커널 중 적어도 하나를 포함한다.
일 실시예에서, 패턴 밀도(803)와 오버레이[예를 들어, 변위(ux,uy)로 나타냄] 사이의 관계는 다음과 같이 표현될 수 있다:
Figure pct00001
앞선 수학식에서, D(x,y)는 데카르트 좌표의 함수로서 패턴 분포를 표시하고; K(x,y)는 제 2 함수(예를 들어, 공정 커널 함수)를 표시하며;
Figure pct00002
는 컨볼루션 연산자이고;
Figure pct00003
는 그래디언트 연산자이다. 일 실시예에서, 앞선 모델 형태는 공정 오버레이 핑거프린트가 패턴 밀도에 가산됨을 가정하며, 오버레이 필드에 컬(curl) 성분이 없다고 가정한다.
제 2 함수 K(x,y)의 한 예시는 응력 유도 오버레이를 특성화하는 역승(inverse power) 커널 함수일 수 있다. 역승 커널 함수는 기판 상의 필름 두께에 대응하는 제 1 파라미터 및 기판 상의 길이를 나타내는 제 2 파라미터를 포함할 수 있다. 이러한 것으로서, 제 1 파라미터를 변동시킴으로써 역승 커널 함수는 상이한 두께들에 대한 응력 유도 오버레이를 결정하도록 구성될 수 있고, 제 2 파라미터를 변동시킴으로써 커널 함수는 상이한 길이들에서 응력 유도 오버레이를 결정하도록 구성될 수 있다.
제 2 함수 K(x,y)의 또 다른 예시는 확산 유도 오버레이를 특성화하는 확산 관련 공정들, 예를 들어 에천트 농도에 대한 가우시안 커널일 수 있다. 가우시안 커널 함수는 기판 상의 길이를 나타내는 길이 파라미터를 포함할 수 있다. 이러한 것으로서, 길이 파라미터를 변동시킴으로써 가우시안 커널 함수는 상이한 길이들에서 확산 유도 오버레이를 결정하도록 구성될 수 있다.
측정된 바와 같은 기판의 오버레이 핑거프린트는 기판이 거쳤던 다수 공정들의 조합에 기인할 수 있다. 오버레이 핑거프린트의 근본 원인들을 결정하기 위해 각각의 공정 핑거프린트 기여를 분리하는 것이 유용하다. 본 명세서에 설명된 메트롤로지 마크 구조체들은 1 이상의 커널 함수에 의해 계산될 수 있는 특유한 오버레이 핑거프린트를 조작(engineer)하고 조작된 오버레이 핑거프린트로부터 측정된 편차를 테스트한다. 일 실시예에서, 다중 선형 회귀에 의해 공정 유도 오버레이 핑거프린트들이 분리될 수 있다.
일 실시예에서, 패턴 분포(803)의 도출은 제 1 함수(801) 및 제 2 함수(802)에 푸리에 변환을 적용하여 변환된 제 1 함수 및 변환된 제 2 함수를 얻는 단계; 변환된 제 1 함수를 변환된 제 2 함수로 나누는 단계; 및 이후 푸리에 역변환을 적용하여 메트롤로지 마크 구조체의 패턴 분포(803)를 결정하는 단계를 포함한다.
일 실시예에서, 패턴 분포(803)는 앞서 설명된 바와 같이 결정될 수 있고, 다음과 같이 기호로 설명될 수 있다. 예를 들어, 패턴 밀도 분포(803)는 패턴 분포 함수를 얻기 위해 제 2 함수를 사용하여 제 1 함수를 디컨볼루션함으로써 결정될 수 있다. 예를 들어, 기호로
Figure pct00004
이며, 여기서
Figure pct00005
는 디컨볼루션 연산자를 나타낸다. 일 실시예에서, 디컨볼루션은 고속 푸리에 변환에 의해 연산될 수 있다. 예를 들어, 앞선 수학식은 다음과 같이 공식화될 수 있다:
Figure pct00006
앞선 수학식에서, ξ는 특이점을 피하기 위해 추가된 작은 수이고, fft2는 고속 푸리에 변환 함수를 나타내며, ifft2는 고속 푸리에 역변환 함수를 나타낸다.
일 실시예에서, 패턴 분포 함수 D(x,y)는 각각의 (x,y) 좌표에 대응하는 D(x,y)의 값들에 이진 임계치를 적용함으로써 이진화될 수 있다. 일 예시로서, 이진화는 다음과 같이 달성될 수 있다: D(x,y) > 임계치에 대해, D(x,y)에 값 1이 할당되고; D(x,y) <= 임계치에 대해, D(x,y)에 값 0이 할당된다. 일 실시예에서, 패턴 분포의 이진화의 목적은 오버레이 핑거프린트의 크기를 확대하는 것일 수 있다.
프로세스 P806은 패턴 분포(803)에 기초하여, 기판을 배치하기 위한 메트롤로지 마크 구조체(810)의 피처들의 물리적 특성들을 결정하는 단계를 포함한다. 예를 들어, D(x,y)로 나타낸 함수로서 얻어진 패턴 분포는 메트롤로지 마크 구조체(810)의 피처들을 결정하기 위해 더 사용될 수 있다. 일 실시예에서, 메트롤로지 마크 구조체에 대한 피처들의 결정은 피처들의 크기, 형상 등을 변화시킴으로써 밀도 변조를 수반한다. 예를 들어, 밀도 변조에 의해 1 이상의 패턴이 균일한 메시 그리드 상에서 정사각형들로 디자인될 수 있으며, 그 국부적 패턴 밀도가 정사각형들의 변(side) 길이에 의해 변조된다. 밀도 변조된 패턴은 기판의 최상층에 표현(또는 형성)될 수 있다. 일 실시예에서, 최하층 피처들은 최상층 사각형들에 의해 에지들이 포함되는 더 작은 사각형들로 디자인될 수 있다. 이는 에칭 공정 후 SEM에 의한 국부적 오버레이 측정을 가능하게 할 것이다.
일 실시예에서, 피처들의 물리적 특성들은 패턴 분포(803)에 기초하여 메트롤로지 마크 구조체(810)의 다각형 형상들을 변동시킴으로써 결정될 수 있다. 예를 들어, 제 1 다각형 형상 세트(예컨대, 정사각형)는 제 2 다각형 형상 세트(예컨대, 원형)의 다각형 형상들과 구별되는 다각형 형상들을 포함한다. 일 실시예에서, 물리적 특성들은 서로에 대한 피처들의 위치설정을 변동시킴으로써 결정될 수 있다.
일 실시예에서, 피처들의 물리적 특성들은 메트롤로지 마크 구조체(810)의 다각형 형상 세트를 생성하기 위해 패턴 분포(803) 및 기하학적 제약들의 세트에 기초하여 결정될 수 있다. 일 실시예에서, 패턴 분포(803) 및 피처들 간의 거리 제약들에 기초하여, 제 1 다각형 형상 세트 또는 제 2 다각형 형상 세트의 다각형 형상들 사이의 위치설정이 결정될 수 있다. 일 실시예에서, 기하학적 제약들의 세트는 서로에 대한 다각형 형상들의 형상, 크기 및/또는 상대적 위치설정과 관련된 임계값들의 세트를 포함한다.
일 실시예에서, 피처들의 물리적 특성들의 결정은 반복적인 프로세스이며, 각각의 반복은 패턴 분포(803)에 기초하여, 메트롤로지 마크 구조체(810)의 부분 내에서 다각형 형상들의 초기 세트를 분포시키는 단계; 다각형 형상들의 초기 세트와 관련된 기하학적 제약들의 세트가 만족되는지 여부를 결정하는 단계; 1 이상의 기하학적 제약이 만족되지 않는 것에 응답하여, 1 이상의 기하학적 제약이 만족되도록 다각형 형상들의 초기 세트의 1 이상의 다각형 형상의 형상을 수정하는 단계; 및 수정된 다각형 형상들을 다각형 형상들의 세트에 포함시키는 단계를 수반한다.
일 실시예에서, 메트롤로지 마크 구조체(810)는 제 1 층 상에 형성될 수 있다. 일 실시예에서, 제 1 층 상의 메트롤로지 마크 구조체(810)의 피처들은 비-주기적 구조체를 갖고, 제 2 층 상의 피처들은 주기적 구조체를 갖는다.
도 9 내지 도 12는 메트롤로지 구조체들의 피처들의 다각형 형상들을 결정하기 위해 가이드로서 패턴 분포 또는 패턴 밀도 맵을 사용하여 생성되는 메트롤로지 마크 구조체들의 예시들을 나타낸다. 도 9는 기판의 일부분에 걸쳐 다양한 밀도를 갖는 예시적인 패턴 밀도 맵(PDM)을 나타낸다. 도 10, 도 11, 도 12는 가이드로서 패턴 밀도 맵(PDM)의 다양한 밀도를 사용하여 생성될 수 있는 상이한 메트롤로지 마크 구조체들을 나타낸다. 도 9 내지 도 12의 피처들은 상이한 층들을 시각적으로 구별하기 위해 회색 또는 해칭(hatching)으로 채워져 있다. 일 실시예에서, 피처들은 특성화하는 다각형들 또는 함수들로 표현될 수 있으며, 각각의 다각형이 피처의 외곽선을 특성화한다.
도 9를 참조하면, 패턴 밀도 맵(PMD)은 본 명세서에서 논의되는 바와 같이, 오버레이 핑거프린트와 연계된 제 1 함수 및 공정 효과와 연계된 커널 함수를 사용하여 얻어질 수 있다. 일 예시로서, 패턴 밀도 맵(PDM)은 이미지로 표현되며, 여기서 픽셀 세기들이 피처의 양을 나타낸다. 예를 들어, 이미지 내에서 더 높은 픽셀 세기 부분은 이러한 부분이 다른 부분들보다 더 높은 피처 밀도를 가질 것을 나타낸다. 가이드로서 패턴 밀도 맵(PDM)을 사용하여, 메트롤로지 마크 구조체(MS1) 내의 피처들의 밀도가 패턴 밀도 맵(PDM)의 밀도에 대응하도록 메트롤로지 마크 구조체(MS1)가 디자인된다. 예를 들어, MS1의 어두운 링(R1)이 더 높은 밀도의 링(D1)에 대응한다. 메트롤로지 마크 구조체(MS1)의 피처들은 확대된 부분들(MSp1 및 MSp2)에서 더 잘 보인다.
메트롤로지 마크 구조체(MS1)의 확대된 부분(MSp1)은 메트롤로지 마크 구조체(MS1) 내의 예시적인 피처들을 나타낸다. 부분(MSp1) 내에서 나타낸 바와 같이, 우측 하부 코너 구역은 부분(MSp1)의 다른 구역들에 비해 더 높은 밀도를 갖는다. 일 예시에서, 우측 하부 코너 구역을 부분(MSp1)의 다른 구역들 내의 피처들의 CD보다 큰 CD를 갖는 피처들로 채움으로써 고밀도 구역이 달성될 수 있다. 본 예시에서, 메트롤로지 마크 구조체(MS1)는 어레이로 배치된 정사각형 피처들을 포함하며, 일부 정사각형들은 다른 것들보다 더 크다. 하지만, 여하한의 다른 적절한 형상들이 또한 본 발명의 범위를 벗어나지 않고 사용될 수 있다. 메트롤로지 마크 구조체(MS1)의 정사각형 피처들은 층 L1 상에 형성될 수 있다. 또한, 부분(MSp1)은 메트롤로지 마크 구조체(MS1)가 위치되는 층(예컨대, 층 L1) 아래의 또 다른 층(예컨대, 층 L2)에 형성된 더 작은 정사각형들을 나타낸다. 일 예시에서, 오버레이가 두 층들 사이의 피처들의 에지들 사이에서 측정될 수 있다.
부분(MSp1)의 더 확대된 부분(MSp2)이 피처들과 관련된 더 많은 세부사항을 나타낸다. 부분(MSp2)은 층 L1(해칭으로 표시됨)에 포함된 피처들(L1-F1, L1-F2 및 L1-F3)을 나타낸다. 메트롤로지 구조체의 이러한 피처들은 층 L2에 포함된 피처들(L2-F1, L2-F2 및 L2-F3) 위에 배치될 수 있으며, 여기서 각각의 피처는 CD1(예를 들어, 0.05 ㎛)의 CD 값을 갖는다. 일 실시예에서, 피처들은 CD2 및 CD3과 같은 상이한 CD들을 갖는 정사각형 형상일 수 있다. 예를 들어, L1-F3과 같은 작은 피처들은 CD2(예를 들어, 0.08 ㎛)를 갖고, L1-F1 및 L1-F2와 같은 큰 피처들은 CD3(예를 들어, 0.3 ㎛)을 갖는다. 추가적으로, 일 실시예에서, 밀도는 MS1의 피처들 사이의 거리를 변화시킴으로써 변동될 수 있다. 본 예시에서, L1-F3과 같은 작은 피처들 사이의 거리는 d1(예를 들어, 0.4 ㎛)일 수 있다.
도 10은 패턴 밀도 맵[예컨대, 도 9의 맵(PDM)]을 사용하여 생성된 (층 L1 상의) 또 다른 메트롤로지 마크 구조체(MS2)를 예시한다. 메트롤로지 마크 구조체(MS2)는 작은 크기의 피처들을 특징으로 하는 저밀도 구역(D21) 및 큰 크기의 피처들을 특징으로 하는 고밀도 구역(D22)을 포함한다. 나타낸 바와 같이, 층 L1 상의 일부 피처들은 상이한 크기들을 가지며, 간격이 균일하지 않다. 일 실시예에서, 메트롤로지 마크 구조체(MS2)는 서로 등거리에 있는 작은 피처들(점선)의 어레이를 갖는 또 다른 층(L2) 위에 배치될 수 있다. 이러한 메트롤로지 마크 구조체(MS2)가 기판 상에 프린트되고 대응하는 오버레이 측정이 얻어질 때, 특정 공정 유도 오버레이 핑거프린트들(예를 들어, 응력 관련, 확산 관련 등)이 구조체(MS2)를 디자인하는 데 사용된 커널들을 사용하여 추출될 수 있다.
도 10은 패턴 밀도 맵[예컨대, 도 9의 맵(PDM)]을 사용하여 생성된 (기판의 층 L1 상의) 또 다른 메트롤로지 마크 구조체(MS2)를 예시한다. 메트롤로지 마크 구조체(MS2)는 작은 크기의 피처들을 특징으로 하는 저밀도 구역(D21) 및 큰 크기의 피처들을 특징으로 하는 고밀도 구역(D22)을 포함한다. 나타낸 바와 같이, 층 L1 상의 일부 피처들은 상이한 크기들을 가지며, 간격이 균일하지 않다. 일 실시예에서, 메트롤로지 마크 구조체(MS2)는 서로 등거리에 있는 작은 피처들(점선)의 어레이를 갖는 또 다른 층(L2) 위에 배치될 수 있다.
도 11은 패턴 밀도 맵[예컨대, 도 9의 맵(PDM)]을 사용하여 생성된 (기판의 층 L1 상의) 또 다른 메트롤로지 마크 구조체(MS3)를 예시한다. 메트롤로지 마크 구조체(MS3)는 작은 크기의 피처들을 특징으로 하는 저밀도 구역(D31) 및 큰 크기의 피처들을 특징으로 하는 고밀도 구역(D32)을 포함한다. (도 10의) 밀도 구역들(D21 및 D22)의 피처들 및 (도 11의) 밀도 구역들(D31 및 D32)의 피처들을 비교하면, 밀도(예를 들어, D21과 D31, 및 D22와 D32)는 거의 동일할 수 있지만, 피처들 간의 형상, 크기 및 거리는 상이할 수 있다. 도 11에서, 피처들은 정사각형과 동일한 형상들을 갖지만, 크기들은 상이하다. 이러한 피처들의 형상 및 크기는 MS1 또는 MS2의 피처들의 형상과 상이할 수 있다. 도 11에 나타낸 바와 같이, 층 L1 상의 일부 피처들은 상이한 크기들을 가지며, 간격이 균일하지 않다. 일 실시예에서, 메트롤로지 마크 구조체(MS3)는 서로 등거리에 있는 작은 피처들(점선)의 어레이를 갖는 또 다른 층(L2) 위에 배치될 수 있다.
도 12는 패턴 밀도 맵[예컨대, 도 9의 맵(PDM)]을 사용하여 생성된 (기판의 층 L1 상의) 또 다른 메트롤로지 마크 구조체(MS4)를 예시한다. 메트롤로지 마크 구조체(MS4)는 작은 크기의 피처들을 특징으로 하는 저밀도 구역(D41) 및 큰 크기의 피처들을 특징으로 하는 고밀도 구역(D42)을 포함한다. (도 10의) 밀도 구역들(D21 및 D22)의 피처들 및 (도 12의) 밀도 구역들(D41 및 D42)의 피처들을 비교하면, 밀도(예를 들어, D21과 D41, 및 D22와 D42)는 거의 동일할 수 있지만, 피처들 간의 형상, 크기 및 거리는 상이할 수 있다. 도 12에서, 피처들은 MS2 또는 MS3의 피처들의 형상과 상이한 직사각형, 정사각형, 십자형, 원형 등과 같은 상이한 형상들로 이루어질 수 있다. 도 12에 나타낸 바와 같이, 층 L1 상의 일부 피처들은 상이한 크기들을 가지며, 간격이 균일하지 않다. 일 실시예에서, 메트롤로지 마크 구조체(MS4)는 서로 등거리에 있는 작은 피처들(점선)의 어레이를 갖는 또 다른 층(L2) 위에 배치될 수 있다.
이러한 메트롤로지 마크 구조체(MS1, MS2, MS3, 또는 MS4)가 기판 상에 프린트되고 대응하는 오버레이 측정들이 얻어질 때, 특정 공정-유도 오버레이 핑거프린트들이 메트롤로지 마크 구조체들을 디자인하는 데 사용된 커널들을 사용하여 추출될 수 있다.
일 실시예에서, 메트롤로지 마크 구조체는 최상층에서 기판 상에 형성될 수 있고, 오버레이 측정들이 얻어질 수 있다. 일 실시예에서, 상기 방법(800)은 (예를 들어, 메트롤로지 툴을 통해) 메트롤로지 마크 구조체(810)에서 패터닝된 기판의 오버레이의 측정을 얻는 단계; 메트롤로지 마크 구조체(810)와 연계된 패턴 밀도 함수 및 패터닝된 기판 상에서 수행되는 공정들의 세트의 물리적 효과들을 특성화하는 제 2 함수들의 세트(802s)를 사용하여 오버레이 핑거프린트를 예측하는 단계; 및 측정된 오버레이 및 예측된 오버레이 핑거프린트에 기초하여, 패터닝된 기판 상에서 수행되는 공정들의 세트 각각의 오버레이 핑거프린트 기여를 결정하는 단계를 더 포함할 수 있다. 일 실시예에서, 오버레이 핑거프린트 기여는 예측된 오버레이 핑거프린트를 측정된 오버레이에 피팅함으로써 결정될 수 있다. 예를 들어, 다중 선형 회귀가 제 2 함수들의 세트(802s)와 연계된 파라미터들을 튜닝함으로써 수행될 수 있다.
예를 들어, 기판이 처리된 후, 메트롤로지 마크 구조체 영역에서 국부적 오버레이(ux,uy)가 측정될 수 있고, 측정된 오버레이는 공정 유도 핑거프린트들을 포함한다. 일 실시예에서, 국부적 오버레이는 피처들의 측정된 위치에서 레이아웃(예를 들어, GDS 또는 OAS 파일) 위치를 뺀 것으로 결정될 수 있다. 일 실시예에서, 오버레이 핑거프린트 라이브러리가 아래 수학식에 표현된 바와 같이 디자인된 패턴 분포 D(x,y) 및 상이한 커널들 Ki(여기서, i는 제 1 공정, 제 2 공정, 제 3 공정 등을 나타냄)로부터 생성될 수 있다:
Figure pct00007
일 실시예에서, 핑거프린트 매핑은 측정된 핑거프린트와 1 이상의 연산된 핑거프린트 사이의 관계를 모델링하는 다중 선형 회귀와 같은 피팅 기술을 사용하여 수행될 수 있다. 핑거프린트 매핑은 아래 수학식으로 표현될 수 있다:
Figure pct00008
예를 들어, Ci는 커널 함수에 적용되는 피팅 계수일 수 있다. 일 실시예에서, 피팅 후, 공정의 오버레이 핑거프린트 기여가 대응하는 피팅된 커널 K를 밀도 분포와 컨볼루션함으로써 얻어질 수 있다.
일 실시예에서, 상기 방법(800)은 다중 선형 회귀 후에 얻어진 공정의 오버레이 핑거프린트 기여에 기초하여, 공정과 연계된 오버레이 핑거프린트 기여를 감소시키도록 공정들의 세트의 공정 파라미터들을 조정하는 단계를 더 포함할 수 있다. 일 실시예에서, 상기 방법(800)은 메트롤로지 마크 구조체(810) 상에서 제 2 함수(802)의 오버레이 응답을 측정함으로써 메트롤로지 마크 구조체(810)의 측정된 오버레이 핑거프린트로부터 확산 핑거프린트 및 응력 핑거프린트를 분리하는 단계를 더 포함할 수 있다.
일 실시예에서, 패턴 분포(D)는 포물선 함수일 수 있는 제 1 함수 p(x,y), 및 상이한 길이 기반의 공정 유도 핑거프린트들을 가능하게 하는 가변 길이를 갖는 가우시안 커널(K)일 수 있는 제 2 함수를 사용하여 도출될 수 있다. 예를 들어, 30(x 및 y 단위들과 동일한 단위)의 길이에서이다. 패턴 분포(D)를 사용하면, 상이한 가우시안 길이에서 공정 핑거프린트는 매우 상이할 수 있다. 예를 들어, 30 미만의 길이에서, 컨볼루션된 패턴 분포(D)는 다수 줄무늬들을 가질 수 있으며; 오버레이를 나타내는 그래디언트(예를 들어, 크기 및 방향을 갖는 화살표들)는 교번 방향들을 갖는다. 30 이상의 길이에서, 컨볼루션된 패턴 분포(D)는 기판의 중심을 향하는 화살표들을 갖는 (예를 들어, 오버레이를 나타내는) 그래디언트를 가질 수 있다.
본 명세서에 언급된 바와 같이, 상이한 공정 핑거프린트들의 분리가능성 및 오버레이 핑거프린트들의 길이 스케일에 대한 감도는 몇 가지 이점을 제공한다. 그러므로, 길이 스케일 변화에 민감한 패턴 분포를 조작하는 것이 유리하며, 이는 대역 통과 필터와 유사하다. 이러한 최적화는 다양한 최적화 방법들에 의해 목적 함수를 정의하고 비용 함수를 최소화함으로써 수치적 방법들로 수행될 수 있다.
도 13a 및 도 13b는 본 명세서에서 논의된 바와 같이 디자인된 메트롤로지 마크 구조체에 대한 공정 핑거프린트들을 분리하는 예시를 나타낸다. 앞서 논의된 바와 같이 메트롤로지 마크 구조체를 디자인할 때, 선택된 제 1 함수는 원뿔 함수일 수 있고, 선택된 커널은 길이 파라미터가 40 단위로 설정된 가우시안 커널일 수 있다. 일 실시예에서, 메트롤로지 마크 구조체는 면적을 더 활용하기 위해 (예를 들어, 주기적 구조체를 갖는) 9 ㎛ 회절 기반 오버레이(DBO) 마크들이 내재될 수 있다. 도 13a는 2 개의 상이한 공정 유도 오버레이 핑거프린트들을 분리하도록 구성되는 예시적인 메트롤로지 마크 구조체를 나타낸다. 예를 들어, 가우시안 커널 및 역승 함수가 메트롤로지 마크 구조체를 디자인하는 데 사용될 수 있다. 이러한 것으로서, 확산 유도 핑거프린트가 가우시안 커널 및 역승 함수를 각각 사용하여 응력 유도 핑거프린트로부터 분리될 수 있다.
도 13b는 가우시안 커널을 사용하여 분리된 오버레이 핑거프린트(OVLFP1)를 예시한다. 오버레이 핑거프린트(OVLFP1)는 메트롤로지 마크 구조체의 중심으로부터 멀어지는 화살표들로 표시된다. 도 13c는 역승 커널을 사용하여 분리된 오버레이 핑거프린트(OVLFP2)를 예시한다. 오버레이 핑거프린트(OVLFP2)는 교번 방향들을 갖는 화살표들로 표시된다. 따라서, 오버레이 핑거프린트들(OVLFP1 및 OVLFP2)은 서로 매우 상이하다. 다시 말해서, 가우시안 커널 및 역승 함수는 각자의 공정 유도 오버레이 핑거프린트들을 예측하는 데 사용될 수 있다.
일 실시예에서, 상기 방법(800)은 제 2 함수들의 세트(802s)를 사용하여, 측정된 오버레이를 기판의 전체 필드에 외삽하는 단계를 더 포함할 수 있다. 일 실시예에서, 상기 방법(800)은 기판의 전체 필드의 외삽된 오버레이를 사용하여 1 이상의 공정 모델을 캘리브레이션하는 단계, 및 캘리브레이션된 공정 모델들에 기초하여 공정에 의해 유도되는 오버레이를 제어하기 위한 공정의 파라미터 값들을 결정하는 단계를 더 포함할 수 있다.
일 실시예에서, (방법 800과 유사한) 또 다른 방법이 다음 프로세스들을 사용하여 구현될 수 있다. 예를 들어, 상기 방법은 기판 상에서 수행되는 반도체 제조 공정들에 의해 유도되는 오버레이 핑거프린트를 특성화하는 제 1 함수를 수신하는 단계 -오버레이 핑거프린트는 기판의 제 2 층 상의 피처들에 대한 제 1 층 상의 피처들 사이의 오버레이의 표현임- ; 기판의 층에 대한 반도체 제조 공정들의 공정의 물리적 효과를 특성화하는 제 2 함수를 수신하는 단계; 제 1 함수와 제 2 함수 사이에 디컨볼루션 연산을 적용함으로써, 제 1 층에 대한 패턴 밀도 맵을 결정하는 단계 -패턴 밀도 맵은 제 1 층의 부분 내의 피처들의 밀도를 나타냄- ; 및 패턴 밀도 맵에 기초하여, 메트롤로지 마크 구조체의 피처들의 형상 및/또는 위치설정을 생성하는 단계를 포함한다.
앞서 언급된 바와 같이, 메트롤로지 마크 구조체의 피처들은 제 1 층에 비-균일하게 분포되어, 메트롤로지 구조체의 한 부분에서의 피처들의 밀도가 메트롤로지 구조체의 또 다른 부분에서의 피처들의 밀도보다 크도록 할 수 있으며, 제 2 층 상의 피처들은 제 2 층에 균일하게 분포되고, 제 2 층은 제 1 층 아래에 형성되며, 균일하게 분포된 피처들은 제 2 층 상의 피처들 사이에서 균일한 간격을 갖는다.
일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체가 메트롤로지 마크 구조체를 생성하고, 공정 핑거프린트를 결정하는 본 명세서에 설명된 방법들의 단계들을 구현하도록 구성될 수 있다. 예를 들어, 패턴 밀도 맵에 기초하여 메트롤로지 마크 구조체에 대한 피처들을 결정함으로써 칩 상에서 수행되는 반도체 제조 공정들에 의해 유도되는 오버레이 특성들을 측정하기 위해 칩 상에 메트롤로지 마크 구조체를 생성하기 위한 비-일시적 컴퓨터 판독가능한 매체는, 1 이상의 프로세서에 의해 실행될 때, 본 명세서에 설명된 방법들의 프로세스를 포함하는 작업들을 야기하는 명령어들이 저장되어 있다.
일 실시예에서, 비-일시적 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품은, 컴퓨터(예를 들어, 도 14 참조)에 의해 실행될 때, 본 명세서에 설명된 방법들의 여하한의 프로세스들을 구현하는 명령어들이 기록되어 있다.
도 14는 일 실시예에 따른 예시적인 컴퓨터 시스템(CS)의 블록 다이어그램이다. 컴퓨터 시스템(CS)은 본 명세서에 설명된 방법의 공정 또는 다른 공정들과 연계된 오버레이 핑거프린트들을 결정하여, 오버레이를 결정하고 (예를 들어, 도 2 및 도 3의) 패터닝 공정의 프로세스를 제어하는 데 사용될 수 있다. 컴퓨터 시스템(CS)은 정보를 전달하는 버스(BS) 또는 다른 통신 기구, 및 정보를 처리하는 버스(BS)와 커플링된 프로세서(PRO)(또는 다중 프로세서)를 포함한다. 또한, 컴퓨터 시스템(CS)은 프로세서(PRO)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(BS)에 커플링된 주 메모리(MM)를 포함한다. 또한, 주 메모리(MM)는 프로세서(PRO)에 의한 명령어들의 실행 동안 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(CS)은 프로세서(PRO)에 대한 정적 정보 및 명령어들을 저장하는 버스(BS)에 커플링된 ROM(read only memory: ROM) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(SD)가 제공되고 버스(BS)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(CS)은 버스(BS)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(DS)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(ID)는 정보 및 명령 선택(command selection)들을 프로세서(PRO)로 전달하기 위해 버스(BS)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(PRO)로 전달하고, 디스플레이(DS) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: CC)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축인 제 1 축(예를 들어, x) 및 제 2 축(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(MM)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(PRO)에 응답하여 컴퓨터 시스템(CS)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(SD)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(MM)로 읽혀질 수 있다. 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(PRO)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(PRO)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(SD)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(MM)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(BS)를 포함하는 와이어들을 포함하여, 동축 케이블, 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체는 비-일시적이고, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지일 수 있다. 비-일시적 컴퓨터 판독가능한 매체는 명령어들이 기록되어 있을 수 있다. 명령어들은 컴퓨터에 의해 실행될 때, 본 명세서에 설명된 특징들 중 어느 하나를 구현할 수 있다. 일시적 컴퓨터 판독가능한 매체는 반송파 또는 다른 전파 전자기 신호를 포함할 수 있다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(PRO)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(CS)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(BS)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(BS)에 놓을 수 있다. 버스(BS)는, 프로세서(PRO)가 명령어들을 회수하고 실행하는 주 메모리(MM)로 상기 데이터를 전달한다. 주 메모리(MM)에 의해 수신된 명령어들은 프로세서(PRO)에 의한 실행 전이나 후에 저장 디바이스(SD)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(CS)은 버스(BS)에 커플링된 통신 인터페이스(CI)를 포함할 수 있다. 통신 인터페이스(CI)는 로컬 네트워크(LAN)에 연결되는 네트워크 링크(NDL)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(CI)는 ISDN(integrated service digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(CI)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(CI)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(NDL)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(NDL)는 로컬 네트워크(LAN)를 통해 호스트 컴퓨터(host computer: HC)로의 연결을 제공할 수 있다. 이는 이제 보편적으로 "인터넷"(INT)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스를 포함할 수 있다. 로컬 네트워크(LAN)(인터넷)는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용할 수 있다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(CS)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(CI)를 통한 네트워크 데이터 링크(NDL) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(CS)은 네트워크(들), 네트워크 데이터 링크(NDL) 및 통신 인터페이스(CI)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 호스트 컴퓨터(HC)가 인터넷(INT), 네트워크 데이터 링크(NDL), 로컬 네트워크(LAN) 및 통신 인터페이스(CI)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(PRO)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(SD) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(CS)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 15는 일 실시예에 따른 또 다른 리소그래피 투영 장치(LPA)의 개략적인 다이어그램이다.
LPA는 소스 컬렉터 모듈(SO), 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL), 지지 구조체(MT), 기판 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다.
지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결될 수 있다.
기판 테이블(예를 들어, 웨이퍼 테이블)(WT)은 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결될 수 있다.
투영 시스템(예를 들어, 반사 투영 시스템)(PS)은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성될 수 있다.
본 명세서에 도시된 바와 같이, LPA는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성될 수 있다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용할 수 있다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저 -도 15에 도시되지 않음- 를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별개의 개체들일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않을 수 있으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과될 수 있다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사될 수 있으며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(LPA)는 다음 모드들, 스텝 모드, 스캔 모드, 및 고정 모드 중 적어도 하나에서 사용될 수 있다.
스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[예를 들어, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다(예를 들어, 단일 동적 노광). 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
고정 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피에 용이하게 적용될 수 있다.
도 16은 일 실시예에 따른 리소그래피 투영 장치의 상세도이다.
나타낸 바와 같이, LPA는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함할 수 있다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에 더 나타낸 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광축을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 16에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 16에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광축(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
도 17은 일 실시예에 따른 리소그래피 투영 장치(LPA)의 소스 컬렉터 모듈(SO)의 상세도이다.
소스 컬렉터 모듈(SO)은 LPA 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성할 수 있다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용 중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 50 nm 범위 내의 파장들을 생성할 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있음을 이해할 것이다. 메트롤로지 마크들로서 앞서 설명된 예시적인 구조체들은 위치 측정을 위해 특별히 디자인되고 형성된 격자 구조체들이지만, 다른 실시예들에서, 위치들은 기판 상에 형성된 디바이스의 기능부들인 구조체들에서 측정될 수 있다.
많은 디바이스들은 규칙적인 격자형 구조체들을 갖는다. 본 명세서에서 사용된 "마크" 및 "격자 구조체"라는 용어들은, 구조체가 수행되는 측정을 위해 특별히 제공될 것을 요구하지 않는다. 불투명한 층이 기존의 파장들에서 마크를 관찰함으로써 마크의 위치를 측정하는 데 지장을 줄 수 있는 유일한 종류의 상부 구조체는 아니다. 예를 들어, 표면 거칠기 또는 상충하는 주기적 구조체가 1 이상의 파장에서의 측정을 방해할 수 있다.
기판 및 패터닝 디바이스 상에 실현되는 위치 측정 하드웨어 및 적절한 구조체들과 관련하여, 일 실시예는 상부 구조체에 의해 커버되는 마크의 위치에 대한 정보를 얻기 위해 앞서 나타낸 타입의 측정 방법들을 구현하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수 있다.
이러한 컴퓨터 프로그램은, 예를 들어 그 목적에 전용인 프로세서 등에 의해 실행될 수 있다. 또한, 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)가 제공될 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않음을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성되는 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 기판에 공급된 레지스트 층으로 가압될 수 있고, 레지스트는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 경화된다. 패터닝 디바이스는 레지스트가 경화된 후에 레지스트로부터 이동되어 그 안에 패턴을 남긴다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 1 내지 100 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다. 반사 구성요소들이 UV 및/또는 EUV 범위들에서 작동하는 장치에서 사용될 수 있다.
본 발명의 실시예들은 다음 항목들에 의해 더 설명될 수 있다:
1. 패턴 분포에 기초하여 메트롤로지 마크 구조체에 대한 피처들을 결정함으로써 칩 상에서 수행되는 반도체 제조 공정들에 의해 유도되는 오버레이 특성들을 측정하기 위해 칩 상에 메트롤로지 마크 구조체를 생성하기 위한 비-일시적 컴퓨터 판독가능한 매체로서,
1 이상의 프로세서에 의해 실행될 때:
기판 상에서 수행되는 반도체 제조 공정들에 의해 유도되는 오버레이 핑거프린트를 특성화하는 제 1 함수를 수신하는 것 -오버레이 핑거프린트는 기판의 제 2 층 상의 피처들에 대한 제 1 층 상의 피처들 사이의 오버레이의 표현임- ;
기판의 층에 대한 반도체 제조 공정들의 공정의 물리적 효과를 특성화하는 제 2 함수를 수신하는 것;
제 1 함수와 제 2 함수 사이에 디컨볼루션 연산을 적용함으로써, 제 1 층에 대한 패턴 분포를 결정하는 것 -패턴 분포는 제 1 층의 부분 내의 피처들의 밀도를 나타냄- ; 및
패턴 분포에 기초하여, 메트롤로지 마크 구조체의 피처들의 형상 및/또는 위치설정을 생성하는 것을 포함한 작업들을 야기하는 명령어들을 저장하고 있는 비-일시적 컴퓨터 판독가능한 매체.
2. 1 항에 있어서, 메트롤로지 마크 구조체의 피처들은 제 1 층에 비-균일하게 분포되어, 메트롤로지 구조체의 한 부분에서의 피처들의 밀도가 메트롤로지 구조체의 또 다른 부분에서의 피처들의 밀도보다 크도록 하며,
제 2 층 상의 피처들은 제 2 층에 균일하게 분포되고, 제 2 층은 제 1 층 아래에 형성되며, 균일하게 분포된 피처들은 제 2 층 상의 피처들 사이에서 균일한 간격을 갖는 비-일시적 컴퓨터 판독가능한 매체.
3. 반도체 제조 공정들의 공정 특성들을 측정하기 위한 메트롤로지 마크 구조체를 결정하기 위한 비-일시적 컴퓨터 판독가능한 매체로서,
1 이상의 프로세서에 의해 실행될 때:
기판 상에서 수행되는 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트를 특성화하도록 구성되는 제 1 함수를 얻는 것;
제 1 함수에 기초하여, 기판의 일부분 내의 다수의 피처들을 나타내는 패턴 분포를 도출하는 것; 및
패턴 분포에 기초하여, 기판 상에 배치하기 위한 메트롤로지 마크 구조체의 피처들의 물리적 특성들을 결정하는 것을 포함한 작업들을 야기하는 명령어들을 저장하고 있는 비-일시적 컴퓨터 판독가능한 매체.
4. 3 항에 있어서, 제 1 함수를 얻는 것은:
그래디언트가 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트와 균등한 미분가능한 함수를 얻는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
5. 3 항 또는 4 항에 있어서, 제 1 함수는:
기판의 1 이상의 치수의 포물선 함수;
기판의 1 이상의 치수의 삼각 함수; 또는
기판의 1 이상의 치수의 역 함수 중 적어도 하나를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
6. 3 항 내지 5 항 중 어느 하나에 있어서, 패턴 분포를 도출하는 것은:
제 2 함수를 사용하여 제 1 함수를 디컨볼루션하는 것을 포함하고, 제 2 함수는 기판의 층에 대한 반도체 제조 공정의 물리적 효과를 특성화하는 비-일시적 컴퓨터 판독가능한 매체.
7. 6 항에 있어서, 제 2 함수는 패턴 분포와 컨볼루션할 때 분리가능한 비-일시적 컴퓨터 판독가능한 매체.
8. 6 항에 있어서, 제 2 함수는 기판의 길이 치수의 함수인 커널 함수를 포함하여, 제 2 함수가 기판 상의 상이한 길이들에서 오버레이 핑거프린트의 변화들을 포착하게 하는 비-일시적 컴퓨터 판독가능한 매체.
9. 8 항에 있어서, 커널 함수는 파라미터로서 길이를 갖는 가우시안 커널인 비-일시적 컴퓨터 판독가능한 매체.
10. 6 항 내지 9 항 중 어느 하나에 있어서, 제 2 함수는:
오버레이 핑거프린트에 기여하는 에칭 공정 동안의 표면 전하들의 재분포를 특성화하는 확산 커널; 또는
오버레이 핑거프린트에 기여하는 에칭 공정 동안 완화되는 응력을 특성화하는 응력 커널 중 적어도 하나를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
11. 6 항 내지 10 항 중 어느 하나에 있어서, 패턴 분포를 도출하는 것은:
제 1 함수 및 제 2 함수에 푸리에 변환을 적용하여 변환된 제 1 함수 및 변환된 제 2 함수를 얻는 것;
변환된 제 1 함수를 변환된 제 2 함수로 나누는 것; 및
이후 푸리에 역변환을 적용하여 메트롤로지 마크 구조체의 패턴 분포를 결정하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
12. 3 항 내지 10 항 중 어느 하나에 있어서, 피처들의 물리적 특성들을 결정하는 것은:
패턴 분포에 기초하여 메트롤로지 마크 구조체의 다각형 형상들의 세트를 결정하는 것 -제 1 다각형 형상 세트는 제 2 다각형 형상 세트의 다각형 형상들과 구별되는 다각형 형상들을 포함함- ; 및/또는
서로에 대한 피처들의 위치설정을 결정하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
13. 12 항에 있어서, 피처들의 물리적 특성들을 결정하는 것은:
패턴 분포 및 기하학적 제약들의 세트에 기초하여, 메트롤로지 마크 구조체의 다각형 형상 세트를 결정하는 것; 및
패턴 분포 및 피처들 간의 거리 제약들에 기초하여, 제 1 다각형 형상 세트 또는 제 2 다각형 형상 세트의 다각형 형상들 사이의 위치설정을 결정하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
14. 13 항에 있어서, 기하학적 제약들의 세트는 서로에 대한 다각형 형상들의 형상, 크기 및/또는 상대적 위치설정과 관련된 임계값들의 세트를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
15. 13 항에 있어서, 피처들의 물리적 특성들을 결정하는 것은 반복적인 프로세스이며, 각각의 반복은:
패턴 분포에 기초하여, 메트롤로지 마크 구조체의 부분 내에서 다각형 형상들의 초기 세트를 분포시키는 단계;
다각형 형상들의 초기 세트와 관련된 기하학적 제약들의 세트가 만족되는지 여부를 결정하는 단계;
1 이상의 기하학적 제약이 만족되지 않는 것에 응답하여, 1 이상의 기하학적 제약이 만족되도록 다각형 형상들의 초기 세트의 1 이상의 다각형 형상의 형상을 수정하는 단계; 및
수정된 다각형 형상들을 다각형 형상들의 세트 중 세트에 포함시키는 단계를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
16. 3 항 내지 15 항 중 어느 하나에 있어서,
메트롤로지 마크 구조체에서 패터닝된 기판의 오버레이의 측정을 얻는 것;
메트롤로지 마크 구조체와 연계된 패턴 밀도 함수 및 패터닝된 기판 상에서 수행되는 공정들의 세트의 물리적 효과들을 특성화하는 제 2 함수들의 세트를 사용하여 오버레이 핑거프린트를 예측하는 것; 및
측정된 오버레이 및 예측된 오버레이 핑거프린트에 기초하여, 패터닝된 기판 상에서 수행되는 공정들의 세트 각각의 오버레이 핑거프린트 기여를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
17. 16 항에 있어서, 오버레이 핑거프린트 기여를 결정하는 것은:
제 2 함수들의 세트와 연계된 파라미터들을 튜닝함으로써, 예측된 오버레이 핑거프린트를 측정된 오버레이에 피팅하도록 다중 선형 회귀를 수행하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
18. 17 항에 있어서, 다중 선형 회귀 후에 얻어진 공정의 오버레이 핑거프린트 기여에 기초하여, 공정과 연계된 오버레이 핑거프린트 기여를 감소시키도록 공정들의 세트의 공정 파라미터들을 조정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
19. 16 항에 있어서, 메트롤로지 마크 구조체 상에서 제 2 함수의 오버레이 응답을 측정함으로써 메트롤로지 마크 구조체의 측정된 오버레이 핑거프린트로부터 확산 핑거프린트 및 응력 핑거프린트를 분리하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
20. 16 항 내지 19 항 중 어느 하나에 있어서, 제 2 함수들의 세트를 사용하여, 측정된 오버레이를 기판의 전체 필드에 외삽하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
21. 20 항에 있어서,
기판의 전체 필드의 외삽된 오버레이를 사용하여 1 이상의 공정 모델을 캘리브레이션하는 것, 및
캘리브레이션된 공정 모델들에 기초하여, 공정에 의해 유도되는 오버레이를 제어하기 위한 공정의 파라미터 값들을 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
22. 3 항 내지 21 항 중 어느 하나에 있어서, 오버레이 핑거프린트는 기판의 제 2 층 상의 피처들에 대한 제 1 층 상의 피처들 사이의 오버레이의 표현인 비-일시적 컴퓨터 판독가능한 매체.
23. 22 항에 있어서, 메트롤로지 마크 구조체는 기판의 제 1 층 상에 형성되는 비-일시적 컴퓨터 판독가능한 매체.
24. 23 항에 있어서, 제 1 층 상의 메트롤로지 마크 구조체의 피처들은 비-주기적 구조체를 갖고, 제 2 층 상의 피처들은 주기적 구조체를 갖는 비-일시적 컴퓨터 판독가능한 매체.
25. 메트롤로지 마크 구조체를 결정하는 방법으로서,
기판 상에서 수행되는 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트를 특성화하는 제 1 함수를 얻는 단계;
제 1 함수에 기초하여, 기판의 일부분 내의 다수의 피처들을 나타내는 패턴 분포를 도출하는 단계; 및
패턴 분포에 기초하여, 기판 상에 배치하기 위한 메트롤로지 마크 구조체의 피처들의 물리적 특성들을 결정하는 단계를 포함하는 방법.
26. 25 항에 있어서, 제 1 함수를 얻는 단계는:
그래디언트가 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트와 균등한 미분가능한 함수를 얻는 단계를 포함하는 방법.
27. 25 항 또는 26 항에 있어서, 제 1 함수는:
기판의 1 이상의 치수의 포물선 함수;
기판의 1 이상의 치수의 삼각 함수; 또는
기판의 1 이상의 치수의 역 함수 중 적어도 하나를 포함하는 방법.
28. 25 항 내지 27 항 중 어느 하나에 있어서, 패턴 분포를 도출하는 단계는: 제 2 함수를 사용하여 제 1 함수를 디컨볼루션하는 단계를 포함하고, 제 2 함수는 기판의 층에 대한 반도체 제조 공정의 물리적 효과를 특성화하는 방법.
29. 28 항에 있어서, 제 2 함수는 패턴 분포와 컨볼루션할 때 분리가능한 방법.
30. 28 항에 있어서, 제 2 함수는 기판의 길이 치수의 함수인 커널 함수를 포함하여, 제 2 함수가 기판 상의 상이한 길이들에서 오버레이 핑거프린트의 변화들을 포착하게 하는 방법.
31. 30 항에 있어서, 커널 함수는 파라미터로서 길이를 갖는 가우시안 커널인 방법.
32. 28 항 내지 31 항 중 어느 하나에 있어서, 제 2 함수는:
오버레이 핑거프린트에 기여하는 에칭 공정 동안의 표면 전하들의 재분포를 특성화하는 확산 커널; 또는
오버레이 핑거프린트에 기여하는 에칭 공정 동안 완화되는 응력을 특성화하는 응력 커널 중 적어도 하나를 포함하는 방법.
33. 28 항 내지 32 항 중 어느 하나에 있어서, 패턴 분포를 도출하는 단계는:
제 1 함수 및 제 2 함수에 푸리에 변환을 적용하여 변환된 제 1 함수 및 변환된 제 2 함수를 얻는 단계;
변환된 제 1 함수를 변환된 제 2 함수로 나누는 단계; 및
이후 푸리에 역변환을 적용하여 메트롤로지 마크 구조체의 패턴 분포를 결정하는 단계를 포함하는 방법.
34. 25 항 내지 33 항 중 어느 하나에 있어서, 피처들의 물리적 특성들을 결정하는 단계는:
패턴 분포에 기초하여 메트롤로지 마크 구조체의 다각형 형상들의 세트를 결정하는 단계 -제 1 다각형 형상 세트는 제 2 다각형 형상 세트의 다각형 형상들과 구별되는 다각형 형상들을 포함함- ; 및/또는
서로에 대한 피처들의 위치설정을 결정하는 단계를 포함하는 방법.
35. 34 항에 있어서, 피처들의 물리적 특성들을 결정하는 단계는:
패턴 분포 및 기하학적 제약들의 세트에 기초하여, 메트롤로지 마크 구조체의 다각형 형상 세트를 결정하는 단계; 및
패턴 분포 및 피처들 간의 거리 제약들에 기초하여, 제 1 다각형 형상 세트 또는 제 2 다각형 형상 세트의 다각형 형상들 사이의 위치설정을 결정하는 단계를 포함하는 방법.
36. 35 항에 있어서, 기하학적 제약들의 세트는 서로에 대한 다각형 형상들의 형상, 크기 및/또는 상대적 위치설정과 관련된 임계값들의 세트를 포함하는 방법.
37. 35 항에 있어서, 피처들의 물리적 특성들을 결정하는 단계는 반복적인 프로세스이며, 각각의 반복은:
패턴 분포에 기초하여, 메트롤로지 마크 구조체의 부분 내에서 다각형 형상들의 초기 세트를 분포시키는 단계;
다각형 형상들의 초기 세트와 관련된 기하학적 제약들의 세트가 만족되는지 여부를 결정하는 단계;
1 이상의 기하학적 제약이 만족되지 않는 것에 응답하여, 1 이상의 기하학적 제약이 만족되도록 다각형 형상들의 초기 세트의 1 이상의 다각형 형상의 형상을 수정하는 단계; 및
수정된 다각형 형상들을 다각형 형상들의 세트 중 세트에 포함시키는 단계를 포함하는 방법.
38. 25 항 내지 37 항 중 어느 하나에 있어서,
메트롤로지 마크 구조체에서 패터닝된 기판의 오버레이의 측정을 얻는 단계;
메트롤로지 마크 구조체와 연계된 패턴 밀도 함수 및 패터닝된 기판 상에서 수행되는 공정들의 세트의 물리적 효과들을 특성화하는 제 2 함수들의 세트를 사용하여 오버레이 핑거프린트를 예측하는 단계; 및
측정된 오버레이 및 예측된 오버레이 핑거프린트에 기초하여, 패터닝된 기판 상에서 수행되는 공정들의 세트 각각의 오버레이 핑거프린트 기여를 결정하는 단계를 더 포함하는 방법.
39. 38 항에 있어서, 오버레이 핑거프린트 기여를 결정하는 단계는:
제 2 함수들의 세트와 연계된 파라미터들을 튜닝함으로써, 예측된 오버레이 핑거프린트를 측정된 오버레이에 피팅하도록 다중 선형 회귀를 수행하는 단계를 포함하는 방법.
40. 39 항에 있어서, 다중 선형 회귀 후에 얻어진 공정의 오버레이 핑거프린트 기여에 기초하여, 공정과 연계된 오버레이 핑거프린트 기여를 감소시키도록 공정들의 세트의 공정 파라미터들을 조정하는 단계를 더 포함하는 방법.
41. 38 항에 있어서, 메트롤로지 마크 구조체 상에서 제 2 함수의 오버레이 응답을 측정함으로써 메트롤로지 마크 구조체의 측정된 오버레이 핑거프린트로부터 확산 핑거프린트 및 응력 핑거프린트를 분리하는 단계를 더 포함하는 방법.
42. 38 항 내지 41 항 중 어느 하나에 있어서, 제 2 함수들의 세트를 사용하여, 측정된 오버레이를 기판의 전체 필드에 외삽하는 단계를 더 포함하는 방법.
43. 42 항에 있어서,
기판의 전체 필드의 외삽된 오버레이를 사용하여 1 이상의 공정 모델을 캘리브레이션하는 단계, 및
캘리브레이션된 공정 모델들에 기초하여, 공정에 의해 유도되는 오버레이를 제어하기 위한 공정의 파라미터 값들을 결정하는 단계를 더 포함하는 방법.
44. 25 항 내지 43 항 중 어느 하나에 있어서, 오버레이 핑거프린트는 기판의 제 2 층 상의 피처들에 대한 제 1 층 상의 피처들 사이의 오버레이의 표현인 방법.
45. 44 항에 있어서, 메트롤로지 마크 구조체는 제 1 층 상에 형성되는 방법.
46. 45 항에 있어서, 제 1 층 상의 메트롤로지 마크 구조체의 피처들은 비-주기적 구조체를 갖고, 제 2 층 상의 피처들은 주기적 구조체를 갖는 방법.
47. 1 이상의 프로세서에 의해 실행될 때, 25 항 내지 46 항 중 어느 하나의 방법의 작업들을 야기하는 명령어들이 저장되어 있는 비-일시적 컴퓨터 판독가능한 매체.
본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판에서 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (16)

  1. 비-일시적(non-transitory) 컴퓨터 판독가능한 매체로서,
    1 이상의 프로세서에 의해 실행될 때, 상기 프로세서가 메트롤로지 마크 구조체를 결정하는 방법을 수행하게 하는 명령어들이 저장되어 있고,
    상기 방법은:
    기판 상에서 수행되는 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트(overlay fingerprint)를 특성화하기 위한 제 1 함수를 얻는 단계;
    상기 제 1 함수에 기초하여, 상기 기판의 일부분 내의 다수의 피처(feature)들을 나타내는 패턴 분포를 도출하는 단계; 및
    상기 패턴 분포에 기초하여, 상기 기판 상에 배치하기 위한 상기 메트롤로지 마크 구조체의 피처들의 물리적 특성들을 결정하는 단계
    를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  2. 제 1 항에 있어서,
    상기 제 1 함수를 얻는 단계는:
    그래디언트(gradient)가 상기 반도체 제조 공정에 의해 유도되는 오버레이 핑거프린트와 균등한 미분가능한 함수를 얻는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  3. 제 1 항에 있어서,
    상기 제 1 함수는:
    상기 기판의 1 이상의 치수의 포물선 함수;
    상기 기판의 1 이상의 치수의 삼각 함수; 또는
    상기 기판의 1 이상의 치수의 역 함수 중 적어도 하나를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  4. 제 1 항에 있어서,
    상기 패턴 분포를 도출하는 단계는:
    제 2 함수를 사용하여 상기 제 1 함수를 디컨볼루션(deconvolve)하는 단계를 포함하고, 상기 제 2 함수는 상기 기판의 층에 대한 상기 반도체 제조 공정의 물리적 효과를 특성화하는,
    비-일시적 컴퓨터 판독가능한 매체.
  5. 제 4 항에 있어서,
    상기 제 2 함수는, 상기 제 2 함수가 상기 기판 상의 상이한 길이들에서 상기 오버레이 핑거프린트의 변화들을 포착하게 하는 상기 기판의 길이 치수의 함수인 커널 함수(kernel function)를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  6. 제 5 항에 있어서,
    상기 제 2 함수는:
    상기 오버레이 핑거프린트에 기여하는 에칭 공정 동안의 표면 전하들의 재분포를 특성화하는 확산 커널(diffusion kernel); 또는
    상기 오버레이 핑거프린트에 기여하는 에칭 공정 동안 완화되는 응력을 특성화하는 응력 커널(stress kernel) 중 적어도 하나를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  7. 제 4 항에 있어서,
    상기 패턴 분포를 도출하는 단계는:
    상기 제 1 함수 및 상기 제 2 함수에 푸리에 변환을 적용하여, 변환된 제 1 함수 및 변환된 제 2 함수를 얻는 단계;
    상기 변환된 제 1 함수를 상기 변환된 제 2 함수로 나누는 단계; 및
    이후 푸리에 역변환을 적용하여, 상기 메트롤로지 마크 구조체의 패턴 분포를 결정하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  8. 제 1 항에 있어서,
    상기 피처들의 물리적 특성들을 결정하는 단계는:
    상기 패턴 분포에 기초하여 상기 메트롤로지 마크 구조체의 다각형 형상(polygon shape)들의 세트를 결정하는 단계 -제 1 다각형 형상 세트는 제 2 다각형 형상 세트의 다각형 형상들과 구별되는 다각형 형상들을 포함함- ; 및/또는
    서로에 대한 상기 피처들의 위치설정을 결정하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  9. 제 1 항에 있어서,
    상기 피처들의 물리적 특성들을 결정하는 단계는:
    상기 패턴 분포 및 기하학적 제약들의 세트에 기초하여, 상기 메트롤로지 마크 구조체의 다각형 형상들의 세트를 결정하는 단계; 및
    상기 패턴 분포 및 상기 피처들 간의 거리 제약들에 기초하여, 제 1 다각형 형상 세트 또는 제 2 다각형 형상 세트의 다각형 형상들 사이의 위치설정을 결정하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  10. 제 1 항에 있어서,
    상기 피처들의 물리적 특성들을 결정하는 단계는 반복적인 프로세스이며, 각각의 반복은:
    상기 패턴 분포에 기초하여, 상기 메트롤로지 마크 구조체의 부분 내에서 다각형 형상들의 초기 세트를 분포시키는 단계;
    상기 다각형 형상들의 초기 세트와 관련된 기하학적 제약들의 세트가 만족되는지 여부를 결정하는 단계;
    1 이상의 기하학적 제약이 만족되지 않는 것에 응답하여, 상기 1 이상의 기하학적 제약이 만족되도록 상기 다각형 형상들의 초기 세트의 1 이상의 다각형 형상의 형상을 수정하는 단계; 및
    수정된 다각형 형상들을 상기 다각형 형상들의 세트 중 세트에 포함시키는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  11. 제 1 항에 있어서,
    상기 방법은:
    상기 메트롤로지 마크 구조체에서 패터닝된 기판의 오버레이의 측정을 얻는 단계;
    상기 메트롤로지 마크 구조체와 연계된 패턴 밀도 함수 및 상기 패터닝된 기판 상에서 수행되는 공정들의 세트의 물리적 효과들을 특성화하는 제 2 함수들의 세트를 사용하여 오버레이 핑거프린트를 예측하는 단계; 및
    측정된 오버레이 및 예측된 오버레이 핑거프린트에 기초하여, 상기 패터닝된 기판 상에서 수행되는 공정들의 세트 각각의 오버레이 핑거프린트 기여를 결정하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  12. 제 11 항에 있어서,
    상기 오버레이 핑거프린트 기여를 결정하는 단계는:
    상기 제 2 함수들의 세트와 연계된 파라미터들을 튜닝함으로써, 상기 예측된 오버레이 핑거프린트를 상기 측정된 오버레이에 피팅하도록 다중 선형 회귀(multilinear regression)를 수행하는 단계; 및
    상기 다중 선형 회귀 후에 얻어진 공정의 오버레이 핑거프린트 기여에 기초하여, 상기 공정과 연계된 오버레이 핑거프린트 기여를 감소시키도록 상기 공정들의 세트의 공정 파라미터들을 조정하는 단계를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  13. 제 11 항에 있어서,
    상기 방법은:
    상기 메트롤로지 마크 구조체 상에서 상기 제 2 함수의 오버레이 응답을 측정함으로써 상기 메트롤로지 마크 구조체의 측정된 오버레이 핑거프린트로부터 확산 핑거프린트 및 응력 핑거프린트를 분리하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  14. 제 13 항에 있어서,
    상기 방법은:
    상기 제 2 함수들의 세트를 사용하여, 상기 측정된 오버레이를 상기 기판의 전체 필드에 외삽하는 단계;
    상기 기판의 전체 필드의 외삽된 오버레이를 사용하여 1 이상의 공정 모델을 캘리브레이션하는 단계; 및
    캘리브레이션된 공정 모델들에 기초하여, 공정에 의해 유도되는 오버레이를 제어하기 위한 공정의 파라미터 값들을 결정하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  15. 제 1 항에 있어서,
    상기 오버레이 핑거프린트는 상기 기판의 제 2 층 상의 피처들에 대한 제 1 층 상의 피처들 사이의 오버레이의 표현인,
    비-일시적 컴퓨터 판독가능한 매체.
  16. 제 15 항에 있어서,
    상기 제 1 층 상의 메트롤로지 마크 구조체의 피처들은 비-주기적 구조체를 갖고, 상기 제 2 층 상의 피처들은 주기적 구조체를 갖는,
    비-일시적 컴퓨터 판독가능한 매체.
KR1020237017720A 2020-11-24 2021-11-01 오버레이 핑거프린트들에 대한 마크 구조체를 결정하는 방법 KR20230107823A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063117689P 2020-11-24 2020-11-24
US63/117,689 2020-11-24
PCT/EP2021/080243 WO2022111945A1 (en) 2020-11-24 2021-11-01 Method of determining mark structure for overlay fingerprints

Publications (1)

Publication Number Publication Date
KR20230107823A true KR20230107823A (ko) 2023-07-18

Family

ID=78516838

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237017720A KR20230107823A (ko) 2020-11-24 2021-11-01 오버레이 핑거프린트들에 대한 마크 구조체를 결정하는 방법

Country Status (6)

Country Link
US (1) US20230408931A1 (ko)
EP (1) EP4252073A1 (ko)
KR (1) KR20230107823A (ko)
CN (1) CN116583785A (ko)
TW (1) TWI821797B (ko)
WO (1) WO2022111945A1 (ko)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR101915914B1 (ko) * 2003-05-28 2018-11-06 가부시키가이샤 니콘 노광 방법, 노광 장치, 및 디바이스 제조 방법
US7298496B2 (en) * 2004-05-21 2007-11-20 Zetetic Institute Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
KR102221760B1 (ko) * 2016-07-15 2021-03-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟 필드의 디자인을 위한 장치 및 방법
US10712672B2 (en) * 2016-07-22 2020-07-14 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
US10115687B2 (en) * 2017-02-03 2018-10-30 Applied Materials, Inc. Method of pattern placement correction
KR102370339B1 (ko) * 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
EP3640972A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. System and method for facilitating chemical mechanical polishing

Also Published As

Publication number Publication date
TWI821797B (zh) 2023-11-11
TW202236031A (zh) 2022-09-16
EP4252073A1 (en) 2023-10-04
US20230408931A1 (en) 2023-12-21
CN116583785A (zh) 2023-08-11
WO2022111945A1 (en) 2022-06-02

Similar Documents

Publication Publication Date Title
KR102550326B1 (ko) 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
KR20210090253A (ko) 패터닝 공정에서의 웨이퍼 거동을 결정하기 위해 이미지 패턴들을 그룹화하는 장치 및 방법
US20220350254A1 (en) Method for applying a deposition model in a semiconductor manufacturing process
TWI643030B (zh) 基於通過波長相似性之度量衡堅固性
TWI646406B (zh) 包括潛像之目標的基板量測配方設計
US11630396B2 (en) Model calibration and guided metrology based on smart sampling
TWI623822B (zh) 用以檢測基板的方法及電腦程式
US20230244151A1 (en) Method for adjusting a target feature in a model of a patterning process based on local electric fields
TW201809898A (zh) 用於增進器件匹配的基板量測配方組態
JP2023526187A (ja) アライメントマークの局所的な歪みに基づくアライメント信号の生成
US10437158B2 (en) Metrology by reconstruction
TW201805732A (zh) 基板量測配方之選擇
TWI821797B (zh) 執行判定度量衡標記結構之方法的非暫時性電腦可讀媒體
TWI836599B (zh) 判定來自統計獨立源之度量衡貢獻值之方法、判定微影程序之感興趣參數之方法及其相關聯電腦程式與非暫時性電腦程式載體
US20220283515A1 (en) Metrology system and method
CN114830038A (zh) 光学确定结构中不同层中金属特征之间的电接触