KR20230102083A - Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same - Google Patents

Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same Download PDF

Info

Publication number
KR20230102083A
KR20230102083A KR1020210191944A KR20210191944A KR20230102083A KR 20230102083 A KR20230102083 A KR 20230102083A KR 1020210191944 A KR1020210191944 A KR 1020210191944A KR 20210191944 A KR20210191944 A KR 20210191944A KR 20230102083 A KR20230102083 A KR 20230102083A
Authority
KR
South Korea
Prior art keywords
molybdenum
thin film
precursor
formula
substrate
Prior art date
Application number
KR1020210191944A
Other languages
Korean (ko)
Inventor
박용주
신동훈
오현석
오한솔
황인천
이상경
Original Assignee
에스케이트리켐 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이트리켐 주식회사 filed Critical 에스케이트리켐 주식회사
Priority to KR1020210191944A priority Critical patent/KR20230102083A/en
Publication of KR20230102083A publication Critical patent/KR20230102083A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic System
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic System compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/453Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating passing the reaction gases through burners or torches, e.g. atmospheric pressure CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/30Coordination compounds
    • H10K85/341Transition metal complexes, e.g. Ru(II)polypyridine complexes

Abstract

본 발명은 하기 화학식 1 또는 화학식 2로 표시되는 몰리브데넘 함유 화합물을 포함하는 것을 특징으로 하는 신규한 몰리브데넘 함유 전구체, 상기 몰리브데넘 함유 전구체를 이용한 몰리브데넘 함유 박막의 형성방법 및 상기 몰리브데넘 함유 박막을 포함하는 반도체 소자에 관한 것이다.
[화학식 1]

Figure pat00008

[화학식 2]
Figure pat00009

상기 화학식 1 및 화학식 2에서 R1은 각각 독립적으로 C1-C6의 직쇄 또는 분기상 알킬기이며, R2는 수소원자, 메틸기, 에틸기, n-프로필 또는 i-프로필기이며, 또한, 화학식 2에서 X는 할로겐 원자(F, Cl 또는 Br)이다.The present invention relates to a novel molybdenum-containing precursor comprising a molybdenum-containing compound represented by Formula 1 or Formula 2 below, a method for forming a molybdenum-containing thin film using the molybdenum-containing precursor, and the above It relates to a semiconductor device including a molybdenum-containing thin film.
[Formula 1]
Figure pat00008

[Formula 2]
Figure pat00009

In Formula 1 and Formula 2, R 1 is each independently a C 1 -C 6 straight-chain or branched alkyl group, R 2 is a hydrogen atom, methyl group, ethyl group, n-propyl or i-propyl group, and also Formula 2 where X is a halogen atom (F, Cl or Br).

Description

신규한 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자.{NOVEL MOLYBDENUM PRECURSOR, DEPOSITION METHOD OF MOLYBDENUM-CONTAINING FILM AND DEVICE COMPRISING THE SAME}Novel molybdenum-containing precursor, method of forming a molybdenum-containing thin film using the same, and device including the molybdenum-containing thin film.

본 발명은 신규한 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자에 관한 것으로서, 아미노 알코올 리간드가 결합된 신규한 몰리브데넘 함유 화합물을 이용하여 박막 형성 공정에서의 구조적 안정성 및 열 안정성을 향상시킨 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자에 관한 것이다.The present invention relates to a novel molybdenum-containing precursor, a method for forming a molybdenum-containing thin film using the same, and a device including the molybdenum-containing thin film. It relates to a molybdenum-containing precursor having improved structural stability and thermal stability in a thin film formation process using the same, a method for forming a molybdenum-containing thin film using the same, and a device including the molybdenum-containing thin film.

몰리브데넘(Mo)은 다양한 반도체, 디스플레이, 박막 태양 전지, 전극, 가스 센서 등의 전자 장치와 반도체 장치의 소자에 적용되고 있다. 이를 위하여 몰리브데넘 함유 박막을 형성하게 되는데, 산화 몰리브덴 박막을 예로 들면, 기판에 전구체를 도입하여 화염 증착(flame deposition), 스퍼터링(sputtering), 이온 플레이팅(ion plating), 코팅-열분해(coating-pyrolysis) 졸-겔(sol-gel), 화학 기상 성장(CVD), 원자층 증착(ALD) 등의 공정에 의해 박막을 형성하고 있다.Molybdenum (Mo) is applied to electronic devices such as various semiconductors, displays, thin-film solar cells, electrodes, and gas sensors, and elements of semiconductor devices. To this end, a molybdenum-containing thin film is formed. For example, a molybdenum oxide thin film is introduced into a substrate to perform flame deposition, sputtering, ion plating, and coating-pyrolysis. -pyrolysis Thin films are formed by processes such as sol-gel, chemical vapor deposition (CVD), and atomic layer deposition (ALD).

이러한 금속 함유 박막을 형성하기 위하여 사용되는 전구체로는 MeCl4O, MeO2Cl2, MeCl5 등과 같은 금속 할라이드, 금속 옥시할라이드, 또는 금속 이미도할라이드를 사용하고 있다(미국 공개특허공보 US 2020-0131628호, 대한민국 공개특허공보 10-2019-0024823호, 대한민국 공개특허공보 10-2019-0024841). 또한, 상기 할라이드, 옥시할라이드, 이미도할라이드 형태의 금속 전구체는 니오븀(Nb), 탄탈륨(Ta)과 같은 5족 금속, 몰리브데넘(Mo), 텅스텐(W)과 같은 6족 금속의 화합물이 공지되어 있다.As a precursor used to form such a metal-containing thin film, a metal halide, metal oxyhalide, or metal imidohalide such as MeCl 4 O, MeO 2 Cl 2 , MeCl 5 and the like is used (US Patent Application Publication No. US 2020-0131628 No., Korean Patent Publication No. 10-2019-0024823, Korean Patent Publication No. 10-2019-0024841). In addition, as the halide, oxyhalide, and imidohalide type metal precursors, compounds of group 5 metals such as niobium (Nb) and tantalum (Ta) and group 6 metals such as molybdenum (Mo) and tungsten (W) are known. has been

그러나 상기 금속 화합물은 상온에서 고체 상태이므로 기체 상태로 챔버 내의 기판에 공급해야 하는 전구체의 특성상 박막 형성 공정을 위해 해결해야 할 문제점, 예를 들면 고상을 기화하여 박막 형성 시 고품질의 박막을 형성할 수 없는 점 등의 문제점이 있다.However, since the metal compound is in a solid state at room temperature, problems to be solved for the thin film formation process due to the nature of the precursor that must be supplied in a gaseous state to the substrate in the chamber, for example, when forming a thin film by vaporizing a solid phase, can form a high-quality thin film. There are problems such as no.

또한, 리간드 형태의 몰리브데넘 전구체를 사용하는 예도 다수 존재하는데, 예를 들어, 대한민국 등록특허공보 10-1485521호 및 10- 1485522호에서는 열적으로 안정하고 휘발성이 높은 아미노싸이올레이트 리간드를 포함하는 몰리브데넘 전구체가 개시되어 있나, 이는 황화 몰리브데넘 박막 형성 공정에만 이용될 수 있으며, 박막 형성 공정에서 황 원소가 잔류하게 되는 문제점이 있다.In addition, there are many examples of using a molybdenum precursor in the form of a ligand. For example, Korean Patent Registration Nos. 10-1485521 and 10-1485522 disclose a thermally stable and highly volatile aminothiolate ligand containing a ligand. Although a molybdenum precursor is disclosed, it can be used only in the process of forming a molybdenum sulfide thin film, and there is a problem in that elemental sulfur remains in the thin film forming process.

미국 공개특허공보 US 2020-0131628호US Patent Publication No. US 2020-0131628 대한민국 공개특허공보 10-2019-0024823호Republic of Korea Patent Publication No. 10-2019-0024823 대한민국 공개특허공보 10-2019-0024841호Republic of Korea Patent Publication No. 10-2019-0024841 대한민국 등록특허공보 10-1485521호Republic of Korea Patent Registration No. 10-1485521 대한민국 등록특허공보 10- 1485522호Republic of Korea Patent Registration No. 10-1485522

본 발명은 상기와 같은 종래기술을 감안하여 안출된 것으로, 신규한 몰리브데넘 함유 화합물을 이용하여 박막 형성 공정에 적합한 몰리브데넘 함유 전구체를 제공하는 것을 그 목적으로 한다.The present invention has been made in view of the prior art as described above, and an object of the present invention is to provide a molybdenum-containing precursor suitable for a thin film formation process using a novel molybdenum-containing compound.

또한, 상기 몰리브데넘 함유 전구체의 구조적 안정성 및 열 안정성을 통해 효율적으로 박막을 형성할 수 있는 방법을 제공하는 것을 그 목적으로 한다.In addition, it is an object of the present invention to provide a method capable of efficiently forming a thin film through structural stability and thermal stability of the molybdenum-containing precursor.

또한, 상기 몰리브데넘 함유 전구체를 사용하여 제조된 몰리브데넘 함유 박막을 포함하는 소자를 제공하는 것을 그 목적으로 한다.Another object thereof is to provide a device including a molybdenum-containing thin film manufactured using the molybdenum-containing precursor.

상기와 같은 목적을 달성하기 위한 본 발명의 몰리브데넘 함유 전구체는 하기 화학식 1 또는 화학식 2로 표시되는 몰리브데넘 함유 화합물을 포함하는 것을 특징으로 한다.The molybdenum-containing precursor of the present invention for achieving the above object is characterized in that it includes a molybdenum-containing compound represented by Formula 1 or Formula 2 below.

[화학식 1][Formula 1]

Figure pat00001
Figure pat00001

[화학식 2][Formula 2]

Figure pat00002
Figure pat00002

상기 화학식 1 및 화학식 2에서 R1은 각각 독립적으로 C1-C6의 직쇄 또는 분기상 알킬기이며, R2는 수소원자, 메틸기, 에틸기, n-프로필 또는 i-프로필기이며, 또한, 화학식 2에서 X는 할로겐 원자이다.In Formula 1 and Formula 2, R 1 is each independently a C 1 -C 6 straight-chain or branched alkyl group, R 2 is a hydrogen atom, methyl group, ethyl group, n-propyl or i-propyl group, and also Formula 2 where X is a halogen atom.

구체적으로, 상기 화학식 1로 표시되는 몰리브데넘 함유 화합물은 Mo(NtBu)2(EMAMP)2, Mo(NtBu)2(EMAMP)(DMAMP) 또는 Mo(NtBu)2(EMA)2이며, 상기 화학식 2로 표시되는 몰리브데넘 함유 화합물은 Mo(NtBu)2(DMAMP)Cl 또는 Mo(NtBu)2(EMAMP)Cl일 수 있다(단, EMAMP는 에틸메틸아미노메틸프로판올이며, DMAMP는 다이메틸아미노프로판올이며, EMA는 에틸메틸아미노이며, DME는 다이메톡시에탄이다).Specifically, the molybdenum-containing compound represented by Formula 1 is Mo(NtBu) 2 (EMAMP) 2 , Mo(NtBu) 2 (EMAMP)(DMAMP) or Mo(NtBu) 2 (EMA) 2 , and The molybdenum-containing compound represented by 2 may be Mo(NtBu) 2 (DMAMP)Cl or Mo(NtBu) 2 (EMAMP)Cl (provided that EMAMP is ethylmethylaminomethylpropanol and DMAMP is dimethylaminopropanol). , EMA is ethylmethylamino, and DME is dimethoxyethane).

이때, 상기 몰리브데넘 함유 전구체는 상기 몰리브데넘 함유 화합물을 용해시킬 수 있는 용매를 포함하는 것일 수 있다. 이 경우, 상기 몰리브데넘 함유 전구체에서 상기 용매의 함량은 1 내지 99 중량%인 것이 바람직하다. 또한, 상기 용매로는 펜탄(n-Pentane), 헥산(n-Hexane), 헵탄(n-Heptane), 옥탄(n-Octane)을 포함하는 탄소수 5 내지 8의 포화탄화수소, 다이메톡시에탄(DME), 다이에틸에테르(Diethylether), 테트로하이드로퓨란(THF), 에테르(ether), 디알콕시알칸(dialkoxyalkane), 피리딘(pyridine), 아세토니트릴(acetonitrile), 1차, 2차 또는 3차 아민 중 어느 하나의 화합물을 들 수 있다.In this case, the molybdenum-containing precursor may include a solvent capable of dissolving the molybdenum-containing compound. In this case, the content of the solvent in the molybdenum-containing precursor is preferably 1 to 99% by weight. In addition, as the solvent, saturated hydrocarbons having 5 to 8 carbon atoms including pentane (n-Pentane), hexane (n-Hexane), heptane (n-Heptane), and octane (n-Octane), dimethoxyethane (DME ), diethylether, tetrahydrofuran (THF), ether, dialkoxyalkane, pyridine, acetonitrile, primary, secondary or tertiary amine Any one compound is mentioned.

또한, 본 발명의 몰리브데넘 함유 박막 형성 방법은 상기 몰리브데넘 함유 전구체를 기판 상에 증착하는 단계를 포함하는 것을 특징으로 한다.In addition, the method of forming a molybdenum-containing thin film of the present invention is characterized by including depositing the molybdenum-containing precursor on a substrate.

또한, 상기 몰리브데넘 함유 박막은 몰리브데넘, 몰리브데넘 산화물, 몰리브데넘 질화물, 몰리브데넘 산질화물 또는 몰리브데넘 황화물로 이루어진 박막일 수 있다.In addition, the molybdenum-containing thin film may be a thin film made of molybdenum, molybdenum oxide, molybdenum nitride, molybdenum oxynitride or molybdenum sulfide.

또한, 상기 몰리브데넘 함유 박막은 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 형성될 수 있다.In addition, the molybdenum-containing thin film may be formed by atomic layer deposition (ALD) or chemical vapor deposition (CVD).

또한, 상기 증착하는 단계는 챔버 내에 기판을 위치하는 단계, 상기 몰리브데넘 함유 박막 형성용 전구체를 상기 챔버 내에 공급하여 상기 기판에 접촉시키는 단계, 반응성 가스를 포함하는 기상 반응물을 공급하여 상기 기판에 접촉시키는 단계를 포함할 수 있다.In addition, the depositing may include positioning a substrate in a chamber, supplying the precursor for forming a molybdenum-containing thin film into the chamber and bringing it into contact with the substrate, supplying a vapor phase reactant including a reactive gas to the substrate. Contacting may be included.

또한, 상기 증착하는 단계는 챔버 내에 기판을 위치하는 단계, 상기 몰리브데넘 함유 박막 형성용 전구체를 상기 챔버 내에 공급하여 상기 기판에 접촉시키는 단계, 반응성 가스를 공급하여 상기 기판에 접촉시키는 단계를 포함하는 것일 수도 있다. 상기 반응성 가스로는 수증기(H2O), 산소(O2), 오존(O3) 또는 과산화수소(H2O2)와 같은 산화성 가스 및 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4), 및 실란(SiH4)과 같은 환원성 가스 중 적어도 어느 하나 이상의 가스를 적용할 수 있다.In addition, the depositing step includes placing a substrate in a chamber, supplying the precursor for forming a molybdenum-containing thin film into the chamber to contact the substrate, and supplying a reactive gas to contact the substrate. It may be that Examples of the reactive gas include oxidizing gases such as water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ) or hydrogen peroxide (H 2 O 2 ), hydrogen (H 2 ), ammonia (NH 3 ), and nitrogen monoxide ( NO), nitrous oxide (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ), and silane (SiH 4 ), and at least one or more reducing gases such as may be applied.

또한, 본 발명의 소자는 상기 몰리브데넘 함유 박막 형성 방법에 의해 제조된 몰리브데넘 함유 박막을 포함하는 것을 특징으로 한다.In addition, the device of the present invention is characterized in that it comprises a molybdenum-containing thin film produced by the method for forming a molybdenum-containing thin film.

본 발명에 따른 몰리브데넘 함유 전구체는 아미노 알코올 리간드를 포함하는 신규한 몰리브데넘 함유 화합물을 이용한 것으로서, 화합물의 구조적 안정성 및 열 안정성이 우수하고 휘발성이 높아 고품질의 박막을 효율적으로 형성할 수 있는 효과를 나타낸다.The molybdenum-containing precursor according to the present invention uses a novel molybdenum-containing compound containing an amino alcohol ligand, and the compound has excellent structural stability and thermal stability and high volatility, so that a high-quality thin film can be efficiently formed. show effect.

도 1은 Mo(NtBu)2(Cl)2DME의 1H-NMR 분석 결과이다.
도 2는 Mo(NtBu)2(EMAMP)21H-NMR 분석 결과이다.
도 3은 Mo(NtBu)2(EMAMP)2의 TGA 분석 결과이다.
도 4는 Mo(NtBu)2(EMAMP)2의 DSC 분석 결과이다.
도 5는 Mo(NtBu)2(EMAMP)Cl의 1H-NMR 분석 결과이다.
도 6은 Mo(NtBu)2(EMA)21H-NMR 분석 결과이다.
1 is a result of 1 H-NMR analysis of Mo(NtBu) 2 (Cl) 2 DME.
2 is a result of 1 H-NMR analysis of Mo(NtBu) 2 (EMAMP) 2 .
3 is a TGA analysis result of Mo(NtBu) 2 (EMAMP) 2 .
4 is a DSC analysis result of Mo(NtBu) 2 (EMAMP) 2 .
5 is a result of 1 H-NMR analysis of Mo(NtBu) 2 (EMAMP)Cl.
6 is a result of 1 H-NMR analysis of Mo(NtBu) 2 (EMA) 2 .

이하 본 발명을 보다 상세히 설명한다. 본 명세서 및 청구범위에 사용된 용어나 단어는 통상적이거나 사전적인 의미로 한정해서 해석되어서는 아니되며, 발명자는 그 자신의 발명을 가장 최선의 방법으로 설명하기 위해 용어의 개념을 적절하게 정의할 수 있다는 원칙에 입각하여 본 발명의 기술적 사상에 부합하는 의미와 개념으로 해석되어야만 한다.Hereinafter, the present invention will be described in more detail. Terms or words used in this specification and claims should not be construed as being limited to ordinary or dictionary meanings, and the inventor may appropriately define the concept of terms in order to explain his or her invention in the best way. It should be interpreted as a meaning and concept consistent with the technical idea of the present invention based on the principle that there is.

본 발명에 따른 몰리브데넘 함유 전구체는 아미노 알코올 리간드를 포함하는 몰리브데넘 함유 화합물을 이용한 것으로서, 상기 몰리브데넘 함유 화합물은 하기 화학식 1 또는 화학식 2로 표시되는 화합물이다.The molybdenum-containing precursor according to the present invention uses a molybdenum-containing compound containing an amino alcohol ligand, and the molybdenum-containing compound is a compound represented by Formula 1 or Formula 2 below.

[화학식 1][Formula 1]

Figure pat00003
Figure pat00003

[화학식 2][Formula 2]

Figure pat00004
Figure pat00004

상기 화학식 1 및 화학식 2에서 R1은 각각 독립적으로 C1-C6의 직쇄 또는 분기상 알킬기이며, R2는 수소원자, 메틸기, 에틸기, n-프로필 또는 i-프로필기이며, 또한, 화학식 2에서 X는 할로겐 원자(F, Cl 또는 Br)이다.In Formula 1 and Formula 2, R 1 is each independently a C 1 -C 6 straight-chain or branched alkyl group, R 2 is a hydrogen atom, methyl group, ethyl group, n-propyl or i-propyl group, and also Formula 2 where X is a halogen atom (F, Cl or Br).

상기 몰리브데넘 함유 화합물은 구조적 안정성이 우수하고 이에 따라 열 안정성이 우수하여 종래의 몰리브데넘 함유 전구체에서 문제가 되던 기화의 균일성이나 탄소 오염과 같은 문제점을 줄일 수도 있다. 또한, 휘발성이 우수하여 박막 형성 공정용 전구체로 적용하기에 적합하다.The molybdenum-containing compound has excellent structural stability and thus excellent thermal stability, and thus problems such as vaporization uniformity or carbon contamination, which are problematic in conventional molybdenum-containing precursors, may be reduced. In addition, it is suitable for application as a precursor for thin film forming process because of its excellent volatility.

상기 몰리브데넘 함유 화합물은 상온에서 액상일 수 있고, 화학구조에 따라 고상인 경우에는 용매에 용해하여 액상으로 전환할 수도 있다. 액상의 전구체는 액체 이송 방법(LDS: Liquid Delivery System)과 같은 종래의 증착 방법을 적용할 수 있으므로 박막 형성 공정의 효율을 크게 향상시킬 수 있다. 이는 액상 전구체가 고상과 달리 기판 상에 전구체의 균일한 공급이 유리하기 때문이다.The molybdenum-containing compound may be in a liquid state at room temperature, and in the case of a solid state depending on its chemical structure, it may be converted into a liquid state by dissolving in a solvent. The liquid precursor can greatly improve the efficiency of the thin film formation process because a conventional deposition method such as a liquid delivery system (LDS) can be applied. This is because the liquid precursor is advantageous in uniform supply of the precursor on the substrate, unlike the solid precursor.

즉, 상기 몰리브데넘 함유 전구체는 유기용매의 휘발된 기체를 챔버 내로 이송시키는 휘발 이송 방법, 액상의 전구체 조성물을 직접 주입하는 직접 액체 주입 방법(Direct Liquid Injection) 또는 전구체 조성물을 유기 용매에 용해시켜 이송하는 액체 이송 방법 등의 방법을 모두 적용할 수 있기 때문에 증착공정의 수행에 효과적이다. 또한, 상기 착화합물 형태에서는 박막 형성 공정 중 공급되는 열에 의해 리간드 분자가 해리되어 제거될 수 있기 때문에 탄소오염이 발생하지 않게 된다.That is, the molybdenum-containing precursor is prepared by a volatilization transfer method in which volatilized gas of an organic solvent is transferred into a chamber, a direct liquid injection method in which a liquid precursor composition is directly injected, or a precursor composition is dissolved in an organic solvent. It is effective in performing the deposition process because all methods such as liquid transfer method can be applied. In addition, in the form of the complex compound, since ligand molecules can be dissociated and removed by heat supplied during the thin film formation process, carbon contamination does not occur.

따라서 몰리브데넘 할라이드와 같은 일반적인 몰리브데넘 함유 전구체로 박막 형성 공정을 수행하는 종래기술에 비해 더 균일하게 전구체를 박막 상에 공급할 수 있게 되는 것으로서 고품질의 박막 형성으로 이어지게 된다. 또한, 상기 몰리브데넘 함유 화합물은 배위 리간드 적용을 통해 증착 공정에 있어서 전구체로서의 안정성을 개선할 수 있게 된다.Therefore, compared to the prior art of performing a thin film formation process with a general molybdenum-containing precursor such as molybdenum halide, the precursor can be more uniformly supplied on the thin film, leading to the formation of a high quality thin film. In addition, the molybdenum-containing compound can improve stability as a precursor in a deposition process through the application of a coordination ligand.

또한, 상기 몰리브데넘 함유 전구체는 상기 전구체를 용해시킬 수 있는 용매를 포함하는 것일 수 있는데, 이는 상기 몰리브데넘 함유 화합물에 대한 화학결합이 아닌 용해 상태를 의미하는 것이다.In addition, the molybdenum-containing precursor may include a solvent capable of dissolving the precursor, which means a dissolved state rather than a chemical bond to the molybdenum-containing compound.

상기 용매로는 펜탄(n-Pentane), 헥산(n-Hexane), 헵탄(n-Heptane), 옥탄(n-Octane)을 포함하는 탄소수 5 내지 8의 포화탄화수소, 다이메톡시에탄(DME), 다이에틸에테르(Diethylether), 테트로하이드로퓨란(THF), 에테르(ether), 디알콕시알칸(dialkoxyalkane), 피리딘(pyridine), 아세토니트릴(acetonitrile), 1차, 2차 또는 3차 아민 중 어느 하나의 화합물을 들 수 있다.The solvent includes saturated hydrocarbons having 5 to 8 carbon atoms including pentane, n-Hexane, heptane, and n-Octane, dimethoxyethane (DME), Diethylether, tetrahydrofuran (THF), ether, dialkoxyalkane, pyridine, acetonitrile, any one of primary, secondary or tertiary amines of compounds.

이때, 상기 몰리브데넘 함유 전구체에 대한 상기 용매의 함량은 1 내지 99 중량%일 수 있으며, 바람직하게는 10 내지 99 중량%, 더욱 바람직하게는 20 내지 99 중량%일 수 있다.At this time, the content of the solvent relative to the molybdenum-containing precursor may be 1 to 99% by weight, preferably 10 to 99% by weight, more preferably 20 to 99% by weight.

이와 같이 상기 몰리브데넘 함유 화합물을 용매를 사용하여 용해하면 몰리브데넘 원자의 농도를 낮출 수 있으므로 다양한 증착 공정 조건에 대응하여 적합한 전구체를 제공할 수 있게 된다.In this way, when the molybdenum-containing compound is dissolved using a solvent, the concentration of molybdenum atoms can be lowered, so that suitable precursors can be provided in response to various deposition process conditions.

본 발명의 몰리브데넘 함유 박막 형성 방법은 상기 몰리브데넘 함유 전구체를 기판 상에 증착하는 단계를 포함하여 수행되는데, 상기 몰리브데넘 함유 박막은 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 형성될 수 있다.The method of forming a molybdenum-containing thin film of the present invention is performed by depositing the molybdenum-containing precursor on a substrate, wherein the molybdenum-containing thin film is atomic layer deposition (ALD) or chemical vapor deposition (CVD) can be formed by

일 실시예에서 상기 몰리브데넘 함유 전구체를 액체 이송 방법으로 기판에 공급하여 증착 공정을 수행할 수 있는데, 액체운반시스템(LDS: Liquid Delivery System)을 사용하여 액상의 전구체 조성물을 기화기를 통해 기상으로 변화시킨 후 몰리브데넘 함유 박막 형성용 기판 위로 이송함으로써 상기 증착 공정을 실시할 수 있다.In one embodiment, the deposition process may be performed by supplying the molybdenum-containing precursor to the substrate by a liquid transfer method. A liquid precursor composition is converted into a vapor phase through a vaporizer using a liquid delivery system (LDS). After changing, the deposition process may be carried out by transferring onto a substrate for forming a molybdenum-containing thin film.

이와 같이 형성된 몰리브데넘 함유 박막은 낮은 전기 저항성 갭 필, 3D-NAND를 위한 라이너층, DRAM 워드 라인 피처 또는 CMOS 로직 응용의 상호 연결 물질과 같이 반도체 소자를 구성하는 요소에 적용될 수 있다. 상기 유전체 표면에 몰리브데넘 함유 박막을 직접 증착함으로써, 중간층을 따로 설치할 필요가 없게 되고, 이는, 예를 들어, CMOS 구조에서의 상호 연결 및 메모리 소자에서 워드 라인/비트 라인에 대해 낮은 유효 전기 비저항을 나타내게 되므로 고품질의 반도체 소자를 제조할 수 있게 된다. 또한, 반도체 소자 외에도 디스플레이, 박막 태양 전지, 전극, 가스 센서, TFT 채널 물질 등의 전자 장치용 소자를 제조할 수도 있다.The thus formed molybdenum-containing thin film can be applied to elements constituting semiconductor devices, such as low electrical resistance gap fills, liner layers for 3D-NAND, DRAM word line features, or interconnect materials in CMOS logic applications. By directly depositing a thin film containing molybdenum on the dielectric surface, there is no need to separately provide an intermediate layer, which has a low effective electrical resistivity, for example, for interconnections in CMOS structures and for word lines/bit lines in memory devices. Since it represents, it is possible to manufacture a high-quality semiconductor device. In addition to semiconductor devices, devices for electronic devices such as displays, thin-film solar cells, electrodes, gas sensors, and TFT channel materials may be manufactured.

상기 몰리브데넘 함유 박막을 증착하는 단계는 챔버 내에 기판을 위치하는 단계, 상기 몰리브데넘 전구체를 상기 챔버 내에 공급하여 상기 기판에 접촉시키는 단계, 반응성 가스를 포함하는 기상 반응물을 공급하여 상기 기판에 접촉시키는 단계를 포함할 수 있다.Depositing the molybdenum-containing thin film may include placing a substrate in a chamber, supplying the molybdenum precursor into the chamber to contact the substrate, and supplying a vapor phase reactant including a reactive gas to the substrate. Contacting may be included.

즉, 몰리브데넘 함유 전구체를 기판에 접촉시켜 몰리브데넘 함유 박막을 형성시킨 후 여기에 반응성 가스를 접촉시켜 몰리브데넘 박막을 형성하게 된다.That is, a molybdenum-containing precursor is brought into contact with a substrate to form a molybdenum-containing thin film, and then a reactive gas is brought into contact thereto to form a molybdenum thin film.

이때, 상기 기판은 실리콘(Si), 게르마늄(Ge), 게르마늄주석(GeSn), 실리콘게르마늄(SiGe), 실리콘게르마늄주석(SiGeSn), 실리콘카바이드(SiC)를 포함한 III-V족 반도체 재료를 사용할 수 있다. 또한, 상기 기판으로 산화실리콘(SiO2, SiOx), 질화실리콘(Si3N4), 실리콘옥시나이트라이드(SiON), 실리콘옥시카바이드(SiOC), 실리콘옥시카바이드나이트라이드(SiOCN), 실리콘카본나이트라이드(SiCN)와 같은 실리콘 함유 유전체 재료를 사용할 수 있으며, 산화알루미늄(Al2O3), 산화하프늄(HfO2), 산화탄탈(Ta2O5), 산화지르코늄(ZrO2), 산화티탄(TiO2), 하프늄실리케이트(HfSiOx) 및 산화란탄(La2O3)와 같은 금속 산화물을 포함하는 유전체 재료를 사용할 수도 있다.In this case, the substrate may use III-V semiconductor materials including silicon (Si), germanium (Ge), germanium tin (GeSn), silicon germanium (SiGe), silicon germanium tin (SiGeSn), and silicon carbide (SiC). there is. In addition, as the substrate, silicon oxide (SiO 2 , SiO x ), silicon nitride (Si 3 N 4 ), silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon oxycarbide nitride (SiOCN), silicon carbon Silicon-containing dielectric materials such as nitride (SiCN) can be used, aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), titanium oxide Dielectric materials including metal oxides such as (TiO 2 ), hafnium silicate (HfSiO x ), and lanthanum oxide (La 2 O 3 ) may also be used.

또한, ALD 공정에 의해 증착 공정을 수행하는 경우, 기판은 200 내지 500℃, 바람직하게는 250 내지 400℃로 가열될 수 있다. 또한, 증착 공정에서 챔버 내의 압력을 조절하여 박막의 직접 증착을 달성하도록 할 수 있는데, ALD 공정의 경우 0.1 내지 300Torr의 챔버 압력 하에서 증착 공정을 수행할 수 있다.In addition, when the deposition process is performed by the ALD process, the substrate may be heated to 200 to 500 °C, preferably 250 to 400 °C. In addition, in the deposition process, the pressure in the chamber may be adjusted to achieve direct deposition of the thin film. In the case of the ALD process, the deposition process may be performed under a chamber pressure of 0.1 to 300 Torr.

또한, 유전체 표면에 직접 증착으로 몰리브데넘 박막을 형성하는 경우 ALD 공정에서 반응 챔버를 퍼징하는 공정을 함께 수행하게 된다. 예를 들어, 미반응 몰리브데넘 함유 전구체 및 발생할 수 있는 반응 부산물은 불활성 가스로 퍼징하여 기판 표면에서 제거하게 된다.In addition, when a molybdenum thin film is formed by direct deposition on a dielectric surface, a process of purging the reaction chamber is performed together in the ALD process. For example, unreacted molybdenum-containing precursors and possible reaction by-products are removed from the substrate surface by purging with an inert gas.

또한, 상기 반응 챔버를 퍼징한 후 반응성 가스를 포함하는 기상 반응물을 공급하여 상기 기판에 접촉시킬 수 있다.In addition, after purging the reaction chamber, a vapor phase reactant including a reactive gas may be supplied to contact the substrate.

상기 반응성 가스로는 포밍 가스(H2 + N2), 암모니아(NH3), 히드라진(N2H4), 알킬히드라진, 수소(H2), 수소 원자(H), 수소 플라즈마, 수소 라디칼, 수소 여기종, 알코올, 알데히드, 카르복실산, 붕소 또는 아민 중 어느 하나 또는 그 이상을 사용할 수 있다. 또한, 전구체 형태의 반응성 가스를 사용할 수도 있는데, 이러한 예로는 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 저메인(GeH4), 디저메인(Ge2H6), 보레인(BH3), 디보레인(B2H6) 중 어느 하나 또는 그 이상을 사용할 수도 있다.Examples of the reactive gas include forming gas (H 2 + N 2 ), ammonia (NH 3 ), hydrazine (N 2 H 4 ), alkylhydrazine, hydrogen (H 2 ), hydrogen atom (H ), hydrogen plasma, hydrogen radical, hydrogen Any one or more of the exciton species, alcohols, aldehydes, carboxylic acids, boron or amines may be used. In addition, reactive gases in the form of precursors may be used, such as silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), germane (GeH 4 ), digermain (Ge 2 H 6 ), borane (BH 3 ), diborane (B 2 H 6 ), any one or more may be used.

또한, 상기 기판을 반응성 가스와 접촉시키는 시간, 유속 등의 조건은 통상의 박막 형성 공정에 따른 조건을 적용할 수 있다. 따라서 이러한 반응성 가스를 도입함으로써 몰리브데넘 산화물, 몰리브데넘 질화물, 몰리브데넘 산질화물, 몰리브데넘 황화물 박막을 형성할 수 있다.In addition, conditions such as time and flow rate for contacting the substrate with the reactive gas may be conditions according to a typical thin film forming process. Therefore, molybdenum oxide, molybdenum nitride, molybdenum oxynitride, and molybdenum sulfide thin films can be formed by introducing such a reactive gas.

상기 반응성 가스로서 산소 공급원을 제공하면 금속 산화물 박막을 형성할 수 있다. 상기 산소 공급원은 하나 이상의 산소 공급원의 형태로 반응기에 도입될 수 있고, 또는, 증착 공정에 사용된 다른 전구체 중에 부수적으로 존재할 수 있다. 적합한 산소 공급원 가스는 예를 들어, 물(H2O)(예를 들어, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 산소 플라즈마, 오존(O3), N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물을 포함할 수 있다.When an oxygen source is provided as the reactive gas, a metal oxide thin film may be formed. The oxygen source may be introduced into the reactor in the form of one or more oxygen sources, or may be incidentally present in other precursors used in the deposition process. Suitable oxygen source gases include, for example, water (H 2 O) (eg, deionized water, purified water, and/or distilled water), oxygen (O 2 ), oxygen plasma, ozone (O 3 ), N 2 O, NO 2 , carbon monoxide (CO), carbon dioxide (CO 2 ), and combinations thereof.

ALD 또는 사이클릭 CVD 공정에 의해 증착되는 경우를 예로 들면, 전구체 펄스는 0.01 초 초과의 펄스 폭(pulse duration)을 지닐 수 있고, 산소 공급원은 0.01 초 미만의 펄스 폭을 지닐 수 있고, 물 펄스 폭은 0.01 초 미만인 펄스 폭을 지닐 수 있다.For example, when deposited by an ALD or cyclic CVD process, the precursor pulse may have a pulse duration greater than 0.01 second, the oxygen source may have a pulse duration less than 0.01 second, and the water pulse duration may have a pulse width of less than 0.01 seconds.

또한, 상기 펄스들 사이의 퍼지 폭은 0초 정도로 작을 수 있거나, 중간에 퍼지 없이 연속적으로 펄싱될 수 있다. 상기 산소 공급원은 상기 전구체에 대해 1:1 비보다 낮은 분자량으로 제공되고, 이를 통해 적어도 일부 탄소가 증착된 그대로의 유전 필름에 남게 될 수 있다.Further, the purge width between the pulses may be as small as 0 seconds, or may be continuously pulsed without a purge in the middle. The oxygen source may be provided at a molecular weight lower than a 1:1 ratio to the precursor, whereby at least some carbon may remain in the as-deposited dielectric film.

또한, 금속 산화물 박막에 질소를 추가로 포함하여 산질화막을 형성할 수도 있다. 상기 박막은 전술한 방법을 사용하여 증착되며, 질소 함유 공급원의 존재 하에서 형성될 수 있다. 질소 함유 공급원은 하나 이상의 질소 공급원의 형태로 반응기에 도입될 수 있고 증착 공정에 사용된 다른 전구체 중에 부수적으로 존재할 수 있다. 적합한 질소 함유 공급원 가스는 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물을 포함할 수 있다. In addition, an oxynitride film may be formed by further including nitrogen in the metal oxide thin film. The thin film is deposited using the method described above and may be formed in the presence of a nitrogen containing source. Nitrogen-containing sources may be introduced into the reactor in the form of one or more nitrogen sources and may be concomitantly present among other precursors used in the deposition process. Suitable nitrogen containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/hydrogen plasma, and mixtures thereof.

예를 들어, 상기 질소 함유 공급원은 약 1 내지 약 2000 sccm 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는, 암모니아 플라즈마 또는 수소/질소 플라즈마 공급원 가스를 포함할 수 있다. 상기 질소 함유 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 박막이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 상기 전구체 펄스는 0.01 초 초과의 펄스 폭을 지닐 수 있고, 질소 함유 산소 공급원은 0.01 초 미만의 펄스 폭을 지닐 수 있고, 물 펄스 폭은 0.01 초 미만인 펄스 폭을 지닐 수 있다. For example, the nitrogen-containing source may include an ammonia plasma or hydrogen/nitrogen plasma source gas introduced into the reactor at a flow rate ranging from about 1 to about 2000 sccm or from about 1 to about 1000 sccm. The nitrogen containing source may be introduced for a time ranging from about 0.1 to about 100 seconds. In embodiments where the thin film is deposited by an ALD or cyclic CVD process, the precursor pulse may have a pulse width greater than 0.01 seconds, the nitrogenous oxygen source may have a pulse width less than 0.01 seconds, and the water pulse width may have a pulse width of less than 0.01 seconds.

또 다른 구체예에서, 펄스들 사이의 퍼지 폭은 0초 정도로 낮을 수 있거나, 중간에 퍼지 없이 연속적으로 펄싱될 수 있다.In another embodiment, the purge width between pulses can be as low as 0 seconds or can be pulsed continuously without intervening purges.

또한, 상기 증착 공정에서 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징시키기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 이러한 퍼지 가스로는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 또는 이들의 혼합물을 포함할 수 있으나, 이로 제한되는 것은 아니다. 예를 들어, Ar과 같은 퍼지 가스가 약 0.1 내지 1000 초 동안 10 내지 약 2000 sccm 범위의 유량으로 반응기에 공급되고, 이로써 반응기내 남아있을 수 있는 미반응 물질 및 부산물을 퍼징할 수 있다.In addition, one or more purge gases may be included in the deposition process. The purge gas used to purge unconsumed reactants and/or reaction by-products is an inert gas that does not react with the precursors. Such a purge gas may include argon (Ar), nitrogen (N 2 ), helium (He), neon, hydrogen (H 2 ), or a mixture thereof, but is not limited thereto. For example, a purge gas such as Ar is supplied to the reactor at a flow rate ranging from 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging unreacted materials and by-products that may remain in the reactor.

또한, 전구체, 산소, 질소, 황의 공급원, 및/또는 그 밖의 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 형성되는 박막의 화학량론적 조성을 변경시키도록 상기 물질들을 공급하는 시간을 변경시킴으로써 수행될 수 있다.Additionally, each step of supplying precursors, sources of oxygen, nitrogen, sulfur, and/or other precursors, source gases, and/or reagents may vary the time of supplying those materials to alter the stoichiometric composition of the thin film being formed. It can be done by doing

반응을 유발하고, 기판 상에 박막을 형성하기 위해 상기 몰리브데넘 함유 박막 형성용 전구체, 산소 함유 공급원, 질소 함유 공급원, 황 함유 공급원 또는 이들의 조합물 중 하나 이상에 에너지가 가해지는데, 열, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 포톤(photon), 원격 플라즈마 방법 및 이들의 조합을 들 수 있으나, 이로 제한되는 것은 아니다. 예를 들어, 이차 RF 주파수 소스(source)가 기판 표면에서 플라즈마 특징을 변형시키기 위해 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마 생성 공정, 또는 다르게는 플라즈마가 반응기 외부에서 생성되어 반응기에 제공되는 원격 플라즈마 생성 공정을 포함할 수 있다.Energy is applied to at least one of the molybdenum-containing precursor for forming a thin film, an oxygen-containing source, a nitrogen-containing source, a sulfur-containing source, or a combination thereof to cause a reaction and form a thin film on a substrate, including heat, plasma, pulsed plasma, helicon plasma, high-density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof, but are not limited thereto. For example, a secondary RF frequency source can be used to modify plasma characteristics at the substrate surface. In embodiments where the deposition involves plasma, the plasma-generating process may include a direct plasma generation process in which plasma is generated directly in the reactor, or alternatively a remote plasma generation process in which plasma is generated external to the reactor and provided to the reactor. .

또한, 상기 몰리브데넘 함유 박막 형성용 전구체의 공급 시, 최종 형성되는 몰리브데넘 함유 박막에서의 전기적 특성, 즉 정전용량을 더욱 개선시키기 위하여 추가적인 금속 전구체로서 규소(Si), 티타늄(Ti), 게르마늄(Ge), 스트론튬(Sr), 바륨(Ba), 하프늄(Hf) 및 란탄족 원자로부터 선택된 1종 이상의 금속(M')을 포함하는 추가적인 금속 전구체를 선택적으로 더 공급할 수도 있다. 상기 추가적인 금속 전구체는 상기 금속을 포함하는 알킬아미드계 화합물 또는 알콕시계 화합물 일 수 있다.In addition, when supplying the precursor for forming the molybdenum-containing thin film, silicon (Si), titanium (Ti), An additional metal precursor including at least one metal (M′) selected from germanium (Ge), strontium (Sr), barium (Ba), hafnium (Hf), and a lanthanide atom may be further selectively supplied. The additional metal precursor may be an alkylamide-based compound or an alkoxy-based compound containing the metal.

상기 추가적인 금속 전구체의 공급은 상기 몰리브데넘 함유 전구체의 공급 방법과 동일한 방법으로 실시될 수 있으며, 상기 추가적인 금속 전구체는 몰리브데넘 함유 전구체와 함께 박막 형성용 기판 위로 공급될 수도 있고, 또는 몰리브데넘 함유 전구체의 공급 완료 이후 순차적으로 공급될 수도 있다.The supply of the additional metal precursor may be carried out in the same way as the supply method of the molybdenum-containing precursor, and the additional metal precursor may be supplied onto a substrate for thin film formation together with the molybdenum-containing precursor, or molybdenum-containing precursor. It may be supplied sequentially after completion of the supply of the denum-containing precursor.

상기와 같은 몰리브데넘 함유 전구체 및 추가적인 금속 전구체는 상기 몰리브데넘 박막 형성용 기판과 접촉시키기 위해 반응 챔버 내로 공급되기 전까지 150 내지 600℃의 온도를 유지할 수 있고, 바람직하게는 150 내지 450℃의 온도를 유지할 수 있다.The molybdenum-containing precursor and the additional metal precursor as described above may maintain a temperature of 150 to 600 ° C., preferably 150 to 450 ° C. temperature can be maintained.

또한, 상기 몰리브데넘 함유 박막 형성용 전구체의 공급 단계 후 반응성 가스의 공급에 앞서, 상기 몰리브데넘 함유 전구체 및 추가적인 금속 전구체의 기판 위로의 이동을 돕거나, 반응기 내가 증착에 적절한 압력을 갖도록 하며, 또한, 챔버 내에 존재하는 불순물 등을 외부로 방출시키기 위하여, 반응기 내에 아르곤(Ar), 질소(N2), 또는 헬륨(He) 등의 불활성 기체를 퍼지하는 공정이 실시될 수 있다. 이때 불활성 기체의 퍼지는 반응기내 압력이 1 내지 5 Torr가 되도록 실시되는 것이 바람직하다.In addition, before supplying the reactive gas after the supplying of the molybdenum-containing precursor for thin film formation, the molybdenum-containing precursor and the additional metal precursor are assisted in moving onto the substrate, or the reactor has an appropriate pressure for deposition, In addition, in order to discharge impurities present in the chamber to the outside, a process of purging an inert gas such as argon (Ar), nitrogen (N 2 ), or helium (He) in the reactor may be performed. At this time, it is preferable that the inert gas is purged so that the pressure in the reactor is 1 to 5 Torr.

상기와 같은 전구체들의 공급 완료 후 반응성 가스를 반응기 내로 공급하고, 반응성 가스의 존재 하에서 열처리, 플라스마 처리 및 광 조사로 이루어진 군에서 선택되는 1종의 처리 공정을 실시할 수 있다.After completion of the supply of the precursors, a reactive gas may be supplied into the reactor, and one treatment process selected from the group consisting of heat treatment, plasma treatment, and light irradiation may be performed in the presence of the reactive gas.

상기 반응성 가스로는 수증기(H2O), 산소(O2), 오존(O3), 과산화수소(H2O2), 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4), 및 실란(SiH4) 중 어느 하나 또는 이들의 혼합물을 사용할 수 있다. 상기 수증기, 산소, 오존 등과 같은 산화성 가스 존재 하에서 실시될 경우 실리콘 산화물 박막이 형성될 수 있고, 수소, 암모니아, 히드라진, 실란 등의 환원성 가스 존재 하에서 실시되는 경우 금속 단체 또는 금속 질화물의 박막이 형성될 수 있다.The reactive gases include water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), hydrogen (H 2 ), ammonia (NH 3 ), nitrogen monoxide (NO), suboxides Any one of nitrogen (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ), and silane (SiH 4 ) or a mixture thereof may be used. When carried out in the presence of an oxidizing gas such as water vapor, oxygen, or ozone, a silicon oxide thin film may be formed, and when carried out in the presence of a reducing gas such as hydrogen, ammonia, hydrazine, or silane, a thin film of metal alone or metal nitride may be formed. can

또한, 상기 열처리, 플라즈마 처리 또는 광조사의 처리 공정은 금속 전구체의 증착을 위한 열에너지를 제공하기 위한 것으로, 통상의 방법에 따라 실시될 수 있다. 바람직하게는, 충분한 성장 속도로, 목적하는 물리적 상태와 조성을 갖는 금속 박막을 제조하기 위해서는 반응기내 기판의 온도가 100 내지 1,000℃, 바람직하게는 300 내지 500℃가 되도록 상기 처리 공정을 실시하는 것이 바람직하다.In addition, the heat treatment, plasma treatment, or light irradiation treatment process is to provide thermal energy for deposition of the metal precursor, and may be performed according to a conventional method. Preferably, in order to produce a metal thin film having a desired physical state and composition at a sufficient growth rate, the treatment process is preferably performed so that the temperature of the substrate in the reactor is 100 to 1,000 ° C, preferably 300 to 500 ° C. do.

또한, 상기 처리 공정 시에도 전술한 바와 같이 반응성 가스의 기판 위로의 이동을 돕거나, 반응기 내가 증착에 적절한 압력을 갖도록 하며, 또한 반응기내 존재하는 불순물 또는 부산물 등을 외부로 방출시키기 위하여, 반응기 내에 아르곤(Ar), 질소(N2), 또는 헬륨(He) 등의 불활성 기체를 퍼지하는 공정이 실시될 수 있다.In addition, in the treatment process, as described above, to help the movement of the reactive gas onto the substrate, to have an appropriate pressure for deposition in the reactor, and to release impurities or by-products present in the reactor to the outside, A process of purging an inert gas such as argon (Ar), nitrogen (N 2 ), or helium (He) may be performed.

상기와 같은, 몰리브데넘 함유 전구체의 투입, 반응성 가스의 투입, 추가적인 금속 전구체의 투입 및 불활성 기체의 투입 처리 공정은 1 사이클로 하여. 1 사이클 이상 반복 실시함으로써 몰리브데넘 함유 박막이 형성될 수 있다.As described above, the input of the molybdenum-containing precursor, the input of the reactive gas, the input of the additional metal precursor, and the input of the inert gas are treated as one cycle. A molybdenum-containing thin film may be formed by repeating the process for one cycle or more.

상기와 같은 몰리브데넘 함유 박막 형성 방법에 의해 제조된 몰리브데넘 함유 박막은 반도체, 전자장치의 소자를 구성하는 구성요소가 되며, 이를 통해 다양한 종류의 소자를 제조할 수 있다. 상기 몰리브데넘 함유 박막을 포함하는 반도체 소자의 예로는 3D-NAND, DRAM과 같은 메모리 소자, 반도체용 게이트 전극, DRAM의 캐퍼시터 전극, TFT의 채널 물질 등을 들 수 있다.The molybdenum-containing thin film manufactured by the method for forming a molybdenum-containing thin film as described above becomes a component constituting elements of semiconductors and electronic devices, and through this, various types of elements can be manufactured. Examples of semiconductor devices including the molybdenum-containing thin film include memory devices such as 3D-NAND and DRAM, gate electrodes for semiconductors, capacitor electrodes of DRAM, channel materials of TFTs, and the like.

이하, 실시예를 통하여 본 발명의 효과를 설명한다.Hereinafter, the effects of the present invention will be described through examples.

하기와 같은 반응 경로를 통해 몰리브데넘 함유 전구체를 합성하였다.A molybdenum-containing precursor was synthesized through the following reaction pathway.

합성예 1. (비스티부틸이미도-다이클로로-다이메톡시에탄)몰리브데넘Mo(NtBu)Synthesis Example 1. (Bistibutylimido-dichloro-dimethoxyethane) Molybdenum Mo (NtBu) 22 ClCl 22 (DME)의 합성Synthesis of (DME)

플라스크에 소듐 몰리브데이트 10.0 g (48.6mmol)와 다이메톡시에탄 300 ㎖를 투입하였다. 혼합물을 -78℃에서 교반한 후 t-부틸아민 10.75㎖ (101.9mmol), 트리에틸아민 27.15㎖ (194.2mmol), 클로로트리메틸실란 49.3㎖ (399.4mmol)을 천천히 첨가함으로써 Mo(NtBu)2(Cl)2(DME) 중간체를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 12시간 동안 추가로 환류 반응하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켜서. 짙은 녹색 고체 형상의 Mo(NtBu)2(Cl)2(DME) 반응 중간체를 얻었다. 수득한 고상의 화합물에 대한 1H-NMR(Bruker사 AV400MHz HD (용매: benzene-d6 사용)로 측정하였으며 결과는 도 1과 같다.10.0 g (48.6 mmol) of sodium molybdate and 300 ml of dimethoxyethane were added to the flask. After the mixture was stirred at -78°C, Mo(NtBu) 2 (Cl ) 2 (DME) intermediate was prepared. The solution was stirred at -78°C for 30 minutes, then warmed to room temperature and further reacted at reflux for 12 hours. By filtering the mixture and evaporating the solvent and volatiles under vacuum. A dark green solid Mo(NtBu) 2 (Cl) 2 (DME) reaction intermediate was obtained. 1 H-NMR (Bruker AV400MHz HD (solvent: using benzene-d6) for the obtained solid compound was measured, and the results are shown in FIG. 1.

1H NMR (C6D6, 25℃): 1.42(S, 18H), 3.23(s, 4H), 3.49(s, 6H) 1H NMR (C 6 D 6 , 25° C.): 1.42 (S, 18H), 3.23 (s, 4H), 3.49 (s, 6H)

또한, 상기 합성예 1을 통해 제조된 Mo(NtBu)2Cl2(DME)을 이용하여 하기 합성예 2-1 내지 2-3의 화합물을 제조할 수 있다.In addition, the compounds of Synthesis Examples 2-1 to 2-3 below may be prepared using Mo(NtBu) 2 Cl 2 (DME) prepared in Synthesis Example 1.

합성예 2-1. (비스티부틸이미도-비스에틸메틸아미노메틸프로판올)몰리브데넘 Mo(NtBu)Synthesis Example 2-1. (bistybutylimido-bisethylmethylaminomethylpropanol)molybdenum Mo(NtBu) 22 (EMAMP)(EMAMP) 22 의 합성synthesis of

n-BuLi 헥산 용액 (2.5 M) 38.9㎖(97.2mmol)을 -78

Figure pat00005
에서 헥산 200㎖ 중 에틸메틸아미노프로판올 12.75 g (97.2mmol)에 천천히 적가하여 반응시켜 Li-EMAMP를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 4시간 동안 추가로 교반을 하였다. 톨루엔 300㎖를 -78℃에서 반응 중간체 Mo(NtBu)2(Cl)2(DME)를 함유하는 플라스크에 투입하였다. 새로 제조된 Li-EMAMP 용액의 전체 양을 반응 중간체 Mo(NtBu)2(Cl)2(DME)를 함유하는 플라스크에 천천히 적가하여 반응하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 반응 용액을 실온에서 밤새 교반을 하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 연주황색 액체를 165℃ 및 34mTorr에서 증류하였다. 수율은 10g(74 %) 이었다. 수득한 액상의 화합물을 1H-NMR(Bruker사 AV400MHz HD (용매: benzene-d6 사용)로 측정하였으며 결과는 도 2와 같다.38.9 ml (97.2 mmol) of n-BuLi hexane solution (2.5 M) was added to -78
Figure pat00005
Li-EMAMP was prepared by slowly adding dropwise to 12.75 g (97.2 mmol) of ethylmethylaminopropanol in 200 ml of hexane. The solution was stirred at −78° C. for 30 min, then warmed to room temperature and further stirred at room temperature for 4 h. 300 mL of toluene was charged to the flask containing the reaction intermediate Mo(NtBu) 2 (Cl) 2 (DME) at -78 °C. The entire amount of the freshly prepared Li-EMAMP solution was slowly added dropwise to the flask containing the reaction intermediate Mo(NtBu) 2 (Cl) 2 (DME) to react. The solution was stirred at -78 °C for 30 minutes and then warmed to room temperature, and the reaction solution was stirred at room temperature overnight. The mixture was filtered and the solvent and volatiles were evaporated under vacuum. The resulting pale yellow liquid was distilled at 165° C. and 34 mTorr. The yield was 10 g (74%). The obtained liquid compound was measured by 1 H-NMR (Bruker AV400MHz HD (solvent: using benzene-d6), and the results are shown in FIG. 2.

1H NMR (C6D6, 25℃): 0.94(t, 6H), 1.40(s, 12H), 1.47(s, 18H), 2.39(s, 6H), 2.51(s, 4H), 2.82(q, 4H) 1 H NMR (C 6 D 6 , 25° C.): 0.94 (t, 6H), 1.40 (s, 12H), 1.47 (s, 18H), 2.39 (s, 6H), 2.51 (s, 4H), 2.82 ( q, 4H)

또한, 수득된 연주황색 액체에 대하여 질소를 200㎖/min으로 유동시키는 분위기에서 10 ℃/min으로 온도를 상승하면서, 온도 변화에 따른 중량 손실 백분율을 TGA 측정(TA instrument 사 SDT Q600) 하고, 그 결과를 도 3과 같다. 도 3의 결과를 살펴보면, 10℃/min으로 측정된 TGA 분석 동안 3.6% 잔류 질량이 남는 것을 알 수 있다. 이러한 결과는 생성된 화합물이 증착 공정에 적합한 정도의 휘발도와 열 안정성을 나타내는 것을 시사하는 결과이다.In addition, while raising the temperature at 10 ° C. / min in an atmosphere in which nitrogen flows at 200 ml / min with respect to the obtained light yellow liquid, the weight loss percentage according to the temperature change is measured by TGA (TA instrument SDT Q600), The results are shown in Figure 3. Looking at the results of Figure 3, it can be seen that 3.6% residual mass remains during the TGA analysis measured at 10 °C / min. These results suggest that the resulting compound exhibits volatility and thermal stability suitable for the deposition process.

또한, 수득된 연주황색 액체에 대하여 질소를 50㎖/min으로 유동시키는 분위기에서 10℃/min으로 온도를 상승하면서, 온도 변화에 따른 Heat Flow를 DSC 측정(TA Instrument 사 DSC 25)하였다. 그 결과, 도 4에서와 10℃/min으로 측정된 DSC 분석 동안 289℃에서 열분해 온도를 나타내어 열 안정성이 우수한 것을 시사하였다.In addition, while raising the temperature at 10 ° C. / min in an atmosphere in which nitrogen flows at 50 ml / min with respect to the obtained pale yellow liquid, the heat flow according to the temperature change was measured by DSC (TA Instrument DSC 25). As a result, the thermal decomposition temperature was shown at 289 ° C during the DSC analysis measured at 10 ° C / min as in FIG. 4, suggesting that the thermal stability was excellent.

합성예 2-2. (비스티부틸이미도-에틸메틸아미노메틸프로판올-클로로)몰리브데넘 Mo(NtBu)Synthesis Example 2-2. (bistybutylimido-ethylmethylaminomethylpropanol-chloro)molybdenum Mo(NtBu) 22 (EMAMP)Cl의 합성Synthesis of (EMAMP)Cl

n-BuLi 헥산 용액(2.5M) 19.5㎖(48.6mmol)을 -78℃에서 헥산 200㎖ 중 에틸메틸아미노프로판올 6.38g (48.6mmol)에 천천히 적가하여 반응시켜 Li-EMAMP를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 4시간 동안 추가로 교반을 하였다. 톨루엔 300㎖를 -78℃에서 반응 중간체 Mo(NtBu)2(Cl)2(DME)를 함유하는 플라스크에 투입하였다. 새로 제조된 Li-EMAMP 용액의 전체 양을 반응 중간체 Mo(NtBu)2(Cl)2(DME)를 함유하는 플라스크에 천천히 적가하여 반응하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 반응 용액을 실온에서 밤새 교반을 하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 연주황색 액체를 151℃ 및 177mTorr에서 증류하였다. 수율은 16.7g (85%) 이었다. 수득한 액상의 화합물을 1H-NMR(Bruker사 AV400MHz HD (용매: benzene-d6 사용)로 측정하였으며 결과는 도 5과 같다.Li-EMAMP was prepared by slowly adding 19.5 ml (48.6 mmol) of n-BuLi hexane solution (2.5 M) dropwise to 6.38 g (48.6 mmol) of ethylmethylaminopropanol in 200 ml of hexane at -78 ° C. The solution was stirred at −78° C. for 30 min, then warmed to room temperature and further stirred at room temperature for 4 h. 300 mL of toluene was charged to the flask containing the reaction intermediate Mo(NtBu) 2 (Cl) 2 (DME) at -78 °C. The entire amount of the freshly prepared Li-EMAMP solution was slowly added dropwise to the flask containing the reaction intermediate Mo(NtBu) 2 (Cl) 2 (DME) to react. The solution was stirred at -78 °C for 30 minutes and then warmed to room temperature, and the reaction solution was stirred at room temperature overnight. The mixture was filtered and the solvent and volatiles were evaporated under vacuum. The resulting pale yellow liquid was distilled at 151 °C and 177 mTorr. The yield was 16.7 g (85%). The obtained liquid compound was measured by 1 H-NMR (Bruker AV400MHz HD (solvent: using benzene-d6), and the results are shown in FIG. 5 .

1H NMR (C6D6, 25℃): 0.77(t, 3H), 1.25(s, 3H), 1.26(s, 3H), 1.41(s, 18H), 2.31(d, 1H), 2.42(s, 3H), 2.70(d, 1H), 3.10(q, 4H) 1 H NMR (C 6 D 6 , 25° C.): 0.77 (t, 3H), 1.25 (s, 3H), 1.26 (s, 3H), 1.41 (s, 18H), 2.31 (d, 1H), 2.42 ( s, 3H), 2.70 (d, 1H), 3.10 (q, 4H)

합성예 2-3. (비스티부틸이미도-에틸메틸아미노메틸프로판올-다이메틸프로판올)몰리브데넘 Mo(NtBu)Synthesis Example 2-3. (bistybutylimido-ethylmethylaminomethylpropanol-dimethylpropanol)molybdenum Mo(NtBu) 22 (EMAMP)(DMAMP)의 합성Synthesis of (EMAMP)(DMAMP)

n-BuLi 헥산 용액(2.5 M) 19.5㎖(48.6mmol)을 -78℃에서 헥산 200㎖ 중 디메틸아미노프로판올 5.69g(48.6mmol)에 천천히 적가하여 반응시켜 Li-DMAMP를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 4시간 동안 추가로 교반을 하였다. 톨루엔 300㎖를 -78℃에서 반응 중간체 Mo(NtBu)2(EMAMP)(Cl)를 함유하는 플라스크에 투입하였다. 새로 제조된 Li-DMAMP 용액의 전체 양을 반응 중간체 Mo(NtBu)2(EMAMP)(Cl)를 함유하는 플라스크에 천천히 적가하여 반응하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 반응 용액을 실온에서 밤새 교반을 하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 연주황색 액체를 151℃ 및 41mTorr에서 증류하였다. 수율은 13g(73%) 이었다.Li-DMAMP was prepared by slowly adding 19.5 ml (48.6 mmol) of n-BuLi hexane solution (2.5 M) dropwise to 5.69 g (48.6 mmol) of dimethylaminopropanol in 200 ml of hexane at -78 ° C. The solution was stirred at −78° C. for 30 min, then warmed to room temperature and further stirred at room temperature for 4 h. 300 mL of toluene was charged to the flask containing the reaction intermediate Mo(NtBu) 2 (EMAMP)(Cl) at -78 °C. The entire amount of the freshly prepared Li-DMAMP solution was slowly added dropwise to the flask containing the reaction intermediate Mo(NtBu) 2 (EMAMP)(Cl) to react. The solution was stirred at -78 °C for 30 minutes and then warmed to room temperature, and the reaction solution was stirred at room temperature overnight. The mixture was filtered and the solvent and volatiles were evaporated under vacuum. The resulting pale yellow liquid was distilled at 151°C and 41 mTorr. The yield was 13 g (73%).

합성예 3. (비스티부틸이미도-비스에틸메틸아미노)몰리브데넘Mo(NtBu)Synthesis Example 3. (bistibutylimido-bisethylmethylamino)molybdenum Mo(NtBu) 22 (EMA)(EMA) 22 의 합성synthesis of

플라스크에 소듐 몰리브데이트 10.0g(48.6mmol)와 다이메톡시에탄 300㎖를 투입한다. 혼합물을 -78℃에서 교반한 뒤 t-부틸아민 10.75㎖(101.9mmol), 트리에틸아민 27.15㎖(194.2mmol), 클로로트리메틸실란 49.3㎖(399.4mmol)을 천천히 첨가함으로써 Mo(NtBu)2(Cl)2(DME) 중간체를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 12시간 동안 추가로 환류 반응하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켜서. 짙은 녹색 고체 형상의 Mo(NtBu)2(Cl)2(DME) 반응 중간체를 얻었다. n-BuLi 헥산 용액 (2.5M) 38.9㎖ (97.2mmol)을 -78℃에서 헥산 200㎖ 중 에틸메틸아민 11.49g (194.4mmol)에 천천히 적가하여 반응시켜 Li-EMA를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 4시간 동안 추가로 교반을 하였다. 톨루엔 300㎖를 -78℃에서 반응 중간체 Mo(NtBu)2(Cl)2(DME)를 함유하는 플라스크에 투입하였다. 새로 제조된 Li-EMA 용액의 전체 양을 반응 중간체 Mo(NtBu)2(Cl)2(DME)를 함유하는 플라스크에 천천히 적가하여 반응하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 반응 용액을 실온에서 밤새 교반을 하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 연주황색 액체를 150℃ 및 32mTorr에서 증류하였다. 수율은 12g(70%) 이었다. 수득한 액상의 화합물을 1H-NMR(Bruker사 AV400MHz HD (용매: benzene-d6 사용)로 측정하였으며 결과는 도 6와 같다.10.0 g (48.6 mmol) of sodium molybdate and 300 ml of dimethoxyethane were added to the flask. After the mixture was stirred at -78°C, Mo(NtBu) 2 (Cl ) 2 (DME) intermediate was prepared. The solution was stirred at -78°C for 30 minutes, then warmed to room temperature and further reacted at reflux for 12 hours. By filtering the mixture and evaporating the solvent and volatiles under vacuum. A dark green solid Mo(NtBu) 2 (Cl) 2 (DME) reaction intermediate was obtained. 38.9 ml (97.2 mmol) of n-BuLi hexane solution (2.5 M) was slowly added dropwise to 11.49 g (194.4 mmol) of ethylmethylamine in 200 ml of hexane at -78° C. to prepare Li-EMA. The solution was stirred at −78° C. for 30 min, then warmed to room temperature and further stirred at room temperature for 4 h. 300 mL of toluene was charged to the flask containing the reaction intermediate Mo(NtBu) 2 (Cl) 2 (DME) at -78 °C. The entire amount of the freshly prepared Li-EMA solution was slowly added dropwise to the flask containing the reaction intermediate Mo(NtBu) 2 (Cl) 2 (DME) to react. The solution was stirred at -78 °C for 30 minutes and then warmed to room temperature, and the reaction solution was stirred at room temperature overnight. The mixture was filtered and the solvent and volatiles were evaporated under vacuum. The resulting pale yellow liquid was distilled at 150° C. and 32 mTorr. The yield was 12 g (70%). The obtained liquid compound was measured by 1 H-NMR (Bruker AV400MHz HD (solvent: using benzene-d6), and the results are shown in FIG. 6 .

1H NMR (C6D6, 25℃): 1.23(t, 6H), 1.40(s, 18H), 3.48(s, 6H), 3.65(q, 4H)1H NMR (C 6 D 6 , 25° C.): 1.23 (t, 6H), 1.40 (s, 18H), 3.48 (s, 6H), 3.65 (q, 4H)

또한, 상기 합성예 1을 통해 제조된 Mo(NtBu)2(EMA)2을 이용하여 하기 합성예 4의 화합물을 제조할 수 있다.In addition, the compound of Synthesis Example 4 below can be prepared using Mo(NtBu) 2 (EMA) 2 prepared in Synthesis Example 1.

합성예 4. (비스티부틸이미도-비스에틸메틸아미노메틸프로판올)몰리브데넘 Mo(NtBu)Synthesis Example 4. (bistibutylimido-bisethylmethylaminomethylpropanol)molybdenum Mo(NtBu) 22 (EMAMP)(EMAMP) 22 의 합성synthesis of

플라스크에 Mo(NtBu)2(EMA)2 10.0g(28.2mmol)와 다이메톡시에탄 100㎖를 투입하였다. 혼합물을 -78℃에서 교반을 한 뒤 에틸메틸아미노프로판올 7.77g (59.2mmol) 을 천천히 적가함으로써 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 밤새 교반을 하였다. 혼합물을 여과하고 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 연주황색 액체를 165℃ 및 46mTorr에서 증류하였다. 수율은 11g(78%)이었다.10.0 g (28.2 mmol) of Mo(NtBu) 2 (EMA) 2 and 100 ml of dimethoxyethane were added to the flask. The mixture was prepared by slowly adding 7.77 g (59.2 mmol) of ethylmethylaminopropanol dropwise after stirring at -78°C. The solution was stirred at −78° C. for 30 min then warmed to room temperature and stirred overnight at room temperature. The mixture was filtered and the solvent and volatiles were evaporated under vacuum. The resulting pale yellow liquid was distilled at 165° C. and 46 mTorr. The yield was 11 g (78%).

[실시예] 박막 형성 공정[Example] Thin film formation process

합성된 전구체를 이용하여 버블(bubble) 증착 방법으로 박막 형성 공정을 수행하였다. 비스티부틸이미도-비스에틸메틸아미노메틸프로판올)몰리브데넘을 이용하여 몰리브데넘 나이트라이드 박막을 증착하기 위해 원자층 증착 장치를 사용하여 증착 공정을 실시하였다. 원자층 증착 장비((주)CN1사 12" ATOMIC PREMIUM)를 사용하여 400 내지 500℃의 분위기에서 몰리브데넘 전구체와 암모니아를 반응로로 순차적으로 주입하여 몰리브데넘 나이트라이드 박막을 증착하였다. A thin film formation process was performed by a bubble deposition method using the synthesized precursor. A deposition process was performed using an atomic layer deposition apparatus to deposit a molybdenum nitride thin film using bis-butylimido-bisethylmethylaminomethylpropanol)molybdenum. Molybdenum nitride thin film was deposited by sequentially injecting a molybdenum precursor and ammonia into a reactor in an atmosphere of 400 to 500 ° C. using an atomic layer deposition equipment (12" ATOMIC PREMIUM manufactured by CN1 Co., Ltd.).

이때, 상기 온도의 따른 증착 되는 박막의 전기적 특성 확인하기 위하여 400 내지 500℃반응 온도 구간에서 공정 온도를 Spilt하여 공정 온도의 따른 몰리브네넘 나이트라이드 박막의 면저항값을 측정하였다. At this time, in order to confirm the electrical characteristics of the deposited thin film according to the temperature, the process temperature was spilt in the reaction temperature range of 400 to 500 ° C., and the sheet resistance value of the molybdenum nitride thin film according to the process temperature was measured.

반응 온도 구간(℃)Reaction temperature range (℃) 면저항 [Ω/□]Sheet resistance [Ω/□] 400400 67006700 450450 28002800 500500 521521

공정에서 안정적인 몰리브데넘 나이트라이드용 전구체를 공급하기 위하여 전구체 보관 용기(Canister)를 130℃이상 가열하고, 운반 가스로 아르곤(Ar)가스를 사용하여 전구체를 반응로로 공급하였으며, 암모니아를 반응물로 사용하여 몰리브데넘 나이트라이드를 증착하였다.In order to supply a stable precursor for molybdenum nitride in the process, the precursor storage container (Canister) was heated to 130 ° C or higher, the precursor was supplied to the reaction furnace using argon (Ar) gas as a carrier gas, and ammonia was used as a reactant. Molybdenum nitride was deposited using

그 외, 원자층 증착 조건은 하기 표 2에 나타내 바와 같으며, 이러한 과정을 1 사이클로 하여 몰리브데넘 나이트라이드 증착 공정 싸이클을 반복하여 박막을 증착하였다.In addition, the atomic layer deposition conditions are as shown in Table 2 below, and the molybdenum nitride deposition process cycle was repeated using this process as one cycle to deposit a thin film.

반응 챔버 내부를 퍼지하기 위한 아르곤 가스의 유량Flow rate of argon gas to purge the inside of the reaction chamber 1000 sccm1000 sccm 전구체 공급 시간Precursor supply time 6s6s 전구체 퍼지 시간Precursor purge time 30s30s 암모니아 공급시간ammonia supply time 3s3s 암모니아 퍼지 시간ammonia purge time 15s15s

증착 실험을 통하여 본 발명에 따른 전구체를 이용하여 ALD 법으로 몰리브데넘 나이트라이드 박막의 증착이 가능한 것을 확인하였으며, 제조된 박막의 품질도 양호한 것을 확인하였다. 따라서 본 발명의 몰리브데넘 함유 전구체를 이용하면 고품질의 몰리브데넘 함유 박막을 효과적으로 형성할 수 있을 것으로 파악되었다.Through deposition experiments, it was confirmed that a molybdenum nitride thin film could be deposited by the ALD method using the precursor according to the present invention, and it was confirmed that the quality of the manufactured thin film was also good. Therefore, it was found that using the molybdenum-containing precursor of the present invention can effectively form a high-quality molybdenum-containing thin film.

본 발명은 상술한 바와 같이 바람직한 실시형태를 들어 설명하였으나, 상기 실시형태들에 한정되지 아니하며 본 발명의 정신을 벗어나지 않는 범위 내에서 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 다양한 변형과 변경이 가능하다. 그러한 변형예 및 변경예는 본 발명과 첨부된 특허청구범위의 범위 내에 속하는 것으로 보아야 한다.Although the present invention has been described with preferred embodiments as described above, it is not limited to the above embodiments, and various modifications can be made by those skilled in the art within the scope of not departing from the spirit of the present invention. and change is possible. Such modifications and variations are intended to fall within the scope of this invention and the appended claims.

Claims (11)

하기 화학식 1 또는 화학식 2로 표시되는 몰리브데넘 함유 화합물을 포함하는 것을 특징으로 하는 몰리브데넘 함유 전구체.

[화학식 1]
Figure pat00006

[화학식 2]
Figure pat00007


상기 화학식 1 및 화학식 2에서 R1은 각각 독립적으로 C1-C6의 직쇄 또는 분기상 알킬기이며, R2는 수소원자, 메틸기, 에틸기, n-프로필 또는 i-프로필기이며, 또한, 화학식 2에서 X는 할로겐 원자이다.
A molybdenum-containing precursor comprising a molybdenum-containing compound represented by Formula 1 or Formula 2 below.

[Formula 1]
Figure pat00006

[Formula 2]
Figure pat00007


In Formula 1 and Formula 2, R 1 is each independently a C 1 -C 6 straight-chain or branched alkyl group, R 2 is a hydrogen atom, methyl group, ethyl group, n-propyl or i-propyl group, and also Formula 2 where X is a halogen atom.
청구항 1에 있어서,
상기 화학식 1로 표시되는 몰리브데넘 함유 화합물은 Mo(NtBu)2(EMAMP)2, Mo(NtBu)2(EMAMP)(DMAMP) 또는 Mo(NtBu)2(EMA)2이며,
상기 화학식 2로 표시되는 몰리브데넘 함유 화합물은 Mo(NtBu)2(DMAMP)Cl 또는 Mo(NtBu)2(EMAMP)Cl인 것을 특징으로 하는 몰리브데넘 함유 전구체.
The method of claim 1,
The molybdenum-containing compound represented by Formula 1 is Mo(NtBu) 2 (EMAMP) 2 , Mo(NtBu) 2 (EMAMP)(DMAMP) or Mo(NtBu) 2 (EMA) 2 ,
The molybdenum-containing compound represented by Formula 2 is Mo (NtBu) 2 (DMAMP) Cl or Mo (NtBu) 2 (EMAMP) Cl.
청구항 1에 있어서,
상기 몰리브데넘 함유 전구체는 상기 몰리브데넘 함유 화합물을 용해시킬 수 있는 용매를 포함하는 것을 특징으로 하는 몰리브데넘 함유 전구체.
The method of claim 1,
The molybdenum-containing precursor comprises a solvent capable of dissolving the molybdenum-containing compound.
청구항 3에 있어서,
상기 용매는 펜탄(n-Pentane), 헥산(n-Hexane), 헵탄(n-Heptane), 옥탄(n-Octane)을 포함하는 탄소수 5 내지 8의 포화탄화수소, 다이메톡시에탄(DME), 다이에틸에테르(Diethylether), 테트로하이드로퓨란(THF), 에테르(ether), 디알콕시알칸(dialkoxyalkane), 피리딘(pyridine), 아세토니트릴(acetonitrile), 1차, 2차 또는 3차 아민 중 어느 하나의 화합물인 것을 특징으로 하는 몰리브데넘 함유 전구체.
The method of claim 3,
The solvent is a saturated hydrocarbon having 5 to 8 carbon atoms including pentane (n-Pentane), hexane (n-Hexane), heptane (n-Heptane), and octane (n-Octane), dimethoxyethane (DME), Diethylether, tetrahydrofuran (THF), ether, dialkoxyalkane, pyridine, acetonitrile, primary, secondary or tertiary amine A molybdenum-containing precursor, characterized in that it is a compound.
청구항 3에 있어서,
상기 몰리브데넘 함유 전구체에서 상기 용매의 함량은 1 내지 99 중량%인 것을 특징으로 하는 몰리브데넘 함유 전구체.
The method of claim 3,
Molybdenum-containing precursor, characterized in that the content of the solvent in the molybdenum-containing precursor is 1 to 99% by weight.
청구항 1 또는 청구항 3에 따른 몰리브데넘 함유 전구체를 기판 상에 증착하는 단계를 포함하는 것을 특징으로 하는 몰리브데넘 함유 박막 형성 방법.
A method of forming a molybdenum-containing thin film comprising depositing the molybdenum-containing precursor according to claim 1 or 3 on a substrate.
청구항 6에 있어서,
상기 몰리브데넘 함유 박막은 몰리브데넘, 몰리브데넘 산화물, 몰리브데넘 질화물, 몰리브데넘 산질화물 또는 몰리브데넘 황화물로 이루어진 박막인 것을 특징으로 하는 몰리브데넘 함유 박막 형성 방법.
The method of claim 6,
The method of forming a molybdenum-containing thin film, characterized in that the molybdenum-containing thin film is a thin film made of molybdenum, molybdenum oxide, molybdenum nitride, molybdenum oxynitride or molybdenum sulfide.
청구항 6에 있어서,
상기 몰리브데넘 함유 박막은 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 형성되는 것을 특징으로 하는 몰리브데넘 함유 박막 형성 방법.
The method of claim 6,
The method of forming a molybdenum-containing thin film, characterized in that the molybdenum-containing thin film is formed by atomic layer deposition (ALD) or chemical vapor deposition (CVD).
청구항 6에 있어서,
상기 증착하는 단계는,
챔버 내에 기판을 위치하는 단계;
상기 몰리브데넘 함유 박막 형성용 전구체를 상기 챔버 내에 공급하여 상기 기판에 접촉시키는 단계;
반응성 가스를 포함하는 기상 반응물을 공급하여 상기 기판에 접촉시키는 단계;
를 포함하는 것을 특징으로 하는 몰리브데넘 함유 박막 형성 방법.
The method of claim 6,
In the deposition step,
positioning a substrate within the chamber;
supplying the precursor for forming the molybdenum-containing thin film into the chamber and bringing it into contact with the substrate;
supplying a gaseous reactant containing a reactive gas to contact the substrate;
Method for forming a molybdenum-containing thin film comprising a.
청구항 6에 있어서,
상기 증착하는 단계는,
챔버 내에 기판을 위치하는 단계;
상기 몰리브데넘 함유 박막 형성용 전구체를 상기 챔버 내에 공급하여 상기 기판에 접촉시키는 단계;
반응성 가스를 공급하여 상기 기판에 접촉시키는 단계;
를 포함하는 것을 특징으로 하는 몰리브데넘 함유 박막 형성 방법.
The method of claim 6,
In the deposition step,
positioning a substrate within the chamber;
supplying the precursor for forming the molybdenum-containing thin film into the chamber and bringing it into contact with the substrate;
supplying a reactive gas to contact the substrate;
Method for forming a molybdenum-containing thin film comprising a.
청구항 6의 몰리브데넘 함유 박막 형성 방법에 의해 제조된 몰리브데넘 함유 박막을 포함하는 것을 특징으로 하는 소자.An element comprising a molybdenum-containing thin film manufactured by the molybdenum-containing thin film forming method of claim 6.
KR1020210191944A 2021-12-30 2021-12-30 Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same KR20230102083A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210191944A KR20230102083A (en) 2021-12-30 2021-12-30 Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210191944A KR20230102083A (en) 2021-12-30 2021-12-30 Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same

Publications (1)

Publication Number Publication Date
KR20230102083A true KR20230102083A (en) 2023-07-07

Family

ID=87154321

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210191944A KR20230102083A (en) 2021-12-30 2021-12-30 Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same

Country Status (1)

Country Link
KR (1) KR20230102083A (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101485521B1 (en) 2013-04-25 2015-01-28 한국화학연구원 Molybdenum precursors with aminothiolate, preparation method thereof and process for the formation of thin films using the same
KR101485522B1 (en) 2013-04-25 2015-01-28 한국화학연구원 Molybdenum precursors with aminothiolate, preparation method thereof and process for the formation of thin films using the same
KR20190024823A (en) 2017-08-30 2019-03-08 에이에스엠 아이피 홀딩 비.브이. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
KR20190024841A (en) 2017-08-30 2019-03-08 에이에스엠 아이피 홀딩 비.브이. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20200131628A1 (en) 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101485521B1 (en) 2013-04-25 2015-01-28 한국화학연구원 Molybdenum precursors with aminothiolate, preparation method thereof and process for the formation of thin films using the same
KR101485522B1 (en) 2013-04-25 2015-01-28 한국화학연구원 Molybdenum precursors with aminothiolate, preparation method thereof and process for the formation of thin films using the same
KR20190024823A (en) 2017-08-30 2019-03-08 에이에스엠 아이피 홀딩 비.브이. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
KR20190024841A (en) 2017-08-30 2019-03-08 에이에스엠 아이피 홀딩 비.브이. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20200131628A1 (en) 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate

Similar Documents

Publication Publication Date Title
JP6437962B2 (en) Method for depositing nitride film of group 13 metal or metalloid
EP2644741B1 (en) Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
JP6600074B2 (en) Compositions and methods for depositing silicon nitride films
US8853075B2 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
KR101659725B1 (en) Volatile dihydropyrazinyl and dihydropyrazine metal complexes
KR101502251B1 (en) Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
EP3277696B1 (en) Method for the deposition of boron containing films
KR102219147B1 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US9828402B2 (en) Film-forming composition and method for fabricating film by using the same
KR20150139628A (en) Methods of preparing thin films by atomic layer deposition using hafnium and zirconium-based precursors
KR20210041843A (en) Novel precursor for metal containing thin film, deposition method of film and semiconductor device of the same
TWI756959B (en) Film or coating by an ald process on a substrate
WO2018088078A1 (en) Compound, thin-film-forming raw material, thin-film-forming raw material for atomic-layer deposition method, and process for producing thin film
KR20230102083A (en) Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same
KR20230102100A (en) Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same
KR20210041830A (en) Precursor for group 5 metal containing thin film, deposition method of film and semiconductor device of the same
KR102622013B1 (en) Precursor for film deposition, deposition method of film and semiconductor device of the same
TW202330561A (en) Tin-containing precursors for deposition of tin-containing thin films and their corresponding deposition processes
KR20220158601A (en) Metal precursor compound for forming semiconductor film and metal-containing film prepared by using the same
KR20210041809A (en) Precursor for silicon containing thin film, deposition method of film and semiconductor device of the same
KR20240038627A (en) Precursor comprising for lanthanide containg thin film, deposition method of film and semiconductor device of the same
TW202311273A (en) Silicon precursors
KR20230127501A (en) Asymmetric structured precursor for magnesium containing thin film, deposition method of film and semiconductor device of the same
KR20190114874A (en) Precursor for silicon containing thin film, deposition method of film and semiconductor device of the same
KR20160062675A (en) Nickel Bis beta-ketoiminate precusor and the method for nickel containing film deposition