KR20230101649A - Substrate processing apparatus with exhausting unit and substrate processing method with same - Google Patents

Substrate processing apparatus with exhausting unit and substrate processing method with same Download PDF

Info

Publication number
KR20230101649A
KR20230101649A KR1020220050865A KR20220050865A KR20230101649A KR 20230101649 A KR20230101649 A KR 20230101649A KR 1020220050865 A KR1020220050865 A KR 1020220050865A KR 20220050865 A KR20220050865 A KR 20220050865A KR 20230101649 A KR20230101649 A KR 20230101649A
Authority
KR
South Korea
Prior art keywords
processing space
sub
vacuum pump
valve
pressure
Prior art date
Application number
KR1020220050865A
Other languages
Korean (ko)
Inventor
김동훈
박완재
이성길
엄영제
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Publication of KR20230101649A publication Critical patent/KR20230101649A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 장기 고압 공정에 수반되는 진공 펌프의 RPM 회복 시간을 단축할 수 있는 배기 유닛 및 이를 포함하는 기판 처리 장치와 이를 이용한 기판 처리 방법에 관한 것으로서, 장기 고압 공정에 의하여 진공 펌프의 RPM이 일정값 이하로 강하되거나 잔여 공정 시간이 일정 시간 이하로 남은 처리 챔버에 대하여 서브 진공 펌프에 의한 배기 공정을 통한 진공 펌프의 RPM 회복 공정을 수행함으로써 장기 고압 공정 이후에도 후속 공정을 즉시 수행할 수 있는 기술을 개시한다.The present invention relates to an exhaust unit capable of reducing the RPM recovery time of a vacuum pump accompanying a long-term high-pressure process, a substrate processing apparatus including the same, and a substrate processing method using the same, wherein the RPM of the vacuum pump is constant by the long-term high-pressure process A technology that can immediately perform subsequent processes even after a long-term high-pressure process by performing a vacuum pump RPM recovery process through an exhaust process by a sub-vacuum pump for a process chamber that has dropped below a value or whose remaining process time is less than a certain time. Initiate.

Description

배기 유닛을 포함하는 기판 처리 장치 및 이를 이용한 기판 처리 방법{SUBSTRATE PROCESSING APPARATUS WITH EXHAUSTING UNIT AND SUBSTRATE PROCESSING METHOD WITH SAME}Substrate processing apparatus including an exhaust unit and substrate processing method using the same

본 발명은 배기 유닛을 포함하는 기판 처리 장치 및 이를 이용한 기판 처리 방법에 관한 것이다.The present invention relates to a substrate processing apparatus including an exhaust unit and a substrate processing method using the same.

일반적으로, 반도체 장치를 제조하는 공정은 반도체 웨이퍼(이하, 기판이라 함) 상에 막을 형성하기 위한 증착 공정과, 막을 평탄화하기 위한 화학/기계적 연마 공정과, 막 상에 포토레지스트 패턴을 형성하기 위한 포토리소그래피 공정과, 포토레지스트 패턴을 이용하여 막을 전기적인 특성을 갖는 패턴으로 형성하기 위한 식각 공정과, 기판의 소정 영역에 특정 이온을 주입하기 위한 이온 주입 공정과, 기판 상의 불순물을 제거하기 위한 세정 공정과, 막 또는 패턴이 형성된 기판의 포면을 검사하기 위한 검사 공정 등을 포함한다.In general, processes for manufacturing a semiconductor device include a deposition process for forming a film on a semiconductor wafer (hereinafter referred to as a substrate), a chemical/mechanical polishing process for planarizing the film, and a photoresist pattern for forming a photoresist pattern on the film. A photolithography process, an etching process for forming a film into a pattern having electrical characteristics using a photoresist pattern, an ion implantation process for implanting specific ions into a predetermined region of the substrate, and a cleaning process for removing impurities on the substrate process, and an inspection process for inspecting the surface of the substrate on which the film or pattern is formed.

일반적으로, 챔버의 처리 공간은 일정한 공정 분위기를 유지해야 한다. 이로 인해 공정 분위기는 기설정된 압력이 유지되도록 배기 유닛에 의하여 배기된다. 배기 유닛은 공정 분위기를 일정 압력으로 유지시킬 뿐만 아니라, 기판 처리 시 발생된 공정 부산물 등을 배기한다.In general, the processing space of the chamber must maintain a constant process atmosphere. Due to this, the process atmosphere is exhausted by the exhaust unit so that the preset pressure is maintained. The exhaust unit not only maintains a process atmosphere at a constant pressure, but also exhausts process by-products generated during substrate processing.

특허문헌 1은 제1 APC 밸브, 터보 분자 펌프(TMP, Turbo Molecular Pump), 제1 차단 밸브, 드라이 펌프, 제2 차단 밸브 및 제2 APC 밸브를 구비하고, 공정 분위기를 저압 제어하는 경우와 고압 제어하는 경우에 대하여 서로 다른 밸브 및 서로 다른 APC 제어기를 교차 적용함으로써 서로 다른 배기 라인을 통해 공정 분위기를 배기시키는 구성을 제안하고 있다.Patent Document 1 includes a first APC valve, a turbo molecular pump (TMP), a first shutoff valve, a dry pump, a second shutoff valve, and a second APC valve, and controls the process atmosphere at low pressure and high pressure. In the case of control, a configuration in which process atmospheres are exhausted through different exhaust lines is proposed by applying different valves and different APC controllers alternately.

특허문헌 1에 제시된 구성에 의하면, 장기 고압 공정이 수행되는 경우 터보 분자 펌프(TMP, Turbo Molecular Pump)와 드라이 펌프 사이에 제공된 제1 차단 밸브가 폐쇄된 상태가 장기간 유지되고 이에 따라 터보 분자 펌프를 통한 배기가 수행될 수 없으므로 터보 분자 펌프(TMP, Turbo Molecular Pump)가 무부하 상태로 전환되며 터보 펌프의 RPM이 강하된다. 터보 펌프는 고압 공정뿐만 아니라 저압 공정 및 배기 공정에도 사용되므로, 터보 펌프의 RPM이 강하되면 터보 펌프의 RPM이 회복될 때까지 저압 공정 및 배기 공정을 진행할 수 없는 문제가 발생한다. 특히, 고압 공정 유지 시간이 길수록 터보 펌프의 강하된 RPM을 회복하는데 많은 시간이 소요될 수 있다.According to the configuration presented in Patent Document 1, when a long-term high-pressure process is performed, the closed state of the first shut-off valve provided between the turbo molecular pump (TMP) and the dry pump is maintained for a long period of time, and thus the turbo molecular pump is operated. Since exhausting through the exhaust cannot be performed, the turbo molecular pump (TMP) switches to a no-load state and the RPM of the turbo pump drops. Since the turbo pump is used not only for the high-pressure process but also for the low-pressure process and the exhaust process, when the RPM of the turbo pump drops, the low-pressure process and the exhaust process cannot be performed until the RPM of the turbo pump is restored. In particular, as the high-pressure process holding time is long, it may take a long time to recover the dropped RPM of the turbo pump.

대한민국 공개특허공보 제10-2021-0020808호(2021.02.24.)Republic of Korea Patent Publication No. 10-2021-0020808 (2021.02.24.)

본 발명은 상술한 문제를 해결하기 위한 것으로, 터보 분자 펌프의 RPM 회복 시간을 단축시킬 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하고자 한다.SUMMARY OF THE INVENTION The present invention is intended to solve the above problems, and provides a substrate processing apparatus and substrate processing method capable of reducing the RPM recovery time of a turbo molecular pump.

본 발명의 목적은 전술한 바에 제한되지 않으며, 언급되지 않은 본 발명의 다른 목적 및 장점들은 하기의 설명에 의해서 이해될 수 있다.The object of the present invention is not limited to the above, and other objects and advantages of the present invention not mentioned can be understood by the following description.

본 발명의 일 실시예에 의하면, 일단이 처리 공간과 연결되는 제1 배기 라인 및 제2 배기 라인; 상기 제1 배기 라인 상에 제공되고 상기 처리 공간 내부의 압력을 조절하기 위한 제1 압력 제어 밸브; 상기 제1 압력 제어 밸브의 하부에 제공되고 상기 처리 공간을 배기하는 제1 진공 펌프; 상기 제2 배기 라인 상에 제공되고 상기 처리 공간 내부의 압력을 조절하기 위한 제2 압력 제어 밸브; 상기 제1 배기 라인 및 상기 제2 배기 라인의 타단에 연결되고 상기 처리 공간을 배기하는 제2 진공 펌프; 및 상기 제1 진공 펌프와 선택적으로 연결됨으로써 상기 처리 공간을 배기하는 서브 진공 펌프를 포함하는 배기 유닛이 제공될 수 있다.According to one embodiment of the present invention, a first exhaust line and a second exhaust line, one end of which is connected to the processing space; a first pressure control valve provided on the first exhaust line and regulating a pressure inside the processing space; a first vacuum pump provided below the first pressure control valve and evacuating the processing space; a second pressure control valve provided on the second exhaust line and regulating a pressure inside the processing space; a second vacuum pump connected to the other ends of the first exhaust line and the second exhaust line and exhausting the processing space; and a sub vacuum pump selectively connected to the first vacuum pump to exhaust the processing space.

일 실시예에서, 상기 서브 진공 펌프는 서브 라인을 통해 상기 제1 배기 라인과 연결되고, 상기 서브 라인 상에는 서브 밸브가 제공될 수 있다.In one embodiment, the sub vacuum pump may be connected to the first exhaust line through a sub line, and a sub valve may be provided on the sub line.

일 실시예에서, 상기 서브 진공 펌프는 상기 서브 밸브의 개폐에 대응하여 상기 처리 공간을 선택적으로 배기할 수 있다.In one embodiment, the sub vacuum pump may selectively exhaust the processing space in response to opening and closing of the sub valve.

일 실시예에서, 상기 제1 배기 라인은 상기 제1 진공 펌프와 상기 제2 진공 펌프 사이에 제공되는 제1 밸브를 포함하고, 상기 제2 배기 라인은 상기 제2 압력 제어 밸브와 상기 제2 진공 펌프 사이에 제공되는 제2 밸브를 포함할 수 있다.In one embodiment, the first exhaust line includes a first valve provided between the first vacuum pump and the second vacuum pump, and the second exhaust line includes the second pressure control valve and the second vacuum pump. A second valve provided between the pumps may be included.

일 실시예에서, 상기 처리 공간을 저압으로 제어하는 저압 공정을 수행하는 경우, 상기 제1 압력 제어 밸브 및 제1 밸브를 개방하고 상기 제2 압력 제어 밸브 및 제2 밸브를 폐쇄하여 상기 처리 공간 내 압력을 상기 제1 압력 제어 밸브에 의하여 제어하고, 상기 제1 배기 라인을 통해 상기 처리 공간을 배기하고, 상기 처리 공간을 고압으로 제어하는 고압 공정을 수행하는 경우, 상기 제1 압력 제어 밸브 및 제1 밸브를 폐쇄하고 상기 제2 압력 제어 밸브 및 제2 밸브를 개방하여 상기 처리 공간 내 압력을 상기 제2 압력 제어 밸브에 의하여 제어하고, 상기 제2 배기 라인을 통해 상기 처리 공간을 배기할 수 있다.In one embodiment, when a low-pressure process of controlling the processing space to a low pressure is performed, the first pressure control valve and the first valve are opened and the second pressure control valve and the second valve are closed to enter the processing space. When performing a high-pressure process of controlling a pressure by the first pressure control valve, exhausting the processing space through the first exhaust line, and controlling the processing space to a high pressure, the first pressure control valve and the first pressure control valve Close valve 1 and open the second pressure control valve and the second valve to control the pressure in the processing space by the second pressure control valve, and exhaust the processing space through the second exhaust line. .

일 실시예에서, 상기 고압 공정을 수행하는 때, 잔여 공정 시간이 기 설정된 한계값에 도달하거나 상기 제1 진공 펌프의 RPM이 기 설정된 한계값까지 강하되는 경우, 상기 서브 밸브를 개방하여 상기 서브 라인을 통해 상기 처리 공간을 배기할 수 있다.In one embodiment, when performing the high-pressure process, when the remaining process time reaches a preset limit value or the RPM of the first vacuum pump drops to a preset limit value, the sub valve is opened to open the sub line Through this, the processing space may be exhausted.

본 발명의 일 실시예에 의하면, 내부에 처리 공간을 가지며 상기 처리 공간 내에서 기판을 처리하는 하나 이상의 공정 유닛; 및 상기 공정 유닛과 일대일 연결되는 하나 이상의 배기 유닛을 포함하고, 상기 배기 유닛은, 일단이 처리 공간과 연결되는 제1 배기 라인 및 제2 배기 라인; 상기 제1 배기 라인 상에 제공되고 상기 처리 공간 내부의 진공 상태를 조절하기 위한 제1 압력 제어 밸브; 상기 제2 배기 라인 상에 제공되고 상기 처리 공간 내부의 진공 상태를 조절하기 위한 제2 압력 제어 밸브; 상기 제1 압력 제어 밸브의 하부에 제공되고 상기 처리 공간의 진공 상태를 유지하기 위해 상기 처리 공간을 배기하는 제1 진공 펌프; 및 상기 제1 배기 라인 및 상기 제2 배기 라인의 타단에 연결되고 상기 처리 공간을 배기하는 제2 진공 펌프를 포함하며, 일단이 상기 제1 배기 라인에 연결되는 하나 이상의 서브 라인 및 상기 서브 라인 타단에 연결되는 서브 진공 펌프를 더 포함하는 기판 처리 장치가 제공될 수 있다.According to one embodiment of the present invention, one or more processing units having a processing space therein and processing a substrate in the processing space; and one or more exhaust units connected to the process unit one-to-one, wherein the exhaust unit includes: a first exhaust line and a second exhaust line, one end of which is connected to the processing space; a first pressure control valve provided on the first exhaust line and regulating a vacuum state inside the processing space; a second pressure control valve provided on the second exhaust line and regulating a vacuum state inside the processing space; a first vacuum pump provided below the first pressure control valve and evacuating the processing space to maintain a vacuum state in the processing space; and a second vacuum pump connected to the other ends of the first exhaust line and the second exhaust line and evacuating the processing space, wherein at least one sub-line having one end connected to the first exhaust line and the other end of the sub-line A substrate processing apparatus further including a sub vacuum pump connected to may be provided.

일 실시예에서, 각각의 상기 서브 라인 상에는 서브 밸브가 제공되고, 상기 서브 진공 펌프는 상기 서브 밸브의 개폐에 따라 상기 처리 공간을 선택적으로 배기할 수 있다.In one embodiment, a sub-valve is provided on each of the sub-lines, and the sub-vacuum pump can selectively exhaust the processing space according to opening/closing of the sub-valve.

일 실시예에서, 상기 기판 처리 장치는 각각의 상기 서브 밸브의 개폐를 제어하는 밸브 컨트롤러를 더 포함할 수 있다.In one embodiment, the substrate processing apparatus may further include a valve controller controlling opening and closing of each of the sub-valves.

일 실시예에서, 상기 밸브 컨트롤러는, 상기 공정 유닛 중 고압 공정이 수행되면서, 잔여 공정 시간이 기 설정된 한계값에 도달하거나 제1 진공 펌프의 RPM이 기 설정된 한계값 이하로 강하된 공정 유닛에 연결된 서브 밸브를 개방할 수 있다.In one embodiment, the valve controller is connected to a process unit in which the remaining process time reaches a predetermined limit value or the RPM of the first vacuum pump drops below a predetermined limit value while a high pressure process is performed among the process units. The sub-valve can be opened.

본 발명의 일 실시예에 의하면, 처리 공간으로 처리 가스를 공급하는 가스 공급 단계; 제1 진공 펌프와 제2 진공 펌프를 통해 상기 처리 공간을 배기함과 동시에 상기 처리 공간을 저압으로 제어하는 저압 공정; 및 상기 제2 진공 펌프를 통해 상기 처리 공간을 배기함과 동시에 상기 처리 공간을 고압으로 제어하는 고압 공정을 포함하는 기판 처리 장치가 제공될 수 있다. 상기 고압 공정은 상기 제1 진공 펌프의 RPM을 회복하는 회복 단계를 선택적으로 포함할 수 있다.According to one embodiment of the present invention, a gas supply step of supplying a processing gas to the processing space; a low-pressure process of controlling the processing space to a low pressure while exhausting the processing space through a first vacuum pump and a second vacuum pump; and a high-pressure process of controlling the processing space to a high pressure while exhausting the processing space through the second vacuum pump. The high-pressure process may optionally include a recovery step of restoring the RPM of the first vacuum pump.

일 실시예에서, 상기 고압 공정은, 상기 제1 진공 펌프의 RPM을 측정하는 단계와 잔여 공정 시간을 확인하는 단계를 더 포함할 수 있다.In one embodiment, the high-pressure process may further include measuring an RPM of the first vacuum pump and checking a remaining process time.

일 실시예에서, 상기 고압 공정은, 측정된 상기 제1 진공 펌프의 RPM이 기 설정된 한계값 이하로 강하되는 경우 또는 확인된 상기 잔여 공정 시간이 기 설정된 한계값에 도달하는 경우, 상기 회복 단계를 수행할 수 있다.In one embodiment, in the high-pressure process, when the measured RPM of the first vacuum pump drops below a preset limit value or when the confirmed remaining process time reaches a preset limit value, the recovery step can be done

일 실시예에서, 상기 회복 단계는, 상기 제1 진공 펌프의 RPM이 설정값으로 회복될 때까지 상기 처리 공간을 상기 제1 진공 펌프에 연결된 서브 진공 펌프를 통해 배기할 수 있다.In one embodiment, in the recovery step, the processing space may be exhausted through a sub vacuum pump connected to the first vacuum pump until RPM of the first vacuum pump is restored to a set value.

이와 같은 본 발명에 의하면, 고압 공정 중 처리 공간과 연결된 터보 분자 펌프를 선택적으로 서브 드라이 펌프에 연결하여 배기 공정을 수행함으로써 장기 고압 공정에 수반되는 터보 분자 펌프의 RPM 회복 공정을 고압 공정 도중에 수행할 수 있다. 이에 따라 장기 고압 공정 이후에도 회복 시간 없이 후속 공정을 수행할 수 있게 함으로써 설비의 시간당 생산량(UPEH, Unit per Equipment Hour)를 향상시킬 수 있다.According to the present invention, the RPM recovery process of the turbo molecular pump accompanying the long-term high-pressure process can be performed during the high-pressure process by performing the exhaust process by selectively connecting the turbo molecular pump connected to the processing space to the sub-dry pump during the high-pressure process. can Accordingly, it is possible to improve the unit per equipment hour (UPEH) of the facility by enabling subsequent processes to be performed without recovery time even after a long-term high-pressure process.

본 발명의 효과는 위에서 언급한 것들로 제한되지 않으며, 언급하지 않은 또 다른 효과들은 아래의 기재로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The effects of the present invention are not limited to those mentioned above, and other effects not mentioned will be clearly understood by those skilled in the art from the following description.

도 1은 본 발명이 적용되는 공정 유닛의 일 실시예를 도시한 단면도이다.
도 2는 본 발명이 적용되는 공정 유닛의 다른 실시예를 도시한 단면도이다.
도 3은 본 발명의 일 실시예에 따른 배기 유닛을 설명하기 위한 도면이다.
도 4 및 도 5는 도 3의 배기 유닛의 동작예를 도시한 도면이다.
도 6은 본 발명에 따른 배기 유닛이 복수의 공정 유닛에 적용되는 예를 도시한 도면이다.
도 7은 본 발명의 일 실시예에 따른 기판 처리 방법을 도시한 흐름도이다.
1 is a cross-sectional view showing an embodiment of a process unit to which the present invention is applied.
2 is a cross-sectional view showing another embodiment of a process unit to which the present invention is applied.
3 is a view for explaining an exhaust unit according to an embodiment of the present invention.
4 and 5 are diagrams illustrating an operation example of the exhaust unit of FIG. 3 .
6 is a diagram illustrating an example in which an exhaust unit according to the present invention is applied to a plurality of process units.
7 is a flowchart illustrating a substrate processing method according to an embodiment of the present invention.

이하, 첨부된 도면을 참조하여 본 발명의 실시예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 사람이 쉽게 실시할 수 있도록 상세히 설명한다. 그러나, 본 발명은 여러 가지 다른 형태로 구현될 수 있고 여기에서 설명하는 실시예에 한정되지 않는다.Hereinafter, with reference to the accompanying drawings, embodiments of the present invention will be described in detail so that those skilled in the art can easily carry out the present invention. However, the present invention may be embodied in many different forms and is not limited to the embodiments described herein.

본 발명의 실시예를 설명하는 데 있어서, 관련된 공지 기능이나 구성에 대한 구체적 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 구체적 설명을 생략하고, 유사 기능 및 작용을 하는 부분은 도면 전체에 걸쳐 동일한 부호를 사용하기로 한다.In describing the embodiments of the present invention, if it is determined that a detailed description of a related known function or configuration may unnecessarily obscure the gist of the present invention, the detailed description will be omitted, and parts with similar functions and actions will be omitted. The same reference numerals will be used throughout the drawings.

명세서에서 사용되는 용어들 중 적어도 일부는 본 발명에서의 기능을 고려하여 정의한 것이기에 사용자, 운용자 의도, 관례 등에 따라 달라질 수 있다. 그러므로, 그 용어에 대해서는 명세서 전반에 걸친 내용을 토대로 하여 해석되어야 한다.Since at least some of the terms used in the specification are defined in consideration of functions in the present invention, they may vary according to user, operator intention, custom, and the like. Therefore, the term should be interpreted based on the contents throughout the specification.

또한, 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서, 어떤 구성 요소를 포함한다고 하는 때, 이것은 특별히 반대되는 기재가 없는 한 다른 구성 요소를 제외하는 것이 아니라 다른 구성 요소를 더 포함할 수 있음을 의미한다. 그리고, 어떤 부분이 다른 부분과 연결(또는, 결합)된다고 하는 때, 이것은, 직접적으로 연결(또는, 결합)되는 경우뿐만 아니라, 다른 부분을 사이에 두고 간접적으로 연결(또는, 결합)되는 경우도 포함한다.Also, in this specification, the singular form also includes the plural form unless otherwise specified in the phrase. In the specification, when it is said to include a certain component, this means that it may further include other components without excluding other components unless otherwise stated. And, when a part is said to be connected (or combined) with another part, this is not only directly connected (or combined), but also indirectly connected (or combined) through another part. include

한편, 도면에서 구성 요소의 크기나 형상, 선의 두께 등은 이해의 편의상 다소 과장되게 표현되어 있을 수 있다.On the other hand, in the drawing, the size or shape of the component, the thickness of the line, etc. may be expressed somewhat exaggerated for convenience of understanding.

본 발명의 실시예들은 본 발명의 이상적인 실시예들의 개략적인 도해를 참조하여 설명된다. 이에 따라, 상기 도해의 형상으로부터의 변화들, 예를 들면, 제작 방법 및/또는 허용 오차의 변화는 충분히 예상될 수 있는 것들이다. 따라서, 본 발명의 실시예들은 도해로서 설명된 영역들의 특정 형상들에 한정된 바대로 설명되어지는 것이 아니라 형상에서의 편차를 포함하는 것이며, 도면에 설명된 요소들은 전적으로 개략적인 것이며 이들의 형상은 요소들의 정확한 형상을 설명하기 위한 것이 아니며 또한 본 발명의 범위를 한정하고자 하는 것도 아니다.Embodiments of the invention are described with reference to schematic illustrations of idealized embodiments of the invention. Accordingly, variations from the shape of the illustration, eg, variations in manufacturing method and/or tolerances, are fully expected. Accordingly, embodiments of the present invention are not to be described as being limited to specific shapes of regions illustrated as diagrams, but to include variations in shape, and elements described in the figures are purely schematic and their shapes are elements. It is not intended to describe the exact shape of them, nor is it intended to limit the scope of the present invention.

소자(elements) 또는 층이 다른 소자 또는 층의 "위(on)" 또는 "상(on)"으로 지칭되는 것은 다른 소자 또는 층의 바로 위뿐만 아니라 중간에 다른 층 또는 다른 소자를 개재한 경우를 모두 포함한다. 반면, 소자가 "직접 위(directly on)" 또는 "바로 위"로 지칭되는 것은 중간에 다른 소자 또는 층을 개재하지 않은 것을 나타낸다.When an element or layer is referred to as being "on" or "on" another element or layer, it is not only directly on the other element or layer, but also when another layer or other element is intervening therebetween. All inclusive. On the other hand, when an element is referred to as “directly on” or “directly on”, it indicates that another element or layer is not intervened.

공간적으로 상대적인 용어인 "아래(below)", "아래(beneath)", "하부(lower)", "위(above)", "상부(upper)" 등은 도면에 도시되어 있는 바와 같이 하나의 소자 또는 구성 요소들과 다른 소자 또는 구성 요소들과의 상관관계를 용이하게 기술하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시되어 있는 방향에 더하여 사용시 또는 동작시 소자의 서로 다른 방향을 포함하는 용어로 이해되어야 한다. 예를 들면, 도면에 도시되어 있는 소자를 뒤집을 경우, 다른 소자의 "아래(below)" 또는 "아래(beneath)"로 기술된 소자는 다른 소자의 "위(above)"에 놓여질 수 있다. 따라서, 예시적인 용어인 "아래"는 아래와 위의 방향을 모두 포함할 수 있다. 소자는 다른 방향으로도 배향될 수 있고, 이에 따라 공간적으로 상대적인 용어들은 배향에 따라 해석될 수 있다.The spatially relative terms "below", "beneath", "lower", "above", "upper", etc. It can be used to easily describe the correlation between elements or components and other elements or components. Spatially relative terms should be understood as encompassing different orientations of elements in use or operation in addition to the orientations shown in the figures. For example, when flipping elements shown in the figures, elements described as “below” or “beneath” other elements may be placed “above” the other elements. Thus, the exemplary term “below” may include directions of both below and above. Elements may also be oriented in other orientations, and thus spatially relative terms may be interpreted according to orientation.

비록 제1, 제2 등이 다양한 소자, 구성요소 및/또는 섹션들을 서술하기 위해서 사용되나, 이들 소자, 구성요소 및/또는 섹션들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 소자, 구성요소 또는 섹션들을 다른 소자, 구성요소 또는 섹션들과 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 소자, 제1 구성요소 또는 제1 섹션은 본 발명의 기술적 사상 내에서 제2 소자, 제2 구성요소 또는 제2 섹션일 수도 있음은 물론이다.Although first, second, etc. are used to describe various elements, components and/or sections, it is needless to say that these elements, components and/or sections are not limited by these terms. These terms are only used to distinguish one element, component or section from another element, component or section. Accordingly, it goes without saying that the first element, first element, or first section referred to below may also be a second element, second element, or second section within the spirit of the present invention.

이하, 첨부한 도면들을 참조하여 본 발명의 실시예들을 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어 도면 부호에 상관없이 동일하거나 대응하는 구성 요소는 동일한 참조번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the description with reference to the accompanying drawings, the same or corresponding components regardless of reference numerals are given the same reference numerals, Description will be omitted.

본 발명이 적용되는 기판 처리 장치는 하나 이상의 공정 유닛을 포함할 수 있다. 본 명세서에서는 본 발명이 적용되는 공정 유닛을 플라즈마 처리 장치로 예를 들어 설명하지만 본 발명은 플라즈마 처리 장치뿐만 아니라 처리 공간에 대한 저압 제어 및 고압 제어를 수반하는 공정 유닛에 적용될 수 있다.A substrate processing apparatus to which the present invention is applied may include one or more process units. In this specification, a process unit to which the present invention is applied is described as an example of a plasma processing apparatus, but the present invention can be applied to a process unit involving low-pressure control and high-pressure control of a processing space as well as a plasma processing apparatus.

도 1은 본 발명의 일 실시예에 따른 공정 유닛의 구조를 개략적으로 도시한 단면도이다.1 is a cross-sectional view schematically showing the structure of a process unit according to an embodiment of the present invention.

도 1을 참조하면, 공정 유닛(100)은 챔버(110), 기판 지지 유닛(120), 플라즈마 발생 유닛(130), 샤워 헤드 유닛(shower head unit; 140), 제1 가스 공급 유닛(150), 제2 가스 공급 유닛(160), 월 라이너(wall liner unit; 170), 배플 유닛(baffle unit; 180), 상부 모듈(190) 및 배기 유닛(200)을 포함하여 구성될 수 있다.Referring to FIG. 1 , the process unit 100 includes a chamber 110, a substrate support unit 120, a plasma generating unit 130, a shower head unit 140, and a first gas supply unit 150. , a second gas supply unit 160, a wall liner unit 170, a baffle unit 180, an upper module 190, and an exhaust unit 200.

공정 유닛(100)은 진공 환경에서 식각 공정(예를 들어, 건식 식각 공정(dry etching process))을 이용하여 기판(W)(예를 들어, 웨이퍼(wafer))을 처리하는 시스템이다. 공정 유닛(100)은 예를 들어, 플라즈마 공정(plasma process)을 이용하여 기판(W)을 처리할 수 있다.The process unit 100 is a system that processes a substrate W (eg, a wafer) by using an etching process (eg, a dry etching process) in a vacuum environment. The process unit 100 may process the substrate W using, for example, a plasma process.

챔버(110)는 플라즈마 공정이 수행되는 처리 공간을 제공하는 것이다. 챔버(110)의 하부에는 배기 홀(111)이 형성될 수 있다.The chamber 110 provides a processing space in which a plasma process is performed. An exhaust hole 111 may be formed in the lower portion of the chamber 110 .

배기 홀(111)은 배기 유닛(200)과 연결될 수 있다. 이러한 배기 홀(111)은 배기 유닛(200)을 통해 플라즈마 공정 과정에서 발생된 반응 부산물과 챔버(110)의 내부에 잔여하는 가스를 챔버(110)의 외부로 배출할 수 있다. 배기 유닛(200)에 의해 챔버(110)의 내부 공간은 소정의 압력으로 감압될 수 있다.The exhaust hole 111 may be connected to the exhaust unit 200 . The exhaust hole 111 may discharge reaction by-products generated during the plasma process and gas remaining inside the chamber 110 to the outside of the chamber 110 through the exhaust unit 200 . The internal space of the chamber 110 may be reduced to a predetermined pressure by the exhaust unit 200 .

챔버(110)는 그 측벽에 개구부(114)가 형성될 수 있다. 개구부(114)는 챔버(110)의 내부로 기판(W)이 출입하는 통로로서 기능할 수 있다. 이러한 개구부(114)는 도어 어셈블리(115)에 의해 개폐되도록 구성될 수 있다.The chamber 110 may have an opening 114 formed in its sidewall. The opening 114 may function as a passage through which the substrate W enters and exits the chamber 110 . The opening 114 may be configured to be opened and closed by the door assembly 115 .

도어 어셈블리(115)는 외측 도어(115a) 및 도어 구동기(115b)를 포함하여 구성될 수 있다. 외측 도어(115a)는 챔버(110)의 외벽에 제공되는 것이다. 이러한 외측 도어(115a)는 도어 구동기(115b)를 통해 상하 방향(즉, 제 3 방향(30))으로 이동될 수 있다. 도어 구동기(115b)는 모터, 유압 실린더, 공압 실린더 등을 이용하여 작동할 수 있다.The door assembly 115 may include an outer door 115a and a door driver 115b. The outer door 115a is provided on the outer wall of the chamber 110 . The outer door 115a may be moved in a vertical direction (ie, in the third direction 30) through the door driver 115b. The door actuator 115b may operate using a motor, hydraulic cylinder, pneumatic cylinder, or the like.

기판 지지 유닛(120)은 챔버(110)의 내부 하측 영역에 설치되는 것이다. 이러한 기판 지지 유닛(120)은 정전기력을 이용하여 기판(W)을 지지할 수 있다. 그러나 본 실시예가 이에 한정되는 것은 아니다. 기판 지지 유닛(120)은 기계적 클램핑(mechanical clamping), 진공(vacuum) 등과 같은 다양한 방식으로 기판(W)을 지지하는 것도 가능하다.The substrate support unit 120 is installed in the inner lower region of the chamber 110 . The substrate support unit 120 may support the substrate W using electrostatic force. However, the present embodiment is not limited thereto. The substrate support unit 120 may support the substrate W in various ways such as mechanical clamping or vacuum.

기판 지지 유닛(120)은 정전기력을 이용하여 기판(W)을 지지하는 경우, 베이스 부재(base component; 121)와 척킹 부재(chucking component; 122)를 포함하는 정전 척(ESC; Electro-Static Chuck)으로 구현될 수 있다.The substrate support unit 120 is an electro-static chuck (ESC) including a base component 121 and a chucking component 122 when supporting the substrate W by using electrostatic force. can be implemented as

베이스 부재(121)는 척킹 부재를 지지하는 것이다. 베이스 부재(121)는 예를 들어, 알루미늄 성분을 소재로 하여 제작되어 알루미늄 베이스 플레이트(Al base plate)로 제공될 수 있다.The base member 121 supports the chucking member. The base member 121 may be made of, for example, an aluminum component and provided as an aluminum base plate.

척킹 부재(122)는 정전기력을 이용하여 그 상부에 안착되는 기판(W)을 지지하는 것이다. 이러한 척킹 부재(122)는 세라믹 성분을 소재로 하여 제작되어 세라믹 플레이트(ceramic plate) 또는 세라믹 퍽(ceramic puck)으로 제공될 수 있으며, 베이스 부재(121) 상에 고정되도록 베이스 부재(121)와 결합될 수 있다.The chucking member 122 supports the substrate W to be placed thereon using electrostatic force. The chucking member 122 may be made of a ceramic component and provided as a ceramic plate or a ceramic puck, and is coupled to the base member 121 to be fixed on the base member 121 It can be.

베이스 부재(121)와 그 위에 형성되는 척킹 부재(122) 사이에는 접합층(213, bonding layer)이 형성될 수 있다.A bonding layer 213 may be formed between the base member 121 and the chucking member 122 formed thereon.

링 어셈블리(123)는 기판 지지 유닛(120)의 가장자리 영역에 배치될 수 있다. 링 어셈블리(123)는 링 형상으로 제공되어, 기판(W)의 테두리 영역을 지지하도록 구성될 수 있다. 링 어셈블리(123)는 기판(W)의 전체 영역에서 플라즈마의 밀도가 균일하게 분포하도록 전기장을 제어할 수 있다. 이에 의해, 기판(W)의 전체 영역에 걸쳐 플라즈마가 균일하게 형성되어 기판(W)의 각 영역이 균일하게 식각될 수 있다.The ring assembly 123 may be disposed on an edge area of the substrate support unit 120 . The ring assembly 123 may be provided in a ring shape and configured to support the edge area of the substrate (W). The ring assembly 123 may control the electric field so that the plasma density is uniformly distributed over the entire area of the substrate W. As a result, plasma is uniformly formed over the entire area of the substrate (W), so that each area of the substrate (W) can be uniformly etched.

링 어셈블리(123)는 포커스 링(focusring; 123a) 및 절연 링(123b)을 포함하여 구성될 수 있다.The ring assembly 123 may include a focus ring 123a and an insulating ring 123b.

포커스 링(123a)은 절연 링(123b)의 내측에 형성되며, 척킹 부재(122)를 감싸도록 제공된다. 이러한 포커스 링(123a)은 실리콘 재질로 제공될 수 있으며, 플라즈마를 기판(W)으로 집중시킬 수 있다.The focus ring 123a is formed inside the insulating ring 123b and surrounds the chucking member 122 . The focus ring 123a may be made of silicon and may focus plasma onto the substrate W.

절연 링(123b)은 포커스 링(123a)의 외측에 형성되며, 포커스 링(123a)을 감싸도록 제공된다. 이러한 절연 링(123b)은 쿼츠(quartz) 재질로 제공될 수 있다.The insulating ring 123b is formed outside the focus ring 123a and is provided to surround the focus ring 123a. The insulating ring 123b may be made of a quartz material.

한편, 링 어셈블리(123)는 포커스 링(123a)의 테두리에 밀착 형성되는 에지 링(edge ring)(미도시)을 더 포함할 수 있다. 에지 링은 플라즈마에 의해 척킹 부재(122)의 측면이 손상되는 것을 방지하기 위해 형성될 수 있다.Meanwhile, the ring assembly 123 may further include an edge ring (not shown) formed in close contact with the edge of the focus ring 123a. The edge ring may be formed to prevent the side surface of the chucking member 122 from being damaged by plasma.

제1 가스 공급 유닛(150)은 기판(W)의 저면으로 열전달 가스를 공급할 수 있다. 열전달 가스는 기판(W)과 정전 척 간에 열 교환을 돕는 매개체 역할을 한다. 열전달 가스에 의하여 기판(W)의 전체 온도가 균일해질 수 있다. 열전달 가스는 불활성 가스를 포함한다. 일 예로, 열전달 가스는 헬륨(He) 가스를 포함할 수 있다. 이러한 제1 가스 공급 유닛(150)은 제1 가스 공급원(151) 및 제1 가스 공급 라인(152)을 포함하여 구성될 수 있다.The first gas supply unit 150 may supply heat transfer gas to the lower surface of the substrate W. The heat transfer gas serves as a medium to help heat exchange between the substrate W and the electrostatic chuck. The entire temperature of the substrate W may be made uniform by the heat transfer gas. The heat transfer gas contains an inert gas. For example, the heat transfer gas may include helium (He) gas. The first gas supply unit 150 may include a first gas supply source 151 and a first gas supply line 152 .

제1 가스 공급원(151)은 제1 가스로 헬륨 가스(He gas)를 공급할 수 있다. 제1 가스 공급원(151)으로부터의 제1 가스는 제1 가스 공급 라인(152)을 통해 기판(W) 저면으로 공급될 수 있다.The first gas supply source 151 may supply He gas as the first gas. The first gas from the first gas supply source 151 may be supplied to the lower surface of the substrate W through the first gas supply line 152 .

가열 부재(124) 및 냉각 부재(125)는 챔버(110)의 내부에서 식각 공정이 진행되고 있을 때에 기판(W)이 공정 온도를 유지할 수 있도록 제공되는 것이다. 가열 부재(124)는 이를 위해 열선으로 제공될 수 있으며, 냉각 부재(125)는 이를 위해 냉매가 흐르는 냉각 라인으로 제공될 수 있다.The heating member 124 and the cooling member 125 are provided to maintain the process temperature of the substrate W when an etching process is progressing inside the chamber 110 . The heating member 124 may be provided as a heating wire for this purpose, and the cooling member 125 may be provided as a cooling line through which a refrigerant flows.

가열 부재(124) 및 냉각 부재(125)는 기판(W)이 공정 온도를 유지할 수 있도록 하기 위해 정전 척의 내부에 설치될 수 있다. 일 예로, 가열 부재(124)는 척킹 부재(122)의 내부에 설치될 수 있으며, 냉각 부재(125)는 베이스 부재(121)의 내부에 설치될 수 있다.The heating member 124 and the cooling member 125 may be installed inside the electrostatic chuck to maintain the substrate W at a process temperature. For example, the heating member 124 may be installed inside the chucking member 122 and the cooling member 125 may be installed inside the base member 121 .

한편, 냉각 부재(125)는 냉각 장치(chiller; 126)를 이용하여 냉매를 공급받을 수 있다. 냉각 장치(126)는 챔버(110)의 외부에 설치될 수 있다.Meanwhile, the cooling member 125 may receive a refrigerant using a chiller 126 . The cooling device 126 may be installed outside the chamber 110 .

플라즈마 생성 유닛(130)은 방전 공간에 잔류하는 가스로부터 플라즈마를 발생시키는 것이다. 여기서, 방전 공간은 챔버(110)의 내부 공간 중에서 정전 척의 상부에 위치하는 공간을 의미한다.The plasma generating unit 130 generates plasma from gas remaining in the discharge space. Here, the discharge space means a space located above the electrostatic chuck among the internal spaces of the chamber 110 .

플라즈마 생성 유닛(130)은 유도 결합형 플라즈마(ICP; Inductively Coupled Plasma) 소스를 이용하여 챔버(110) 내부의 방전 공간에 플라즈마를 발생시킬 수 있다. 이 경우, 플라즈마 생성 유닛(130)은 상부 모듈(190)에 설치되는 안테나 유닛(antenna unit; 193)을 상부 전극으로 이용하고, 정전 척을 하부 전극으로 이용할 수 있다.The plasma generating unit 130 may generate plasma in a discharge space inside the chamber 110 using an inductively coupled plasma (ICP) source. In this case, the plasma generating unit 130 may use an antenna unit 193 installed on the upper module 190 as an upper electrode and an electrostatic chuck as a lower electrode.

그러나 본 실시예가 이에 한정되는 것은 아니다. 플라즈마 생성 유닛(130)은 용량 결합형 플라즈마(CCP; Capacitively Coupled Plasma) 소스를 이용하여 챔버(110) 내부의 방전 공간에 플라즈마를 발생시키는 것도 가능하다. 이 경우, 플라즈마 생성 유닛(130)은 도 2에 도시된 바와 같이 샤워 헤드 유닛(140)을 상부 전극으로 이용하고, 정전 척을 하부 전극으로 이용할 수 있다. 도 2는 본 발명의 다른 실시예에 따른 공정 유닛의 구조를 개략적으로 도시한 단면도이다.However, the present embodiment is not limited thereto. The plasma generating unit 130 may also generate plasma in a discharge space inside the chamber 110 using a Capacitively Coupled Plasma (CCP) source. In this case, the plasma generating unit 130 may use the shower head unit 140 as an upper electrode and an electrostatic chuck as a lower electrode, as shown in FIG. 2 . 2 is a cross-sectional view schematically showing the structure of a process unit according to another embodiment of the present invention.

다시 도 1을 참조하여 설명한다.It will be described with reference to FIG. 1 again.

플라즈마 생성 유닛(130)은 상부 전극, 하부 전극, 상부 전원(131) 및 하부 전원(133)을 포함하여 구성될 수 있다.The plasma generating unit 130 may include an upper electrode, a lower electrode, an upper power source 131 and a lower power source 133 .

상부 전원(131)은 상부 전극, 즉 안테나 유닛(193)에 전력을 인가하는 것이다. 이러한 상부 전원(131)은 플라즈마의 특성을 제어하도록 제공될 수 있다. 상부 전원(131)은 예를 들어, 이온 충격 에너지(ion bombardment energy)를 조절하도록 제공될 수 있다.The upper power source 131 applies power to the upper electrode, that is, the antenna unit 193. Such an upper power source 131 may be provided to control the characteristics of plasma. The top power source 131 may be provided to adjust ion bombardment energy, for example.

상부 전원(131)은 도 1에 단일 개 도시되어 있지만, 본 실시예에서 복수 개 구비되는 것도 가능하다. 상부 전원(131)이 복수 개 구비되는 경우, 공정 유닛(100)은 복수 개의 상부 전원과 전기적으로 연결되는 제1 매칭 네트워크(미도시)를 더 포함할 수 있다.Although a single upper power source 131 is shown in FIG. 1 , it is also possible to include a plurality of upper power sources 131 in this embodiment. When a plurality of upper power sources 131 are provided, the process unit 100 may further include a first matching network (not shown) electrically connected to the plurality of upper power sources.

제1 매칭 네트워크는 각각의 상부 전원으로부터 입력되는 상이한 크기의 주파수 전력들을 매칭하여 안테나 유닛(193)에 인가할 수 있다.The first matching network may match frequency powers of different sizes input from each upper power source and apply the matching frequency powers to the antenna unit 193 .

한편, 상부 전원(131)과 안테나 유닛(193)을 연결하는 제1 전송 선로(132) 상에는 임피던스 정합을 목적으로 제1 임피던스 정합 회로(미도시)가 마련될 수 있다.Meanwhile, a first impedance matching circuit (not shown) may be provided on the first transmission line 132 connecting the upper power source 131 and the antenna unit 193 for the purpose of impedance matching.

제1 임피던스 정합 회로는 무손실 수동 회로로 작용하여 상부 전원(131)으로부터 안테나 유닛(193)으로 전기 에너지가 효과적으로(즉, 최대로) 전달되도록 할 수 있다.The first impedance matching circuit may act as a lossless passive circuit to effectively (ie, maximally) transfer electrical energy from the upper power source 131 to the antenna unit 193 .

하부 전원(133)은 하부 전극, 즉 정전 척에 전력을 인가하는 것이다. 이러한 하부 전원(133)은 플라즈마를 발생시키는 플라즈마 소스 역할을 하거나, 상부 전원(131)과 더불어 플라즈마의 특성을 제어하는 역할을 할 수 있다.The lower power source 133 applies power to the lower electrode, that is, the electrostatic chuck. The lower power source 133 may serve as a plasma source for generating plasma or may serve to control characteristics of plasma together with the upper power source 131 .

하부 전원(133)은 도 1에 단일 개 도시되어 있지만, 상부 전원(131)과 마찬가지로 본 실시예에서 복수 개 구비되는 것도 가능하다. 하부 전원(133)이 복수 개 구비되는 경우, 복수 개의 하부 전원과 전기적으로 연결되는 제 2 매칭 네트워크(미도시)를 더 포함할 수 있다.Although a single lower power supply 133 is shown in FIG. 1 , a plurality of lower power sources 133 may be provided in this embodiment as in the case of the upper power supply 131 . When a plurality of lower power sources 133 are provided, a second matching network (not shown) electrically connected to the plurality of lower power sources may be further included.

제2 매칭 네트워크는 각각의 하부 전원으로부터 입력되는 상이한 크기의 주파수 전력들을 매칭하여 정전 척에 인가할 수 있다.The second matching network may match frequency powers of different magnitudes input from each lower power source and apply the matched frequency powers to the electrostatic chuck.

한편, 하부 전원(133)과 정전 척을 연결하는 제2 전송 선로(134) 상에는 임피던스 정합을 목적으로 제2 임피던스 정합 회로(미도시)가 마련될 수 있다.Meanwhile, a second impedance matching circuit (not shown) may be provided on the second transmission line 134 connecting the lower power source 133 and the electrostatic chuck for the purpose of impedance matching.

제2 임피던스 정합 회로는 제1 임피던스 정합 회로와 마찬가지로 무손실 수동 회로로 작용하여 하부 전원(133)으로부터 정전 척으로 전기 에너지가 효과적으로(즉, 최대로) 전달되도록 할 수 있다.Like the first impedance matching circuit, the second impedance matching circuit can act as a lossless passive circuit to effectively (ie, maximally) transfer electrical energy from the lower power source 133 to the electrostatic chuck.

샤워 헤드 유닛(140)은 정전 척과 챔버(110)의 내부에서 상하로 대향되도록 설치될 수 있다. 이러한 샤워 헤드 유닛(140)은 챔버(110)의 내부로 가스를 분사하기 위해 복수 개의 가스 분사 홀(gas feeding hole; 141)을 구비할 수 있으며, 정전 척보다 더 큰 직경을 가지도록 제공될 수 있다.The shower head unit 140 may be vertically opposed to the electrostatic chuck inside the chamber 110 . The shower head unit 140 may include a plurality of gas feeding holes 141 to inject gas into the chamber 110 and may have a larger diameter than the electrostatic chuck. there is.

한편, 샤워 헤드 유닛(140)은 실리콘 성분을 소재로 하여 제작될 수 있으며, 금속 성분을 소재로 하여 제작되는 것도 가능하다.Meanwhile, the shower head unit 140 may be made of a silicon component, or may be made of a metal component.

제2 가스 공급 유닛(160)은 샤워 헤드 유닛(140)을 통해 챔버(110)의 내부로 공정 가스(제2 가스)를 공급하는 것이다. 이러한 제2 가스 공급 유닛(160)은 제2 가스 공급원(161) 및 제2 가스 공급 라인(162)을 포함할 수 있다.The second gas supply unit 160 supplies process gas (second gas) into the chamber 110 through the shower head unit 140 . The second gas supply unit 160 may include a second gas supply source 161 and a second gas supply line 162 .

제2 가스 공급원(161)은 기판(W)을 처리하는 데에 이용되는 에칭 가스(etching gas)를 공정 가스로 공급하는 것이다. 이러한 제2 가스 공급원(161)은 에칭 가스로 불소(fluorine) 성분을 포함하는 가스(예를 들어, SF6, CF4 등의 가스)를 공급할 수 있다.The second gas supply source 161 supplies an etching gas used to process the substrate W as a process gas. The second gas supply source 161 may supply a gas containing a fluorine component (eg, a gas such as SF6 or CF4) as an etching gas.

제2 가스 공급원(161)은 단일 개 구비되어 에칭 가스를 샤워 헤드 유닛(140)으로 공급할 수 있다. 그러나 본 실시예가 이에 한정되는 것은 아니다. 제2 가스 공급원(161)은 복수 개 구비되어 공정 가스를 샤워 헤드 유닛(140)으로 공급하는 것도 가능하다.A single second gas supply source 161 may be provided to supply etching gas to the shower head unit 140 . However, the present embodiment is not limited thereto. A plurality of second gas supply sources 161 may be provided to supply process gas to the shower head unit 140 .

제2 가스 공급 라인(162)은 제2 가스 공급원(161)과 샤워 헤드 유닛(140)을 연결하는 것이다. 제2 가스 공급 라인(162)은 제2 가스 공급원(161)을 통해 공급되는 공정 가스를 샤워 헤드 유닛(140)으로 이송하여, 에칭 가스가 챔버(110)의 내부로 유입될 수 있도록 한다.The second gas supply line 162 connects the second gas supply source 161 and the shower head unit 140 . The second gas supply line 162 transfers the process gas supplied through the second gas supply source 161 to the shower head unit 140 so that the etching gas can flow into the chamber 110 .

한편, 샤워 헤드 유닛(140)이 센터 영역(center zone), 미들 영역(middle zone), 에지 영역(edge zone) 등으로 분할되는 경우, 제2 가스 공급 유닛(160)은 샤워 헤드 유닛(140)의 각 영역으로 공정 가스를 공급하기 위해 가스 분배기(미도시)와 가스 분배 라인(미도시)을 더 포함할 수 있다.Meanwhile, when the shower head unit 140 is divided into a center zone, a middle zone, and an edge zone, the second gas supply unit 160 is the shower head unit 140 A gas distributor (not shown) and a gas distribution line (not shown) may be further included in order to supply process gas to each region.

가스 분배기는 제2 가스 공급원(161)으로부터 공급되는 공정 가스를 샤워 헤드 유닛(140)의 각 영역으로 분배하는 것이다. 이러한 가스 분배기는 제2 가스 공급 라인(161)을 통해 제2 가스 공급원(161)과 연결될 수 있다.The gas distributor distributes the process gas supplied from the second gas supply source 161 to each area of the shower head unit 140 . This gas distributor may be connected to the second gas supply source 161 through the second gas supply line 161 .

가스 분배 라인은 가스 분배기와 샤워 헤드 유닛(140)의 각 영역을 연결하는 것이다. 가스 분배 라인은 이를 통해 가스 분배기에 의해 분배된 공정 가스를 샤워 헤드 유닛(140)의 각 영역으로 이송할 수 있다.The gas distribution line connects the gas distributor and each area of the shower head unit 140 . Through the gas distribution line, process gas distributed by the gas distributor may be transferred to each area of the shower head unit 140 .

한편, 제2 가스 공급 유닛(160)은 증착 가스(deposition gas)를 공급하는 제3 가스 공급원(미도시)을 더 포함하는 것도 가능하다.Meanwhile, the second gas supply unit 160 may further include a third gas supply source (not shown) for supplying deposition gas.

제3 가스 공급원은 기판(W) 패턴의 측면을 보호하여 이방성 에칭이 가능해지도록 샤워 헤드 유닛(140)으로 공급하는 것이다. 이러한 제2 가스 공급원은 C4F8, C2F4 등의 가스를 증착 가스로 공급할 수 있다.The third gas supply source is supplied to the shower head unit 140 to protect the side surface of the substrate W pattern and enable anisotropic etching. The second gas supply source may supply a gas such as C4F8 or C2F4 as a deposition gas.

월 라이너 유닛(170)은 공정 가스가 여기되는 과정에서 발생되는 아크 방전, 기판 처리 공정 중에 발생되는 불순물 등으로부터 챔버(110)의 내측면을 보호하기 위한 것이다. 이러한 월 라이너 유닛(170)은 챔버(110)의 내부에 상부와 하부가 각각 개방된 원통 형상으로 제공될 수 있다. 선택적으로, 월 라이너 유닛(170)은 제공되지 않을 수 있다.The wall liner unit 170 protects the inner surface of the chamber 110 from arc discharge generated during process gas excitation and impurities generated during a substrate processing process. The wall liner unit 170 may be provided inside the chamber 110 in a cylindrical shape with upper and lower portions open. Optionally, the wall liner unit 170 may not be provided.

월 라이너 유닛(170)은 챔버(110)의 내측벽에 인접하도록 제공될 수 있다. 이러한 월 라이너 유닛(170)은 그 상부에 지지 링(171)을 구비할 수 있다. 지지 링(171)은 월 라이너 유닛(170)의 상부에서 외측 방향(즉, 제1 방향(10))으로 돌출 형성되며, 챔버(110)의 상단에 놓여 월 라이너 유닛(170)을 지지할 수 있다.The wall liner unit 170 may be provided adjacent to the inner wall of the chamber 110 . The wall liner unit 170 may have a support ring 171 thereon. The support ring 171 protrudes from the top of the wall liner unit 170 in an outward direction (ie, in the first direction 10) and is placed on the top of the chamber 110 to support the wall liner unit 170. there is.

배플 유닛(180)은 플라즈마의 공정 부산물, 미반응 가스 등을 배기하는 역할을 한다. 이러한 배플 유닛(180)은 챔버(110)의 내측벽과 정전 척 사이에 설치될 수 있다. 배플 유닛(180)은 환형의 링 형상으로 제공될 수 있으며, 상하 방향(즉, 제3 방향(30))으로 관통되는 복수 개의 관통 홀을 구비할 수 있다. 배플 유닛(180)은 관통 홀의 개수 및 형상에 따라 공정 가스의 흐름을 제어할 수 있다.The baffle unit 180 serves to exhaust plasma process by-products, unreacted gases, and the like. The baffle unit 180 may be installed between the inner wall of the chamber 110 and the electrostatic chuck. The baffle unit 180 may be provided in an annular ring shape and may include a plurality of through holes penetrating in a vertical direction (ie, in the third direction 30 ). The baffle unit 180 may control the flow of process gas according to the number and shape of through holes.

상부 모듈(190)은 챔버(110)의 개방된 상부를 덮도록 설치되는 것이다. 이러한 상부 모듈(190)은 윈도우 부재(191), 안테나 부재(192) 및 안테나 유닛(193)을 포함할 수 있다.The upper module 190 is installed to cover the open top of the chamber 110 . This upper module 190 may include a window member 191, an antenna member 192 and an antenna unit 193.

윈도우 부재(191)는 챔버(110)의 내부 공간을 밀폐시키기 위해 챔버(110)의 상부를 덮도록 형성되는 것이다. 이러한 윈도우 부재(191)는 판(예를 들어, 원판) 형상으로 제공될 수 있으며, 절연 물질(예를 들어, 알루미나(Al2O3))을 소재로 하여 형성될 수 있다.The window member 191 is formed to cover the top of the chamber 110 to seal the internal space of the chamber 110 . The window member 191 may be provided in a plate (eg, disk) shape, and may be formed of an insulating material (eg, alumina (Al 2 O 3 )).

윈도우 부재(191)는 유전체 창(dielectric window)을 포함하여 형성될 수 있다. 윈도우 부재(191)는 제2 가스 공급 라인(162)이 삽입되기 위한 통공이 형성될 수 있으며, 챔버(110)의 내부에서 플라즈마 공정이 수행될 때 파티클(particle)의 발생을 억제하기 위해 그 표면에 코팅막이 형성될 수 있다.The window member 191 may include a dielectric window. The window member 191 may have a through hole through which the second gas supply line 162 is inserted, and a surface thereof to suppress generation of particles when a plasma process is performed inside the chamber 110. A coating film may be formed on.

안테나 부재(192)는 윈도우 부재(191)의 상부에 설치되는 것으로서, 안테나 유닛(193)이 그 내부에 배치될 수 있도록 소정 크기의 공간이 제공될 수 있다.The antenna member 192 is installed above the window member 191, and a space of a predetermined size may be provided so that the antenna unit 193 can be disposed therein.

안테나 부재(192)는 하부가 개방된 원통 형상으로 형성될 수 있으며, 챔버(110)와 대응되는 직경을 가지도록 제공될 수 있다. 안테나 부재(192)는 윈도우 부재(191)에 탈착 가능하도록 제공될 수 있다.The antenna member 192 may be formed in a cylindrical shape with an open bottom and may have a diameter corresponding to that of the chamber 110 . The antenna member 192 may be detachably attached to the window member 191 .

안테나 유닛(193)은 상부 전극으로 기능하는 것으로서, 폐루프를 형성하도록 제공되는 코일이 장착된 것이다. 이러한 안테나 유닛(193)은 상부 전원(131)으로부터 공급되는 전력을 기초로 챔버(110)의 내부에 자기장 및 전기장을 생성하여, 샤워 헤드 유닛(140)를 통해 챔버(110)의 내부로 유입된 가스를 플라즈마로 여기시키는 기능을 한다.The antenna unit 193 functions as an upper electrode and is equipped with a coil provided to form a closed loop. The antenna unit 193 generates a magnetic field and an electric field inside the chamber 110 based on the power supplied from the upper power source 131, so that the energy introduced into the chamber 110 through the shower head unit 140 It functions to excite gas into plasma.

안테나 유닛(193)은 평판 스파이럴(planar spiral) 형태의 코일을 장착할 수 있다. 그러나 본 실시예가 이에 한정되는 것은 아니다. 코일의 구조나 크기 등은 당해 기술 분야에서 통상의 지식을 가진 자에 의해 다양하게 변경될 수 있다.The antenna unit 193 may be equipped with a planar spiral coil. However, the present embodiment is not limited thereto. The structure or size of the coil may be variously changed by those skilled in the art.

도 3은 도 1 및 도 2의 배기 유닛(200)을 도시한 도면이다.FIG. 3 is a diagram showing the exhaust unit 200 of FIGS. 1 and 2 .

배기 유닛(200)은 제1 압력 제어 밸브(201), 제1 배기 라인(202), 제2 압력 제어 밸브(203), 제2 배기 라인(204), 터보 분자 펌프(TMP, Turbo Molecular Pump, 210), 드라이 펌프(220), 서브 드라이 펌프(230)를 포함할 수 있다.The exhaust unit 200 includes a first pressure control valve 201, a first exhaust line 202, a second pressure control valve 203, a second exhaust line 204, a turbo molecular pump (TMP), 210), a dry pump 220, and a sub dry pump 230.

제1 배기 라인(202)은 일단이 챔버(110)의 배기홀(111)에 접속되고 타단이 드라이 펌프(220)에 접속된다. 제1 배기 라인(202) 상에는 제1 압력 제어 밸브(201), 터보 분자 펌프(210), 제1 밸브(222)가 차례로 배치될 수 있다. 이하에서는, 제1 배기 라인(202) 상의 제1 압력 제어 밸브(201), 터보 분자 펌프(210), 제1 밸브(222) 및 드라이 펌프(220)가 배치된 경로를 '제1 배기 경로'라고 한다.The first exhaust line 202 has one end connected to the exhaust hole 111 of the chamber 110 and the other end connected to the dry pump 220 . A first pressure control valve 201 , a turbo molecular pump 210 , and a first valve 222 may be sequentially disposed on the first exhaust line 202 . Hereinafter, a path in which the first pressure control valve 201, the turbo molecular pump 210, the first valve 222, and the dry pump 220 are disposed on the first exhaust line 202 is referred to as a 'first exhaust path'. It is said.

제1 압력 제어 밸브(201)는 APC(Adaptive Pressure Control) 밸브로 제공될 수 있다. 제1 압력 제어 밸브(201)는 제1 배기 라인(202)에 연통되며, 밸브체의 개방도를 제어함으로써 제1 배기 라인(202)의 개방도를 조정하여 챔버(110) 내 압력을 조절할 수 있다.The first pressure control valve 201 may be provided as an Adaptive Pressure Control (APC) valve. The first pressure control valve 201 communicates with the first exhaust line 202 and can control the pressure in the chamber 110 by adjusting the opening of the first exhaust line 202 by controlling the opening of the valve body. there is.

터보 분자 펌프(210)는 챔버(110) 내 가스 등을 고속 배기시키는 진공 펌프이다. 터보 분자 펌프(210)는 제1 압력 제어 밸브(201)의 하부에 제공되고 제1 진공 펌프의 일 예이다. 제1 진공 펌프는 터보 분자 펌프, 메커니컬 부스터 펌프, 크라이오 펌프(Cryopuimp) 중 적어도 어느 하나일 수 있다.The turbo molecular pump 210 is a vacuum pump that exhausts gas or the like in the chamber 110 at high speed. The turbo molecular pump 210 is provided below the first pressure control valve 201 and is an example of a first vacuum pump. The first vacuum pump may be at least one of a turbo molecular pump, a mechanical booster pump, and a cryopuimp.

제1 밸브(222)는 제1 배기 라인(202) 상에서 터보 분자 펌프(210)와 드라이 펌프(220) 사이에 배치될 수 있다. 제1 밸브(222)는 제1 배기 라인(202)의 완전 개방 또는 완전 폐쇄 제어가 가능한 밸브의 일 예이다. 제1 밸브(222)는 개폐 밸브의 일 예일 수 있다. 제1 밸브(222)는 포라인 밸브(foreline valve)일 수 있다.The first valve 222 may be disposed between the turbo molecular pump 210 and the dry pump 220 on the first exhaust line 202 . The first valve 222 is an example of a valve capable of fully opening or fully closing the first exhaust line 202 . The first valve 222 may be an example of an on-off valve. The first valve 222 may be a foreline valve.

제2 배기 라인(204)은 일단이 챔버(110)의 배기홀(111)에 접속되고 타단이 드라이 펌프(220)에 접속된다. 제2 배기 라인(204) 상에는 제2 압력 제어 밸브(203), 제2 밸브(224), 드라이 펌프(220)가 차례로 배치될 수 있다. 이하에서는, 제2 배기 라인(204) 상의 제2 압력 제어 밸브(203), 제2 밸브(224) 및 드라이 펌프(220)가 배치된 경로를 '제2 배기 경로'라고 한다.The second exhaust line 204 has one end connected to the exhaust hole 111 of the chamber 110 and the other end connected to the dry pump 220 . A second pressure control valve 203 , a second valve 224 , and a dry pump 220 may be sequentially disposed on the second exhaust line 204 . Hereinafter, a path in which the second pressure control valve 203, the second valve 224, and the dry pump 220 are disposed on the second exhaust line 204 is referred to as a 'second exhaust path'.

제2 배기 라인(204)의 단면적은 제1 배기 라인(202)의 단면적보다 작을 수 있다. 제2 배기 라인(204)은 챔버(110)의 배기홀(111)에 직접 접속되지 않고 제1 배기 라인(202)으로부터 분기될 수도 있다.A cross-sectional area of the second exhaust line 204 may be smaller than that of the first exhaust line 202 . The second exhaust line 204 may be branched from the first exhaust line 202 without being directly connected to the exhaust hole 111 of the chamber 110 .

제2 압력 제어 밸브(203)는 스로틀 밸브(throttle valve)로 제공될 수 있다. 제2 압력 제어 밸브(203)는 제2 배기 라인(204)에 연통되며, 밸브체의 개방도를 제어함으로써 제2 배기 라인(204)의 개방도를 조정하여 챔버(110) 내 압력을 조절할 수 있다.The second pressure control valve 203 may serve as a throttle valve. The second pressure control valve 203 communicates with the second exhaust line 204 and can control the pressure in the chamber 110 by adjusting the opening of the second exhaust line 204 by controlling the opening of the valve body. there is.

제2 밸브(224)는 제2 배기 라인(204) 상에서 제2 압력 제어 밸브(203)와 드라이 펌프(220) 사이에 배치될 수 있다. 제2 밸브(224)는 제2 배기 라인(204)의 완전 개방 또는 완전 폐쇄 제어가 가능한 밸브의 일 예이다. 제2 밸브(224)는 개폐 밸브의 일 예일 수 있다. 제2 밸브(224)는 러핑 밸브(roughing valve)일 수 있다.The second valve 224 may be disposed between the second pressure control valve 203 and the dry pump 220 on the second exhaust line 204 . The second valve 224 is an example of a valve capable of fully opening or fully closing the second exhaust line 204 . The second valve 224 may be an example of an on-off valve. The second valve 224 may be a roughing valve.

드라이 펌프(220)는 제1 배기 라인(202)의 타단에 접속되고 제2 배기 라인(204)의 타단에 접속될 수 있다. 드라이 펌프(220)는 챔버(110) 내 가스 등을 배기시키는 진공 펌프이다. 드라이 펌프(220)는 제2 진공 펌프의 일 예이다. 제2 진공 펌프는 드라이 펌프, 메커니컬 부스터 펌프 등일 수 있다.The dry pump 220 may be connected to the other end of the first exhaust line 202 and connected to the other end of the second exhaust line 204 . The dry pump 220 is a vacuum pump that exhausts gas or the like in the chamber 110 . The dry pump 220 is an example of the second vacuum pump. The second vacuum pump may be a dry pump, a mechanical booster pump, or the like.

서브 드라이 펌프(230)는 서브 라인(231)을 통해 제1 배기 라인(202)에 연결된다. 서브 라인(231)은 터보 분자 펌프(210)와 제1 밸브(222) 사이에서 제1 배기 라인(202)과 연결될 수 있다. 서브 드라이 펌프(230)는 챔버(110) 내 가스 등을 배기시키는 진공 펌프이다. 서브 드라이 펌프(230)는 서브 진공 펌프의 일 예이다. 서브 진공 펌프는 드라이 펌프, 메커니컬 부스터 펌프 등일 수 있다.The sub dry pump 230 is connected to the first exhaust line 202 through a sub line 231 . The sub line 231 may be connected to the first exhaust line 202 between the turbo molecular pump 210 and the first valve 222 . The sub dry pump 230 is a vacuum pump that exhausts gas or the like from the chamber 110 . The sub dry pump 230 is an example of a sub vacuum pump. The sub vacuum pump may be a dry pump, a mechanical booster pump, or the like.

서브 라인(231) 상에는 서브 밸브(232)가 제공된다. 서브 밸브(232)는 제1 배기 라인(202)과 서브 드라이 펌프(230) 사이에 배치될 수 있다. 서브 밸브(232)는 서브 라인(231)의 완전 개방 또는 완전 폐쇄 제어가 가능한 밸브의 일 예이다. 서브 밸브(232)는 개폐 밸브의 일 예일 수 있다.A sub valve 232 is provided on the sub line 231 . The sub valve 232 may be disposed between the first exhaust line 202 and the sub dry pump 230 . The sub valve 232 is an example of a valve capable of fully opening or fully closing the sub line 231 . The sub-valve 232 may be an example of an on-off valve.

서브 드라이 펌프(230)는 서브 밸브(232)의 개폐에 대응하여 처리 공간을 선택적으로 배기할 수 있다. 서브 밸브(232)가 개방되는 경우 서브 드라이 펌프(230)는 챔버(110) 내 가스 등을 배기시킬 수 있다. 서브 밸브(232)의 개폐는 제어 수단에 의하여 제어될 수 있다.The sub dry pump 230 may selectively exhaust the processing space in response to the opening and closing of the sub valve 232 . When the sub valve 232 is opened, the sub dry pump 230 may exhaust gas in the chamber 110 . Opening and closing of the sub valve 232 may be controlled by a control unit.

이하에서는, 제1 배기 라인(202) 상의 제1 압력 제어 밸브(201), 터보 분자 펌프(210), 서브 라인(231)의 서브 밸브(232) 및 서브 드라이 펌프(230)가 배치된 경로를 '제3 배기 경로' 라고 한다.Hereinafter, a route in which the first pressure control valve 201 on the first exhaust line 202, the turbo molecular pump 210, the sub valve 232 of the sub line 231, and the sub dry pump 230 are disposed is described. It is called the 'third exhaust path'.

도 4 및 도 5를 참고하여 상술한 배기 유닛(200)에 의한 저압 공정 및 고압 공정 시의 구체적인 동작에 대해 각각 설명한다. 도 4는 저압 공정 시의 배기 유닛(200)을 도시한 것이고, 도 5는 고압 공정 시의 배기 유닛(200)을 도시한 것이다.With reference to FIGS. 4 and 5 , detailed operations of the above-described exhaust unit 200 during the low-pressure process and the high-pressure process will be described respectively. 4 shows the exhaust unit 200 during a low-pressure process, and FIG. 5 shows the exhaust unit 200 during a high-pressure process.

[저압 공정][low pressure process]

처리 공간을 저압으로 제어하는 저압 공정을 수행하는 경우, 먼저 도 5에 도시된 바와 같이 제1 배기 라인(202)의 제1 압력 제어 밸브(201) 및 제1 밸브(222)를 폐쇄하고, 제2 압력 제어 밸브(203) 및 제2 밸브(224)를 개방할 수 있다. 이 상태에서, 드라이 펌프(220)는 제2 배기 라인(204)을 통해 챔버(110) 내 가스 등을 배기시켜 처리 공간을 대기압으로부터 중진공 상태까지 감압시킬 수 있다(사전 감압). 한편, 저압 공정에서 사전 감압이 반드시 필요한 것은 아니고, 예를 들어, 저압 공정 개시 시에 처리 공간이 이미 중진공 상태에 있는 경우, 사전 감압을 생략할 수 있다.In the case of performing the low-pressure process of controlling the processing space to a low pressure, first, as shown in FIG. 5, the first pressure control valve 201 and the first valve 222 of the first exhaust line 202 are closed, and 2 The pressure control valve 203 and the second valve 224 can be opened. In this state, the dry pump 220 exhausts the gas in the chamber 110 through the second exhaust line 204 to depressurize the process space from atmospheric pressure to a medium vacuum state (pre-depressurization). Meanwhile, prior depressurization is not necessarily necessary in the low-pressure process, and, for example, when the treatment space is already in a medium vacuum state at the start of the low-pressure process, prior decompression may be omitted.

그 후, 도 4에 도시한 바와 같이, 제1 배기 라인(202) 상의 제1 압력 제어 밸브(201) 및 제1 밸브(222)를 개방하고 제2 배기 라인(204) 상의 제2 압력 제어 밸브(203) 및 제2 밸브(224)를 폐쇄한다. 이 상태에서, 터보 분자 펌프(210)는 드라이 펌프(220)와 함께 제1 배기 라인(202)을 통해(제1 배기 경로를 따라) 챔버(110) 내 가스 등을 배기시켜 처리 공간을 중진공 상태보다 압력이 낮은 고진공 상태까지 감압시킬 수 있다. 이 상태에서, 제1 압력 제어 밸브(201)의 개방도를 제어함으로써 챔버(10) 내부가 800mTorr 이하로 저압 제어될 수 있다.Then, as shown in FIG. 4 , the first pressure control valve 201 and the first valve 222 on the first exhaust line 202 are opened and the second pressure control valve on the second exhaust line 204 is opened. 203 and the second valve 224 are closed. In this state, the turbo molecular pump 210 together with the dry pump 220 exhausts the gas in the chamber 110 through the first exhaust line 202 (along the first exhaust path), leaving the processing space in a medium vacuum state. It can be reduced to a high vacuum state with a lower pressure. In this state, by controlling the degree of opening of the first pressure control valve 201, the inside of the chamber 10 can be controlled to a low pressure of 800 mTorr or less.

저압 공정은 처리 공간에서 에칭, 도포 처리 등이 수행될 때 수행될 수 있다. 저압 공정에서는 처리 공간의 압력이 800mTorr 이하로 제어하는데, 보다 바람직하게는 10mTorr~800mTorr(1.33Pa~107Pa)의 범위로 제어할 수 있다다.The low-pressure process may be performed when etching, coating, and the like are performed in the processing space. In the low-pressure process, the pressure in the processing space is controlled to 800 mTorr or less, more preferably in the range of 10 mTorr to 800 mTorr (1.33 Pa to 107 Pa).

[고압 공정][High pressure process]

한편, 고압 공정은 처리 공간에서 애싱(ashing) 처리, 클리닝(cleaning) 처리 등이 수행될 때 수행될 수 있다. 처리 공간을 고압으로 제어하는 고압 공정을 수행하는 경우, 도 5에 도시된 바와 같이, 제1 배기 라인(202)의 제1 압력 제어 밸브(201) 및 제1 밸브(222)를 폐쇄하고, 제2 압력 제어 밸브(203) 및 제2 밸브(224)를 개방한다. 이 상태에서, 드라이 펌프(220)는 제2 배기 라인(204)을 통해(제2 배기 경로를 따라) 챔버(110) 내 가스 등을 배기시킨다. 이때, 제2 압력 제어 밸브(203)의 개방도를 제어함으로써 처리 공간을 800mTorr보다 고압으로 제어할 수 있다. 고압 공정은, 챔버(110) 내 압력을 800mTorr 이상으로 제어하는데, 보다 바람직하게는 1Torr~100Torr(133Pa~13300Pa)의 범위로 제어할 수 있다.Meanwhile, the high-pressure process may be performed when an ashing process, a cleaning process, or the like is performed in the processing space. When performing a high-pressure process of controlling the processing space to a high pressure, as shown in FIG. 5 , the first pressure control valve 201 and the first valve 222 of the first exhaust line 202 are closed, and 2 Open the pressure control valve 203 and the second valve 224. In this state, the dry pump 220 exhausts the gas in the chamber 110 through the second exhaust line 204 (along the second exhaust path). At this time, by controlling the opening of the second pressure control valve 203, the processing space can be controlled to a high pressure higher than 800 mTorr. In the high-pressure process, the pressure in the chamber 110 is controlled to 800 mTorr or more, more preferably in the range of 1 Torr to 100 Torr (133 Pa to 13300 Pa).

한편, 고압 공정이 오래 수행되는 경우, 제1 밸브(222)의 폐쇄에 의하여 터보 분자 펌프(210)가 무부하 상태로 전환되며 터보 분자 펌프(210)의 RPM이 강하된다. 터보 분자 펌프(210)의 RPM이 강하되면, RPM이 강하된 터보 분자 펌프(210)를 회복시킬 때까지 후속 공정을 수행할 수 없기 때문에 스루풋(throughput)이 저하되는 문제가 있다.Meanwhile, when the high-pressure process is performed for a long time, the turbo molecular pump 210 is switched to a no-load state by closing the first valve 222 and the RPM of the turbo molecular pump 210 is lowered. When the RPM of the turbo molecular pump 210 drops, subsequent processes cannot be performed until the RPM dropped turbo molecular pump 210 is restored, resulting in a decrease in throughput.

[회복 공정][Recovery process]

상술한 문제를 방지하기 위하여, 고압 공정을 수행하는 동안, 서브 밸브(232)를 개방하여 서브 드라이 펌프(230)가 서브 라인(231)을 통해(제3 배기 경로를 따라) 챔버(110) 내 가스 등을 배기시킴으로써 터보 분자 펌프(210)의 RPM을 회복할 수 있다. 예를 들어, 고압 공정의 잔여 공정 시간이 기 설정된 한계값에 도달하거나 터보 분자 펌프(210)의 RPM이 기설정된 한계값 이하로 강하되는 경우, 서브 밸브(232)를 개방하여 회복 공정을 수행할 수 있다. 회복 공정은 터보 분자 펌프(210)의 RPM이 설정값(γ) 이상의 값을 가질 때까지 수행될 수 있다. 잔여 공정 시간의 한계값과 터보 분자 펌프(210)의 RPM 한계값 및 설정값(γ)은 밸브 컨트롤러(240)에 미리 입력될 수 있다.In order to prevent the above-described problem, while performing the high pressure process, the sub valve 232 is opened so that the sub dry pump 230 passes through the sub line 231 (along the third exhaust path) into the chamber 110. The RPM of the turbo molecular pump 210 can be recovered by exhausting gas or the like. For example, when the remaining process time of the high-pressure process reaches a preset limit value or the RPM of the turbo molecular pump 210 drops below the preset limit value, the sub valve 232 is opened to perform a recovery process. can The recovery process may be performed until the RPM of the turbo molecular pump 210 has a value greater than or equal to the set value γ. The limit value of the remaining process time, the RPM limit value of the turbo molecular pump 210, and the set value γ may be input to the valve controller 240 in advance.

서브 드라이 펌프(230)에 의하여 고압 공정이 완료되기 전에 터보 분자 펌프(210)에 대한 RPM 회복 공정이 수행됨에 따라 장기 고압 공정이 수행된 이후에도 후속 공정이 바로 수행될 수 있다. 이에 따라 종래의 스루풋(throughput)이 저하되는 문제를 해결함으로써 설비의 시간당 생산량(UPEH, Unit per Equipment Hour)를 향상시킬 수 있다.As the RPM recovery process for the turbo molecular pump 210 is performed before the high-pressure process is completed by the sub-dry pump 230, subsequent processes can be immediately performed even after the long-term high-pressure process is performed. Accordingly, it is possible to improve Unit per Equipment Hour (UPEH) of equipment by solving the problem of lowering conventional throughput.

이어서 공정 유닛이 복수로 구비되는 일 실시예에 대하여 도 6을 참조하여 설명한다. 공정 유닛이 복수로 구비됨에 따라 배기 유닛의 구성이 도 3에 도시된 배기 유닛(200)과 일부 상이할 수 있다. 설명의 편의를 위하여, 도 3에 도시된 배기 유닛(200)과 동일한 구성에 대한 설명은 생략하기로 한다.Next, an embodiment in which a plurality of process units are provided will be described with reference to FIG. 6 . As a plurality of process units are provided, the configuration of the exhaust unit may be partially different from that of the exhaust unit 200 shown in FIG. 3 . For convenience of description, a description of the same configuration as that of the exhaust unit 200 shown in FIG. 3 will be omitted.

공정 유닛이 복수로 구비되는 경우, 각 처리 챔버에 일대일 대응 방식으로 연결되는 제1 배기 라인(202)들은 하나의 서브 드라이 펌프(230)에 연결될 수 있다. 각각의 제1 배기 라인(202)은 각각의 서브 라인(231)을 통해 서브 드라이 펌프(230)와 연결되고, 각각의 서브 라인(231) 상에는 서브 밸브(232)가 각각 제공될 수 있다. 서브 드라이 펌프(230)는 각 공정 유닛과 연결된 각각의 서브 밸브(232)의 개폐에 대응하여 처리 공간을 선택적으로 배기할 수 있다. 서브 드라이 펌프(230)는 개방된 서브 밸브(232)가 연결된 챔버(110)를 배기시킬 수 있다. 개방된 서브 밸브의 수는 하나일 수도 있고 복수일 수도 있다. 경우에 따라, 모든 서브 밸브가 폐쇄될 수도 있다. 예를 들어, 복수의 서브 밸브들 중 제1 서브 밸브와 제3 서브 밸브가 개방되는 경우, 서브 드라이 펌프(230)는 제1 처리 챔버와 제3 처리 챔버 내 가스 등을 배기시킬 수 있다.When a plurality of process units are provided, the first exhaust lines 202 connected to each process chamber in a one-to-one correspondence may be connected to one sub dry pump 230 . Each of the first exhaust lines 202 is connected to the sub dry pump 230 through each sub line 231, and sub valves 232 may be provided on each sub line 231, respectively. The sub dry pump 230 may selectively exhaust the processing space in response to the opening and closing of each sub valve 232 connected to each process unit. The sub dry pump 230 may exhaust the chamber 110 to which the opened sub valve 232 is connected. The number of opened sub-valves may be one or plural. In some cases, all sub-valves may be closed. For example, when a first sub-valve and a third sub-valve among a plurality of sub-valves are opened, the sub-dry pump 230 may exhaust gas in the first processing chamber and the third processing chamber.

공정 유닛이 복수로 구비되는 경우, 공정 유닛의 수와 동일한 수로 구비되는 서브 밸브(232)들의 개폐를 제어하기 위한 밸브 컨트롤러(240)가 구비될 수 있다. 밸브 컨트롤러(240)는 고압 공정이 수행되는 공정 유닛들 중 잔여 공정 시간이 기 설정된 한계값에 도달하거나, 터보 분자 펌프(210)의 RPM이 기 설정된 한계값 이하로 강하되는 공정 유닛을 회복 대상으로 선택하고, 회복 대상 공정 유닛에 연결된 서브 밸브를 개방할 수 있다. 서브 밸브를 개방함에 따라 해당 공정 유닛의 챔버 내 가스 등이 서브 드라이 펌프(230)에 의하여 배기됨으로써 터보 분자 펌프에 대한 RPM 회복 공정이 수행될 수 있다.When a plurality of process units are provided, a valve controller 240 may be provided to control the opening and closing of the sub-valves 232 provided in the same number as the number of process units. Among the process units in which the high-pressure process is performed, the valve controller 240 selects a process unit in which the remaining process time reaches a preset limit value or the RPM of the turbo molecular pump 210 drops below a preset limit value as a recovery target. and open the sub-valve connected to the recovery target process unit. As the sub-valve is opened, gas in the chamber of the corresponding process unit is exhausted by the sub-dry pump 230, so that the RPM recovery process for the turbo molecular pump can be performed.

밴드 컨트롤러(240)는 복수의 공정 유닛과 일대일 대응 방식으로 연결된 터보 분자 펌프들을 모니터링함으로써 회복이 필요한 터보 분자 펌프를 파악하고 후속 공정이 임박한 순 및 RPM 강하 정도가 현저한 순으로 우선 순위를 구성하고 우선 순위에 따라 순차적으로 해당 터보 분자 펌프에 대한 서브 밸브를 개방하여 회복 공정을 수행하도록 구성될 수 있다.The band controller 240 identifies a turbo molecular pump that requires recovery by monitoring turbo molecular pumps connected to a plurality of process units in a one-to-one correspondence manner, prioritizes them in the order in which subsequent processes are imminent and the degree of RPM drop is significant, and prioritizes them. It may be configured to perform a recovery process by sequentially opening sub-valves for corresponding turbo molecular pumps according to the rank.

도 7은 앞서 설명한 기판 처리 장치에 의한 기판 처리 방법의 일 실시예를 도시한다. 본 발명의 일 실시예에 의한 기판 처리 방법은, 가스 공급 단계(S2), 저압 공정, 고압 공정을 포함한다. 저압 공정은 저압 제어 단계(S4)를 포함하고, 고압 공정은 고압 제어 단계(S5) 및 회복 단계(S6)를 포함할 수 있다. 회복 단계(S6)는 고압 공정에 선택적으로 포함되고, 고압 공정은 제1 진공 펌프로 제공되는 터보 분자 펌프의 RPM을 측정하는 단계(S53)와 잔여 공정 시간을 확인하는 단계(S54)를 더 포함할 수 있다.7 shows an embodiment of a substrate processing method by the substrate processing apparatus described above. A substrate processing method according to an embodiment of the present invention includes a gas supply step ( S2 ), a low pressure process, and a high pressure process. The low pressure process may include a low pressure control step (S4), and the high pressure process may include a high pressure control step (S5) and a recovery step (S6). The recovery step (S6) is optionally included in the high-pressure process, and the high-pressure process further includes measuring the RPM of the turbo molecular pump provided to the first vacuum pump (S53) and checking the remaining process time (S54). can do.

본 처리가 개시되면, 처리 공간으로 기판이 반입되고 기판 지지 유닛(120)에 안착된다(S1). 기판이 반입되는 처리 공간은 고진공 분위기가 형성된 상태일 수 있다. 처리 공간으로 기반의 반입이 완료되면 처리 공간은 밀폐되고, 밀폐된 처리 공간 내부로 기판 처리를 위한 공정 가스가 공급될 수 있다(S2).When this process starts, the substrate is carried into the process space and placed on the substrate support unit 120 (S1). A processing space into which substrates are loaded may be in a state in which a high vacuum atmosphere is formed. When the transport of the substrate into the processing space is completed, the processing space is sealed, and a process gas for substrate processing may be supplied into the sealed processing space (S2).

이어서 공정 레시피에 따라 기판에 대해 수행할 공정을 선택하는 공정 선택가 단계(S3)가 수행될 수 있다. 공정 선택 단계(S3)에서는 기판에 대해 수행할 공정이 저압 공정인지 고압 공정인지 선택될 수 있다. 예를 들어, 기판에 대하여 수행될 처리가 에칭 처리, 도포 처리 등일 경우 저압 공정이 선택될 수 있다. 기판에 대하여 수행될 처리가 애싱 처리, 클리닝 처리 등일 경우 고압 공정이 선택될 수 있다.Subsequently, a process selector step S3 of selecting a process to be performed on the substrate according to the process recipe may be performed. In the process selection step ( S3 ), a low-pressure process or a high-pressure process may be selected as a process to be performed on the substrate. For example, a low-pressure process may be selected when the treatment to be performed on the substrate is an etching treatment, an application treatment, or the like. A high-pressure process may be selected when the treatment to be performed on the substrate is an ashing treatment, a cleaning treatment, or the like.

저압 공정이 선택되면, 처리 공간에 대한 저압 제어가 수행된다(S4). 저압 제어 단계(S4)에서는 제1 압력 제어 밸브(201) 및 제1 밸브(222)를 개방하고, 제2 압력 제어 밸브(203) 및 제2 밸브(224)를 폐쇄할 수 있다. 이 상태에서 터보 분자 펌프(제1 진공 펌프, 210)는 드라이 펌프(제2 진공 펌프, 220)와 함께 제1 배기 라인(202)을 통해(제1 배기 경로를 따라) 처리 공간을 배기시키며, 제1 압력 제어 밸브(201)의 개방도를 제어함으로써 처리 공간을 800mTorr 이하로 저압 제어할 수 있다. 한편, 저압 제어를 개시하기 전 처리 공간의 분위기가 대기압이거나 저진공 상태에 있는 경우에는, 제1 배기 라인(202)의 제1 압력 제어 밸브(201) 및 제1 밸브(222)를 폐쇄하고, 제2 압력 제어 밸브(203) 및 제2 밸브(224)를 개방할 수 있다. 이 상태에서, 드라이 펌프(220)는 제2 배기 라인(204)을 통해 챔버(110) 내 가스 등을 배기시켜 처리 공간을 대기압으로부터 중진공 상태까지 감압시키는 사전 감압을 수행한 후 저압 제어(S4)를 실시할 수 있다. 저압 제어 단계(S4)에 의하여 처리 공간의 저압 분위기 형성이 완료되면, 레시피에 따라 기판에 대한 처리를 수행할 수 있다(S41).If the low-pressure process is selected, low-pressure control for the processing space is performed (S4). In the low pressure control step ( S4 ), the first pressure control valve 201 and the first valve 222 may be opened, and the second pressure control valve 203 and the second valve 224 may be closed. In this state, the turbo molecular pump (first vacuum pump, 210) together with the dry pump (second vacuum pump, 220) exhausts the processing space through the first exhaust line 202 (along the first exhaust path), By controlling the opening of the first pressure control valve 201, the processing space can be controlled to a low pressure of 800 mTorr or less. On the other hand, when the atmosphere of the processing space before starting the low pressure control is at atmospheric pressure or in a low vacuum state, the first pressure control valve 201 and the first valve 222 of the first exhaust line 202 are closed, The second pressure control valve 203 and the second valve 224 may be opened. In this state, the dry pump 220 exhausts gas in the chamber 110 through the second exhaust line 204 to reduce the processing space from atmospheric pressure to a medium vacuum state, and then performs a low pressure control (S4). can be carried out. When the formation of the low-pressure atmosphere in the processing space is completed by the low-pressure control step (S4), the substrate may be processed according to the recipe (S41).

저압 분위기에서의 기판 처리(S41)가 완료되면, 레시피에 따라 다음 공정의 유무를 판단하는 단계(S42)가 수행될 수 있다. 후속 공정이 존재하지 않는 경우, 기판(W)을 처리 공간으로부터 반출하는 단계(S7)를 수행하고 처리가 종료될 수 있다. 처리 공간으로부터 반출된 기판(W)은 또다른 공정 유닛으로 반송되거나 기판 처리 장치의 외부로 반출될 수 있다. 후속 공정이 존재하는 경우, 공정 선택 단계(S3)로 돌아가서 기판(W)에 대한 후속 공정을 실행할 수 있다.When the substrate treatment (S41) in the low-pressure atmosphere is completed, a step (S42) of determining the presence or absence of the next process according to the recipe may be performed. If there is no subsequent process, the step of unloading the substrate W from the processing space (S7) may be performed and the processing may be terminated. The substrate W carried out from the processing space may be transported to another processing unit or transported out of the substrate processing apparatus. If there is a subsequent process, returning to the process selection step (S3), the subsequent process for the substrate W may be executed.

고압 공정이 선택되면, 처리 공간에 대한 고압 제어를 수행할 수 있다(S5). 고압 제어 단계(S5)에서는 제1 압력 제어 밸브(201) 및 제1 밸브(222)를 폐쇄하고, 제2 압력 제어 밸브(203) 및 제2 밸브(224)를 개방할 수 있다. 이 상태에서 드라이 펌프(제2 진공 펌프, 220)는 제2 배기 라인(204)을 통해(제2 배기 경로를 따라) 챔버(110) 내 가스 등을 배기시키며, 제2 압력 제어 밸브(203)의 개방도를 제어함으로써 처리 공간을 800mTorr보다 높은 압력으로 고압 제어할 수 있다. 고압 제어 단계(S5)에 의하여 처리 공간의 고압 분위기 형성이 완료되면, 레시피에 따라 기판에 대한 처리를 수행할 수 있다(S51).If the high-pressure process is selected, high-pressure control of the processing space may be performed (S5). In the high pressure control step ( S5 ), the first pressure control valve 201 and the first valve 222 may be closed, and the second pressure control valve 203 and the second valve 224 may be opened. In this state, the dry pump (second vacuum pump, 220) exhausts the gas in the chamber 110 through the second exhaust line 204 (along the second exhaust path), and the second pressure control valve 203 By controlling the degree of opening of the processing space, the high pressure can be controlled to a pressure higher than 800 mTorr. When the formation of the high-pressure atmosphere in the processing space is completed by the high-pressure control step (S5), the substrate may be processed according to the recipe (S51).

고압 분위기에서의 기판 처리(S51)가 수행되는 동안, 레시피에 따라 다음 공정의 유무를 판단하는 단계(S52)가 수행될 수 있다. 후속 공정이 존재하지 않는 경우, 기판(W)을 처리 공간으로부터 반출하는 단계(S7)를 수행하고 처리가 종료될 수 있다. 처리 공간으로부터 반출된 기판(W)은 또다른 공정 유닛으로 반송되거나 기판 처리 장치의 외부로 반출될 수 있다.While the substrate treatment (S51) in a high-pressure atmosphere is being performed, a step (S52) of determining the presence or absence of a next process according to a recipe may be performed. If there is no subsequent process, the step of unloading the substrate W from the processing space (S7) may be performed and the processing may be terminated. The substrate W carried out from the processing space may be transported to another processing unit or transported out of the substrate processing apparatus.

고압 공정에서 후속 공정이 존재하는 경우, 터보 분자 펌프의 RPM을 측정하는 단계(S53)가 수행될 수 있다. 측정된 터보 분자 펌프의 RPM이 기 설정된 한계값(min(α)) 이하인 경우, 해당 터보 분자 펌프는 회복 대상으로 선택되고, 회복 단계(S6)가 수행될 수 있다.If there is a subsequent process in the high-pressure process, the step of measuring the RPM of the turbo molecular pump (S53) may be performed. When the measured RPM of the turbo molecular pump is less than or equal to the preset limit value (min(α)), the corresponding turbo molecular pump is selected as a recovery target, and a recovery step (S6) may be performed.

측정된 터보 분자 펌프의 RPM이 기 설정된 한계값(min(α))에 도달하지 않은 경우, 잔여 공정 시간을 확인하는 단계(S54)가 수행될 수 있다. 확인된 잔여 공정 시간이 기 설정된 한계값(min(β))에 도달한 경우, 해당 터보 분자 펌프는 회복 대상으로 선택되고, 회복 단계(S6)가 수행될 수 있다.When the measured RPM of the turbo molecular pump does not reach the predetermined limit value (min(α)), a step of checking the remaining process time (S54) may be performed. When the checked remaining process time reaches the predetermined limit value (min(β)), the corresponding turbo molecular pump is selected as a recovery target, and a recovery step (S6) may be performed.

터보 분자 펌프의 RPM을 측정하는 단계(S53)와 잔여 공정 시간을 확인하는 단계(S54)의 순서는 서로 바뀔 수 있다.The order of measuring the RPM of the turbo molecular pump (S53) and checking the remaining processing time (S54) may be interchanged.

회복 단계(S6)는 서브 밸브 개방 단계(S61), 서브 밸브가 개방된 상태의 터보 분자 펌프의 RPM을 측정하는 단계(S62), 서브 밸브 폐쇄 단계(S63)를 포함할 수 있다. 서브 밸브의 개방과 폐쇄는 밸브 컨트롤러(240)에 의하여 제어되고, 밸브 컨트롤러(240)는 회복 대상 터보 분자 펌프와 연결된 서브 밸브를 우선 순위에 따라 개방할 수 있다.The recovery step (S6) may include a sub-valve opening step (S61), a step of measuring the RPM of the turbo molecular pump with the sub-valve open (S62), and a sub-valve closing step (S63). The opening and closing of the sub-valve is controlled by the valve controller 240, and the valve controller 240 may open the sub-valves connected to the turbo molecular pump to be recovered according to priority.

서브 밸브가 개방됨에 따라, 회복 대상 터보 분자 펌프와 연결된 처리 공간이 서브 드라이 펌프를 통해 배기되고, 이에 따라 터보 분자 펌프의 RPM이 회복되게 된다. 서브 밸브가 개방된 상태는 회복 대상 터보 분자 펌프의 RPM이 설정값(γ) 이상의 값을 가질 때까지 수행될 수 있다. 설정값(γ)은 밸브 컨트롤러(240)에 미리 입력될 수 있다. 터보 분자 펌프의 RPM이 설정값(γ) 이상의 값을 가지게 되면, 밸브 컨트롤러(240)는 해당 터보 분자 펌프에 연결된 서브 밸브를 폐쇄할 수 있다(S63).As the sub-valve is opened, the processing space connected to the turbo-molecular pump to be recovered is exhausted through the sub-dry pump, and thus the RPM of the turbo-molecular pump is restored. The open state of the sub-valve may be performed until the RPM of the turbo molecular pump to be restored has a value greater than or equal to the set value γ. The set value γ may be input to the valve controller 240 in advance. When the RPM of the turbo molecular pump has a value greater than or equal to the set value γ, the valve controller 240 may close the sub-valve connected to the turbo molecular pump (S63).

서브 밸브 폐쇄 단계(S63)가 완료됨으로써 회복 단계(S6)가 종료되면 공정 선택 단계(S3)로 돌아가서 기판(W)에 대한 후속 공정을 실행할 수 있다.When the recovery step S6 is ended by completing the sub-valve closing step S63, the process selection step S3 may be returned to perform a subsequent process on the substrate W.

이상에서는 본 발명을 설명하였으나, 본 발명은 개시된 실시예 및 첨부된 도면에 의하여 한정되지 않으며 본 발명의 기술적 사상을 벗어나지 않는 범위 이내에서 통상의 기술자에 의하여 다양하게 변형될 수 있다. 또한, 본 발명의 실시예에서 설명한 기술적 사상은, 각각 독립적으로 실시될 수도 있고, 둘 이상이 서로 조합되어 실시될 수도 있다.Although the present invention has been described above, the present invention is not limited by the disclosed embodiments and the accompanying drawings, and may be variously modified by a person skilled in the art without departing from the technical spirit of the present invention. In addition, the technical ideas described in the embodiments of the present invention may be implemented independently, or two or more may be combined with each other.

201: 제1 압력 제어 밸브
202: 제1 배기 라인
203: 제2 압력 제어 밸브
204: 제2 배기 라인
210: 제1 진공 펌프(터보 분자 펌프)
220: 제2 진공 펌프(드라이 펌프)
222: 제1 밸브
224: 제2 밸브
230: 서브 진공 펌프(서브 드라이 펌프)
231: 서브 라인
232: 서브 밸브
240: 밸브 컨트롤러
201: first pressure control valve
202: first exhaust line
203: second pressure control valve
204: second exhaust line
210: first vacuum pump (turbo molecular pump)
220: second vacuum pump (dry pump)
222: first valve
224: second valve
230: sub vacuum pump (sub dry pump)
231: sub line
232: sub valve
240: valve controller

Claims (14)

일단이 처리 공간과 연결되는 제1 배기 라인 및 제2 배기 라인;
상기 제1 배기 라인 상에 제공되고 상기 처리 공간 내부의 압력을 조절하기 위한 제1 압력 제어 밸브;
상기 제1 압력 제어 밸브의 하부에 제공되고 상기 처리 공간을 배기하는 제1 진공 펌프;
상기 제2 배기 라인 상에 제공되고 상기 처리 공간 내부의 압력을 조절하기 위한 제2 압력 제어 밸브;
상기 제1 배기 라인 및 상기 제2 배기 라인의 타단에 연결되고 상기 처리 공간을 배기하는 제2 진공 펌프;
상기 제1 진공 펌프와 선택적으로 연결됨으로써 상기 처리 공간을 배기하는 서브 진공 펌프를 포함하는 배기 유닛.
a first exhaust line and a second exhaust line, one end of which is connected to the processing space;
a first pressure control valve provided on the first exhaust line and regulating a pressure inside the processing space;
a first vacuum pump provided below the first pressure control valve and evacuating the processing space;
a second pressure control valve provided on the second exhaust line and regulating a pressure inside the processing space;
a second vacuum pump connected to the other ends of the first exhaust line and the second exhaust line and exhausting the processing space;
and a sub vacuum pump selectively connected to the first vacuum pump to exhaust the processing space.
제1항에 있어서,
상기 서브 진공 펌프는 서브 라인을 통해 상기 제1 배기 라인과 연결되고,
상기 서브 라인 상에는 서브 밸브가 제공되는 배기 유닛.
According to claim 1,
The sub vacuum pump is connected to the first exhaust line through a sub line;
An exhaust unit provided with a sub valve on the sub line.
제2항에 있어서,
상기 서브 진공 펌프는 상기 서브 밸브의 개폐에 대응하여 상기 처리 공간을 선택적으로 배기하는 배기 유닛.
According to claim 2,
The sub vacuum pump selectively exhausts the processing space in response to opening and closing of the sub valve.
제3항에 있어서,
상기 제1 배기 라인은 상기 제1 진공 펌프와 상기 제2 진공 펌프 사이에 제공되는 제1 밸브를 포함하고,
상기 제2 배기 라인은 상기 제2 압력 제어 밸브와 상기 제2 진공 펌프 사이에 제공되는 제2 밸브를 포함하는 배기 유닛.
According to claim 3,
the first exhaust line includes a first valve provided between the first vacuum pump and the second vacuum pump;
The second exhaust line includes a second valve provided between the second pressure control valve and the second vacuum pump.
제4항에 있어서,
상기 처리 공간을 저압으로 제어하는 저압 공정을 수행하는 경우,
상기 제1 압력 제어 밸브 및 제1 밸브를 개방하고 상기 제2 압력 제어 밸브 및 제2 밸브를 폐쇄하여 상기 처리 공간 내 압력을 상기 제1 압력 제어 밸브에 의하여 제어하고, 상기 제1 배기 라인을 통해 상기 처리 공간을 배기하고,
상기 처리 공간을 고압으로 제어하는 고압 공정을 수행하는 경우,
상기 제1 압력 제어 밸브 및 제1 밸브를 폐쇄하고 상기 제2 압력 제어 밸브 및 제2 밸브를 개방하여 상기 처리 공간 내 압력을 상기 제2 압력 제어 밸브에 의하여 제어하고, 상기 제2 배기 라인을 통해 상기 처리 공간을 배기하는 배기 유닛.
According to claim 4,
In the case of performing a low-pressure process of controlling the processing space at a low pressure,
The first pressure control valve and the first valve are opened and the second pressure control valve and the second valve are closed to control the pressure in the processing space by the first pressure control valve, and through the first exhaust line. exhausting the processing space;
In the case of performing a high-pressure process of controlling the processing space at high pressure,
The first pressure control valve and the first valve are closed and the second pressure control valve and the second valve are opened to control the pressure in the processing space by the second pressure control valve, and through the second exhaust line. An exhaust unit for exhausting the processing space.
제5항에 있어서,
상기 고압 공정을 수행하는 때,
잔여 공정 시간이 기 설정된 한계값에 도달하거나 상기 제1 진공 펌프의 RPM이 기 설정된 한계값까지 강하되는 경우,
상기 서브 밸브를 개방하여 상기 서브 라인을 통해 상기 처리 공간을 배기하는 배기 유닛.
According to claim 5,
When performing the high-pressure process,
When the remaining process time reaches a preset limit value or the RPM of the first vacuum pump drops to a preset limit value,
An exhaust unit that opens the sub valve to exhaust the processing space through the sub line.
내부에 처리 공간을 가지며 상기 처리 공간 내에서 기판을 처리하는 하나 이상의 공정 유닛; 및
상기 공정 유닛과 일대일 연결되는 하나 이상의 배기 유닛을 포함하고,
상기 배기 유닛은,
일단이 처리 공간과 연결되는 제1 배기 라인 및 제2 배기 라인;
상기 제1 배기 라인 상에 제공되고 상기 처리 공간 내부의 진공 상태를 조절하기 위한 제1 압력 제어 밸브;
상기 제2 배기 라인 상에 제공되고 상기 처리 공간 내부의 진공 상태를 조절하기 위한 제2 압력 제어 밸브;
상기 제1 압력 제어 밸브의 하부에 제공되고 상기 처리 공간의 진공 상태를 유지하기 위해 상기 처리 공간을 배기하는 제1 진공 펌프; 및
상기 제1 배기 라인 및 상기 제2 배기 라인의 타단에 연결되고 상기 처리 공간을 배기하는 제2 진공 펌프를 포함하며,
일단이 상기 제1 배기 라인에 연결되는 하나 이상의 서브 라인 및 상기 서브 라인 타단에 연결되는 서브 진공 펌프를 더 포함하는 기판 처리 장치.
one or more processing units having a processing space therein and processing substrates within the processing space; and
Including one or more exhaust units connected one-to-one with the process unit,
The exhaust unit,
a first exhaust line and a second exhaust line, one end of which is connected to the processing space;
a first pressure control valve provided on the first exhaust line and regulating a vacuum state inside the processing space;
a second pressure control valve provided on the second exhaust line and regulating a vacuum state inside the processing space;
a first vacuum pump provided below the first pressure control valve and evacuating the processing space to maintain a vacuum state in the processing space; and
A second vacuum pump connected to the other ends of the first exhaust line and the second exhaust line and exhausting the processing space;
The substrate processing apparatus further includes at least one sub-line having one end connected to the first exhaust line and a sub-vacuum pump connected to the other end of the sub-line.
제7항에 있어서,
각각의 상기 서브 라인 상에는 서브 밸브가 제공되고,
상기 서브 진공 펌프는 상기 서브 밸브의 개폐에 따라 상기 처리 공간을 선택적으로 배기하는 기판 처리 장치.
According to claim 7,
A sub valve is provided on each of the sub lines,
The sub vacuum pump selectively exhausts the processing space according to opening and closing of the sub valve.
제8항에 있어서,
각각의 상기 서브 밸브의 개폐를 제어하는 밸브 컨트롤러를 더 포함하는 기판 처리 장치.
According to claim 8,
A substrate processing apparatus further comprising a valve controller controlling opening and closing of each of the sub-valves.
제9항에 있어서,
상기 밸브 컨트롤러는,
상기 공정 유닛 중 고압 공정이 수행되면서, 잔여 공정 시간이 기 설정된 한계값에 도달하거나 제1 진공 펌프의 RPM이 기 설정된 한계값 이하로 강하된 공정 유닛에 연결된 서브 밸브를 개방하는 기판 처리 장치.
According to claim 9,
The valve controller,
A substrate processing apparatus that opens a sub-valve connected to a process unit in which a high-pressure process is performed in the process unit, and the remaining process time reaches a preset limit value or the RPM of the first vacuum pump drops below the preset limit value.
처리 공간으로 처리 가스를 공급하는 가스 공급 단계;
제1 진공 펌프와 제2 진공 펌프를 통해 상기 처리 공간을 배기함과 동시에 상기 처리 공간을 저압으로 제어하는 저압 공정; 및
상기 제2 진공 펌프를 통해 상기 처리 공간을 배기함과 동시에 상기 처리 공간을 고압으로 제어하는 고압 공정을 포함하고
상기 고압 공정은 상기 제1 진공 펌프의 RPM을 회복하는 회복 단계를 선택적으로 포함하는 기판 처리 방법.
a gas supply step of supplying a processing gas into the processing space;
a low-pressure process of controlling the processing space to a low pressure while exhausting the processing space through a first vacuum pump and a second vacuum pump; and
and a high-pressure process of controlling the processing space to a high pressure while exhausting the processing space through the second vacuum pump.
The substrate processing method of claim 1 , wherein the high-pressure process optionally includes a recovery step of restoring RPM of the first vacuum pump.
제11항에 있어서,
상기 고압 공정은,
상기 제1 진공 펌프의 RPM을 측정하는 단계와
잔여 공정 시간을 확인하는 단계를 더 포함하는 기판 처리 방법.
According to claim 11,
The high-pressure process,
measuring the RPM of the first vacuum pump; and
A substrate processing method further comprising the step of checking the remaining process time.
제12항에 있어서,
상기 고압 공정은,
측정된 상기 제1 진공 펌프의 RPM이 기 설정된 한계값 이하로 강하되는 경우 또는 확인된 상기 잔여 공정 시간이 기 설정된 한계값에 도달하는 경우,
상기 회복 단계를 수행하는 기판 처리 방법.
According to claim 12,
The high-pressure process,
When the measured RPM of the first vacuum pump drops below a preset limit value or when the confirmed remaining process time reaches a preset limit value,
A substrate processing method for performing the recovery step.
제13항에 있어서,
상기 회복 단계는,
상기 제1 진공 펌프의 RPM이 설정값으로 회복될 때까지 상기 처리 공간을 상기 제1 진공 펌프에 연결된 서브 진공 펌프를 통해 배기하는 기판 처리 방법.
According to claim 13,
The recovery phase is
The substrate processing method of evacuating the processing space through a sub vacuum pump connected to the first vacuum pump until the RPM of the first vacuum pump is restored to a set value.
KR1020220050865A 2021-12-29 2022-04-25 Substrate processing apparatus with exhausting unit and substrate processing method with same KR20230101649A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20210190864 2021-12-29
KR1020210190864 2021-12-29

Publications (1)

Publication Number Publication Date
KR20230101649A true KR20230101649A (en) 2023-07-06

Family

ID=87185973

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220050865A KR20230101649A (en) 2021-12-29 2022-04-25 Substrate processing apparatus with exhausting unit and substrate processing method with same

Country Status (1)

Country Link
KR (1) KR20230101649A (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210020808A (en) 2019-08-15 2021-02-24 도쿄엘렉트론가부시키가이샤 Substrate processing method, pressure control apparatus and substrate processing system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210020808A (en) 2019-08-15 2021-02-24 도쿄엘렉트론가부시키가이샤 Substrate processing method, pressure control apparatus and substrate processing system

Similar Documents

Publication Publication Date Title
US11328904B2 (en) Substrate processing apparatus
JP5759718B2 (en) Plasma processing equipment
US7338576B2 (en) Plasma processing device
KR102380271B1 (en) Substrate processing apparatus and substrate processing method
JP7320874B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JP7213927B2 (en) Substrate processing system
TWI807049B (en) Method and apparatus for processing substrate
US20070105389A1 (en) Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program
JP7433164B2 (en) Substrate processing system
KR20200022681A (en) Buffer unit, Apparatus and Method for treating substrate with the unit
JP2019012732A (en) Plasma etching method and plasma etching apparatus
KR102297382B1 (en) System and method for treating substrate
KR20230101649A (en) Substrate processing apparatus with exhausting unit and substrate processing method with same
US20220208515A1 (en) Apparatus and method for treating substrate
KR101895931B1 (en) Apparatus and method for treating substrate
US20220139683A1 (en) Apparatus for controlling impedance and system for treating substrate with the apparatus
US20240021418A1 (en) Apparatus for treating substrate
KR20230063746A (en) Substrate processing apparatus and substrate processing method
US20240071783A1 (en) Apparatus for treating substrate
JP2008227506A (en) Substrate treatment method
KR20220063520A (en) Apparatus for treating substrate
KR20230032622A (en) Apparatus for treating substrate and method for aligning dielectric plate using the same
KR20230063743A (en) Substrate processing apparatus and substrate processing method
KR20230071649A (en) Substrate treating apparatus and method thereof
KR20230151586A (en) Substrate processing apparatus and substrate processing method including a gas supply unit