KR20230071715A - Photoresist composition using nano-particle for euv/beuv and method for forming pattern using the same - Google Patents

Photoresist composition using nano-particle for euv/beuv and method for forming pattern using the same Download PDF

Info

Publication number
KR20230071715A
KR20230071715A KR1020220120991A KR20220120991A KR20230071715A KR 20230071715 A KR20230071715 A KR 20230071715A KR 1020220120991 A KR1020220120991 A KR 1020220120991A KR 20220120991 A KR20220120991 A KR 20220120991A KR 20230071715 A KR20230071715 A KR 20230071715A
Authority
KR
South Korea
Prior art keywords
photoresist composition
photoresist
nanoparticles
pattern
photosensitive layer
Prior art date
Application number
KR1020220120991A
Other languages
Korean (ko)
Inventor
조힘찬
이재환
Original Assignee
한국과학기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국과학기술원 filed Critical 한국과학기술원
Publication of KR20230071715A publication Critical patent/KR20230071715A/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

개시된 포토레지스트 조성물은, 반도체 나노입자 및 용매를 포함한다. 상기나노입자는 열역학적 준안정성과 단분산성 및 작은 단위체를 가지며, 무기 리간드를 포함할 수 있다. 따라서, EUV(extreme UV) 및 BEUV(beyond EUV)에 대하여 높은 반응성을 가질 수 있다. 이에 따라, 노광 영역과 비노광 영역의 용해도 차이를 증가시킬 수 있으므로, 종래의 무기 포토레지스트 조성물보다 패턴 정밀도를 향상시킬 수 있다. 또한, 높아진 감광성으로 인해 포토레지스트 패턴 형성에 요구되는 노광 dose를 줄일 수 있기 때문에 리소그래피 공정의 생산성을 향상시킬 수 있다.The disclosed photoresist composition includes semiconductor nanoparticles and a solvent. The nanoparticles have thermodynamic metastability, monodispersity, and small units, and may include inorganic ligands. Accordingly, it may have high reactivity to extreme UV (EUV) and beyond EUV (BEUV). Accordingly, since the solubility difference between the exposed area and the unexposed area can be increased, pattern accuracy can be improved compared to the conventional inorganic photoresist composition. In addition, since an exposure dose required for forming a photoresist pattern can be reduced due to increased photosensitivity, productivity of a lithography process can be improved.

Description

나노입자를 활용한 EUV/BEUV용 포토레지스트 조성물 및 이를 이용한 패턴 형성 방법{PHOTORESIST COMPOSITION USING NANO-PARTICLE FOR EUV/BEUV AND METHOD FOR FORMING PATTERN USING THE SAME}Photoresist composition for EUV/BEUV using nanoparticles and pattern formation method using the same

본 발명은 포토레지스트 조성물에 관한 것이다. 보다 상세하게는, 나노입자를 활용한 EUV/BEUV용 포토레지스트 조성물 및 이를 이용한 패턴 방법에 관한 것이다.The present invention relates to photoresist compositions. More specifically, it relates to a photoresist composition for EUV/BEUV using nanoparticles and a pattern method using the same.

반도체 미세 패터닝 공정은 반도체 제조를 위한 핵심적 공정이며, 최근에는 EUV(extreme UV) 공정 기반으로 하이엔드 공정이 개발되고 있다. Semiconductor fine patterning process is a key process for semiconductor manufacturing, and recently, a high-end process based on EUV (extreme UV) process is being developed.

EUV 리소그래피는 약 13.5nm (92.5eV)의 단파장 빛을 사용함으로써, 기존 Deep UV (254nm) 기반 포토리소그래피의 파장에서 기인하는 광학적 해상도 한계를 극복하여 작게는 수 nm 수준의 초미세 패턴을 형성할 수 있다. 최근 FinFET(Fin field effect transistor)나 Gate all around FET(GAA-FET) 등의 차세대 반도체 소자에 있어서 5nm 노드, 더 나아가서 3nm 노드의 패턴을 형성해야 할 필요가 생기게 되면서 EUV 리소그래피는 미래 반도체 산업의 중요한 기술로 평가받고 있다. EUV lithography uses short-wavelength light of about 13.5 nm (92.5 eV), thereby overcoming the optical resolution limit caused by the wavelength of the existing Deep UV (254 nm)-based photolithography, and can form ultra-fine patterns as small as several nm. there is. Recently, as the need to form patterns of the 5nm node and furthermore the 3nm node has arisen in next-generation semiconductor devices such as FinFET (Fin field effect transistor) and Gate all around FET (GAA-FET), EUV lithography has become an important aspect of the future semiconductor industry. technology is evaluated.

EUV/BEUV 기반 패터닝의 메커니즘은 기존의 광원 기반의 패터닝 메커니즘과 근본적인 차이를 가지고 있다. 기존 리소그래피에서는 광원에 의해 포토애시드 제너레이터 분자의 전자가 들뜬 상태로 전이되어 산을 생성하고, 그에 따라 고분자 연쇄 반응이 일어나 패턴된 부분의 용해도(solubility)가 변화하게 된다. 그러나 EUV/BEUV에서는 광원의 에너지가 유기물의 이온화 퍼텐셜을 넘어서기 때문에 2차전자가 연쇄적으로 다량 형성되고, 그에 따라 산 생성 및 연쇄반응이 진행된다. 따라서 생성된 전자와 홀에 대한 반응성을 기반으로 포토레지스트를 근본적으로 다시 설계해야할 필요가 있다. The EUV/BEUV-based patterning mechanism has a fundamental difference from the existing light source-based patterning mechanism. In conventional lithography, electrons of photoacid generator molecules are transferred to an excited state by a light source to generate an acid, which causes a polymer chain reaction to change the solubility of the patterned portion. However, in EUV/BEUV, since the energy of the light source exceeds the ionization potential of organic matter, a large amount of secondary electrons are formed in a chain, and acid generation and chain reactions proceed accordingly. Therefore, it is necessary to fundamentally redesign the photoresist based on the reactivity to the generated electrons and holes.

현재 대표적인 EUV 레지스트인 화학적 증폭 레지스트는 유기물 기반 고분자 소재이므로 EUV 공정에 있어서는 여러 가지 한계점을 가진다. 낮은 광민감도는 2차 전자(secondary electron)에 의한 더 많은 추계 효과(stochastic defect)를 만들고, 라인 거칠기를 증가시킨다. 특히, 기존의 유기물 포토레지스트는 구현하고자 하는 패턴 선폭에 비해, 패턴 모양이 유지되기 위한 한계 두께가 높기 때문에 현상(Develop)시 패턴 붕괴 현상이 일어나게 된다. 또한, 유기물 기반 포토레지스트는 반데르발스 힘에 의해 분자간 결합되는 유기물 특성상 기계적 특성(강도 등) 및 화학적 안정성이 무기물보다 떨어지기 때문에, 포토레지스트 패턴 형성 후 엣칭(etching)을 진행할 때 무기물 대비 훨씬 더 깎여나가 형성하고자 하는 타겟 물질 패턴의 해상도 및 균일도를 저하할 수 있다. 더욱이, 형성하고자 하는 패턴의 크기가 작아질수록 앞서 언급된 포토레지스트 패턴 붕괴 현상을 방지하여야 하므로 포토레지스트 박막의 두께가 줄어들어야만 하는데, 유기물의 낮은 내에칭성은 포토레지스트 박막의 두께를 줄이는데 한계를 부여한다. Chemically amplified resists, which are currently representative EUV resists, are organic-based polymer materials, and thus have several limitations in the EUV process. Low photosensitivity creates more stochastic defects by secondary electrons and increases line roughness. In particular, since conventional organic photoresists have a higher limit thickness for maintaining a pattern shape than the pattern line width to be implemented, pattern collapse occurs during development. In addition, since organic material-based photoresists have lower mechanical properties (strength, etc.) and chemical stability than inorganic materials due to the nature of organic materials that are bonded between molecules by van der Waals forces, etching is much more effective than inorganic materials during etching after photoresist pattern formation. The resolution and uniformity of the target material pattern to be shaved off may be reduced. Moreover, as the size of the pattern to be formed decreases, the photoresist pattern collapse phenomenon mentioned above must be prevented, so the thickness of the photoresist thin film must be reduced. do.

최근, 이러한 문제점들을 보완한 차세대 EUV용 포토레지스트 소재로서 금속 산화물 포토레지스트가 개발되고 있다. 금속 산화물 포토레지스트는 조립 블록(building block)이 작고 균일하며, 높은 EUV 흡수율을 가진다는 장점 때문에 반도체 시장에서 많은 주목을 받고 있다. 그러나, 여전히 EUV 리소그래피에서 구현하고자 하는 10-15 nm 크기 수준의 패턴을 형성하고자 할 시 산소 원소가 가지는 낮은 EUV 흡광계수, 낮은 감광성 및 EUV 기반 패터닝 메커니즘에 대해 포토레지스트 소재의 최적화가 아직 이루어지지 않았다는 문제가 있다 (해당 광반응 메커니즘에 대한 감도가 높지 않음). 특히, 상기 언급된 낮은 감광성은 실제 생산 공정에서의 생산성 저하(요구되는 EUV 노광 시간이 길어지므로)로 직결되기 때문에 큰 한계점으로 지적되고 있다. 따라서, 새로운 조성을 가지는 EUV 포토레지스트 개발을 통해 EUV 감광성, 표면/라인 거칠기, 내에칭성, 한계 두께 문제를 해결해야 할 필요가 있다.Recently, a metal oxide photoresist has been developed as a photoresist material for next-generation EUV to overcome these problems. Metal oxide photoresist has attracted a lot of attention in the semiconductor market because of its advantages of small and uniform building blocks and high EUV absorption. However, when forming a pattern of the size level of 10-15 nm, which is still desired to be implemented in EUV lithography, the optimization of the photoresist material for the low EUV absorption coefficient and low photosensitivity of the oxygen element and the EUV-based patterning mechanism has not yet been achieved. There is a problem (sensitivity to the photoresponse mechanism is not high). In particular, the above-mentioned low photosensitivity is pointed out as a major limitation because it directly leads to a decrease in productivity in the actual production process (because the required EUV exposure time increases). Therefore, it is necessary to solve the problems of EUV photosensitivity, surface/line roughness, etch resistance, and limiting thickness through the development of an EUV photoresist having a new composition.

(1) 대한민국특허공개 2016-0033855호(1) Korean Patent Publication No. 2016-0033855 (2) 대한민국특허공개 2016-0063746호(2) Korean Patent Publication No. 2016-0063746

본 발명의 일 과제는, EUV/BEUV 포토리소그래피에 사용될 수 있는 포토레지스트 조성물을 제공하는 것이다.One object of the present invention is to provide a photoresist composition that can be used for EUV/BEUV photolithography.

본 발명의 다른 과제는 상기 포토레지스트 조성물을 이용한 패턴 형성 방법을 제공하는 것이다.Another object of the present invention is to provide a pattern forming method using the photoresist composition.

다만, 본 발명이 해결하고자 하는 과제는 상기 언급된 과제에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.However, the problem to be solved by the present invention is not limited to the above-mentioned problem, and may be expanded in various ways without departing from the spirit and scope of the present invention.

상술한 본 발명의 일 과제를 달성하기 위한 본 발명의 예시적인 실시예들에 따른 포토레지스트 조성물은, 반도체 나노입자 및 용매를 포함한다.A photoresist composition according to exemplary embodiments of the present invention for achieving the above-described object of the present invention includes semiconductor nanoparticles and a solvent.

일 실시예에 따르면, 상기 반도체 나노입자는 0.1nm 내지 10nm의 크기를 가지며, ±15%의 크기 분포를 갖는다.According to one embodiment, the semiconductor nanoparticles have a size of 0.1 nm to 10 nm and have a size distribution of ±15%.

일 실시예에 따르면, 상기 반도체 나노입자는 1nm 내지 2nm의 크기를 갖는다.According to one embodiment, the semiconductor nanoparticle has a size of 1 nm to 2 nm.

일 실시예에 따르면, 상기 반도체 나노입자는 II-VI족 화합물 및 III-V족 화합물중 적어도 하나를 포함한다.According to one embodiment, the semiconductor nanoparticle includes at least one of a group II-VI compound and a group III-V compound.

일 실시예에 따르면, 상기 포토레지스트 조성물은, 오늄염, 방향족 디아조늄염, 술포늄염, 트리아릴술포늄염, 디아릴술포늄염, 모노릴술포늄염, 요오드염, 디아릴요오드염, 니트로벤질 에스테르, 디술폰, 디아조디술폰, 술포네이트 및 트리클로로메틸 트리아진 중 적어도 하나를 포함하는 광산 발생제를 더 포함한다.According to one embodiment, the photoresist composition may include an onium salt, an aromatic diazonium salt, a sulfonium salt, a triarylsulfonium salt, a diarylsulfonium salt, a monoylsulfonium salt, an iodine salt, a diaryliodide salt, a nitrobenzyl ester, and a photoacid generator including at least one of disulfone, diazodisulfone, sulfonate and trichloromethyl triazine.

일 실시예에 따르면, 상기 나노입자에 대한 상기 광산 발생제의 중량비는 0.01:1 내지 0.1:1이다.According to one embodiment, the weight ratio of the photoacid generator to the nanoparticles is 0.01:1 to 0.1:1.

일 실시예에 따르면, 상기 반도체 나노입자의 함량은 0.1중량% 내지 10 중량%이다.According to one embodiment, the content of the semiconductor nanoparticles is 0.1% by weight to 10% by weight.

일 실시예에 따르면, 상기 반도체 나노입자는 표면에 결합된 유기 리간드를 포함한다.According to one embodiment, the semiconductor nanoparticle includes an organic ligand bound to a surface.

일 실시예에 따르면, 상기 반도체 나노입자는 표면에 결합된 금속 원소 기반의 무기 리간드를 포함한다.According to one embodiment, the semiconductor nanoparticle includes a metal element-based inorganic ligand bonded to a surface.

본 발명의 예시적인 실시예들에 따른 패턴 형성 방법은, 타겟층 위에 상기 포토레지스트 조성물을 코팅하여 감광성층을 형성하는 단계, 상기 감광성층에 부분적으로 광을 조사하는 단계, 상기 광이 조사된 감광성층을 현상하여 포토레지스트 패턴을 형성하는 단계 및 상기 포토레지스트 패턴을 마스크로 이용하여 상기 타겟층을 식각하는 단계를 포함한다.A pattern forming method according to exemplary embodiments of the present invention includes forming a photosensitive layer by coating the photoresist composition on a target layer, partially irradiating light to the photosensitive layer, and irradiating the photosensitive layer with the light. forming a photoresist pattern by developing and etching the target layer using the photoresist pattern as a mask.

일 실시예에 따르면, 상기 광은 6.0nm 내지 7.0nm 또는 13.0nm 내지 14.0nm의 파장을 갖는다.According to one embodiment, the light has a wavelength of 6.0 nm to 7.0 nm or 13.0 nm to 14.0 nm.

일 실시예에 따르면, 상기 포토레지스트 패턴의 두께는 1 nm 내지 40nm이고, 두께 균일도는 10% 이하이고, 2nm 이하의 RMS 조도를 갖는다.According to one embodiment, the photoresist pattern has a thickness of 1 nm to 40 nm, a thickness uniformity of 10% or less, and an RMS roughness of 2 nm or less.

본 발명의 예시적인 실시예들에 따른 패턴 형성 방법은, 기판 위에 상기 포토레지스트 조성물을 코팅하여 감광성층을 형성하는 단계, 상기 감광성층에 부분적으로 광을 조사하는 단계, 상기 광이 조사된 감광성층을 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 기판과 상기 포토레지스트 패턴 위에 타겟층을 형성하는 단계 및 상기 포토레지스트 패턴을 제거하여 상기 포토레지스트 패턴 위에 배치된 상기 타겟층을 제거하는 단계를 포함한다.A pattern forming method according to exemplary embodiments of the present invention includes forming a photosensitive layer by coating the photoresist composition on a substrate, partially irradiating light to the photosensitive layer, and irradiating the photosensitive layer with the light. forming a photoresist pattern by developing, forming a target layer on the substrate and the photoresist pattern, and removing the target layer disposed on the photoresist pattern by removing the photoresist pattern.

상술한 바와 같이 본 발명의 예시적인 실시예들에 따르면, 포토레지스트 조성물의 나노입자는 열역학적 준안정성과 단분산성을 갖는다. 따라서, 차세대 노광 공정의 광원인 EUV(extreme UV) 및 BEUV(beyond EUV)에 대하여 높은 반응성을 가질 수 있다. 이에 따라, 노광 영역과 비노광 영역의 용해도 차이를 증가시킬 수 있으므로, 종래의 무기 포토레지스트 조성물보다 패턴 정밀도를 향상시킬 수 있다. As described above, according to exemplary embodiments of the present invention, the nanoparticles of the photoresist composition have thermodynamic metastability and monodispersity. Accordingly, it may have high reactivity to extreme UV (EUV) and beyond EUV (BEUV), which are light sources of a next-generation exposure process. Accordingly, since the solubility difference between the exposed area and the unexposed area can be increased, pattern accuracy can be improved compared to the conventional inorganic photoresist composition.

또한, 상기 나노입자는 나노클러스터 구조를 가짐으로써, 얇은 두께, 높은 균일도 및 낮은 라인 거칠기를 갖는 포토레지스트를 형성할 수 있다.In addition, since the nanoparticles have a nanocluster structure, a photoresist having a thin thickness, high uniformity, and low line roughness may be formed.

또한, 상기 포토레지스트 조성물로 형성된 포토레지스트 패턴은 높은 내에칭성, 낮은 표면 조도 및 우수한 두께 균일도를 가짐에 따라, 포토레지스트의 신뢰성을 향상시킬 수 있으며, 10nm 이하의 나노 박막 포토레지스트를 형성할 수 있다. 이에 따라, BEUV용 포토레지스트로 확장을 기대할 수 있다.In addition, as the photoresist pattern formed from the photoresist composition has high etching resistance, low surface roughness, and excellent thickness uniformity, the reliability of the photoresist can be improved, and a nano-thin film photoresist of 10 nm or less can be formed. there is. Accordingly, expansion into photoresist for BEUV can be expected.

또한, 합성 방법에 따라 나노입자의 크기를 조절함으로써, 포토레지스트의 특성 및 두께를 용이하게 조절할 수 있다.In addition, by controlling the size of the nanoparticles according to the synthesis method, the properties and thickness of the photoresist can be easily controlled.

또한, 나노입자 합성 시 혹은 합성 이후 별도의 리간드 교환 공정을 통해서 금속 원소 기반 무기 리간드로 치환하여 EUV 및 BEUV에 대한 감광도, 반응성 및 그에 따른 용해도 차이를 더욱 증폭시킬 수 있다. In addition, it is possible to further amplify the photosensitivity and reactivity to EUV and BEUV and thus the difference in solubility by substituting the nanoparticle with a metal element-based inorganic ligand through a separate ligand exchange process during or after synthesis of the nanoparticle.

다만, 본 발명에서 얻을 수 있는 효과는 상기 효과들로 한정되지 않으며, 또 다른 효과들이 존재할 수 있다.However, the effects obtainable in the present invention are not limited to the above effects, and other effects may exist.

도 1은 본 발명의 일 실시예에 따른 포토레지스트 조성물의 나노입자를 도시한 모식도이다.
도 2a, 도 2b, 도 2c, 도 2d 및 도 2e는 본 발명의 일 실시예에 따른 패턴 형성 방법을 도시한 단면도들이다.
도 3a, 도 3b, 도 3c, 도 3d 및 도 3e는 본 발명의 다른 실시예에 따른 패턴 형성 방법을 도시한 단면도들이다.
도 4는 합성예 1에서 얻어진 ZnS 나노입자 분산용액의 UV에 의한 발광을 보여주는 디지털 사진이다.
도 5는 합성예 1에서 얻어진 ZnS 나노입자 분산용액의 UV에 의한 발광 스펙트럼을 시간에 따라 보여주는 그래프들이다.
도 6은 실시예 1의 포토레지스트 조성물을 이용하여 얻어진 포토레지스트 패턴을 보여주는 SEM(주사전자현미경) 사진이다.
도 7은 실시예 1의 포토레지스트 조성물을 이용하여 얻어진 포토레지스트 패턴(선폭 4㎛)의 단면 프로파일을 AFM(atomic force microscope)로 측정한 결과를 보여주는 그래프이다.
도 8은 실시예 1 및 실시예 2의 조성물을 이용하여 형성된 포토레지스트 패턴을 보여주는 SEM 사진들이다.
1 is a schematic diagram showing nanoparticles of a photoresist composition according to an embodiment of the present invention.
2a, 2b, 2c, 2d and 2e are cross-sectional views illustrating a pattern forming method according to an embodiment of the present invention.
3A, 3B, 3C, 3D, and 3E are cross-sectional views illustrating a pattern forming method according to another embodiment of the present invention.
4 is a digital photograph showing light emission by UV of the ZnS nanoparticle dispersion solution obtained in Synthesis Example 1.
5 is graphs showing the emission spectrum of the ZnS nanoparticle dispersion obtained in Synthesis Example 1 by UV over time.
6 is a SEM (scanning electron microscope) photograph showing a photoresist pattern obtained using the photoresist composition of Example 1.
7 is a graph showing results obtained by measuring a cross-sectional profile of a photoresist pattern (line width: 4 μm) obtained using the photoresist composition of Example 1 with an atomic force microscope (AFM).
8 are SEM pictures showing photoresist patterns formed using the compositions of Examples 1 and 2.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 따른 3차원 금속 나노 쉘 구조의 제조 방법에 대하여 상세히 설명한다. 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 예시하고 본문에 상세하게 설명하고자 한다. 그러나 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. Hereinafter, a method for manufacturing a three-dimensional metal nanoshell structure according to an embodiment of the present invention will be described in detail with reference to the accompanying drawings. Since the present invention can have various changes and various forms, specific embodiments are exemplified and described in detail in the text. However, this is not intended to limit the present invention to a specific form disclosed, and should be understood to include all modifications, equivalents, and substitutes included in the spirit and scope of the present invention. In the accompanying drawings, the dimensions of the structures are shown enlarged than actual for clarity of the present invention.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.Terms used in this application are only used to describe specific embodiments, and are not intended to limit the present invention. Singular expressions include plural expressions unless the context clearly dictates otherwise. In this application, the terms "include" or "have" are intended to designate that there is a feature, number, step, operation, component, or combination thereof described in the specification, but one or more other features or numbers However, it should be understood that it does not preclude the presence or addition of steps, operations, components, or combinations thereof.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.Unless defined otherwise, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art to which the present invention belongs. Terms such as those defined in commonly used dictionaries should be interpreted as having a meaning consistent with the meaning in the context of the related art, and unless explicitly defined in the present application, they should not be interpreted in an ideal or excessively formal meaning. don't

포토레지스트 조성물photoresist composition

도 1은 본 발명의 일 실시예에 따른 포토레지스트 조성물의 나노입자를 도시한 모식도이다.1 is a schematic diagram showing nanoparticles of a photoresist composition according to an embodiment of the present invention.

본 발명의 일 실시예에 따른 포토레지스트 조성물은 나노입자(10)를 포함한다. 상기 포토레지스트 조성물은 무기물 기반의 조성물이다. 따라서, 상기 포토레지스트 조성물은 용매, 광산발생제 등으로 사용되는 단분자 유기물질을 제외한 고분자 유기물질 또는 고분자 유기물질을 형성할 수 있는 모노머 등을 포함하지 않을 수 있다.A photoresist composition according to an embodiment of the present invention includes nanoparticles 10 . The photoresist composition is an inorganic material-based composition. Accordingly, the photoresist composition may not contain a polymeric organic material or a monomer capable of forming a polymeric organic material, except for a single molecular organic material used as a solvent, a photoacid generator, and the like.

상기 나노입자는 반도체 화합물을 포함할 수 있다. 예를 들어, 상기 나노입자는, II-VI족 화합물(금속 칼코제나이드), III-V족 화합물 또는 이들의 조합을 포함할 수 있다. The nanoparticle may include a semiconductor compound. For example, the nanoparticle may include a II-VI compound (metal chalcogenide), a III-V compound, or a combination thereof.

예를 들어, 상기 II-VI족 화합물은 카드뮴 설파이드(CdS), 카드뮴 셀레나이드(CdSe), 카드뮴 텔레나이드(CdTe), 아연 설파이드(ZnS), 아연 셀레나이드(ZnSe), 아연 텔레나이드(ZnTe), 수은 설파이드(HgS), 수은 셀레나이드(HgSe), 수은 텔레나이드(HgTe), 아연 옥사이드(ZnO), 카드뮴 옥사이드(CdO), 수은 옥사이드(HgO), 카드뮴 셀레늄 설파이드(CdSeS), 카드뮴 셀레늄 텔레나이드(CdSeTe), 카드뮴 설파이드텔레나이드(CdSTe), 카드뮴 아연 설파이드(CdZnS), 카드뮴 아연 셀레나이드(CdZnSe), 카드뮴 설파이드셀레나이드(CdSSe), 카드뮴 아연 텔레나이드(CdZnTe), 카드뮴 수은 설파이드(CdHgS), 카드뮴 수은 셀레나이드(CdHgSe), 카드뮴 수은 텔레나이드(CdHgTe), 아연 셀레늄 설파이드(ZnSeS), 아연 셀레늄 텔레나이드(ZnSeTe), 아연 설파이드텔레나이드(ZnSTe), 수은 셀레늄 설파이드(HgSeS), 수은 셀레늄 텔레나이드(HgSeTe), 수은 설파이드 텔레나이드(HgSTe), 수은 아연 설파이드(HgZnS), 수은 아연 셀레나이드(HgZnSe), 카드뮴 아연 옥사이드(CdZnO), 카드뮴 수은 옥사이드(CdHgO), 아연 수은 옥사이드(ZnHgO), 아연 셀레늄 옥사이드(ZnSeO), 아연 텔레늄 옥사이드(ZnTeO), 아연 설파이드옥사이드(ZnSO), 카드뮴 셀레늄 옥사이드(CdSeO), 카드뮴 텔레늄옥사이드(CdTeO), 카드뮴 설파이드옥사이드(CdSO), 수은 셀레늄 옥사이드(HgSeO), 수은 텔레늄 옥사이드(HgTeO), 수은 설파이드옥사이드(HgSO), 카드뮴 아연 셀레늄 설파이드(CdZnSeS), 카드뮴 아연 셀레늄 텔레나이드(CdZnSeTe), 카드뮴 아연 설파이드텔레나이드(CdZnSTe), 카드뮴 수은 셀레늄 설파이드(CdHgSeS), 카드뮴 수은 셀레늄 텔레나이드(CdHgSeTe), 카드뮴 수은 설파이드텔레나이드(CdHgSTe), 수은 아연 셀레늄 설파이드(HgZnSeS), 수은 아연 셀레늄 텔레나이드(HgZnSeTe), 수은 아연 설파이드 텔레나이드(HgZnSTe), 카드뮴 아연 셀레늄 옥사이드(CdZnSeO), 카드뮴 아연 텔레늄 옥사이드(CdZnTeO), 카드뮴 아연 설파이드옥사이드(CdZnSO), 카드뮴 수은 셀레늄 옥사이드(CdHgSeO), 카드뮴 수은 텔레늄옥사이드(CdHgTeO), 카드뮴 수은 설파이드옥사이드(CdHgSO), 아연 수은 셀레늄 옥사이드(ZnHgSeO), 아연 수은 텔레늄 옥사이드(ZnHgTeO), 아연 수은 설파이드 옥사이드(ZnHgSO) 등을 포함할 수 있다. For example, the II-VI compound may be cadmium sulfide (CdS), cadmium selenide (CdSe), cadmium telenide (CdTe), zinc sulfide (ZnS), zinc selenide (ZnSe), zinc telenide (ZnTe) , mercury sulfide (HgS), mercury selenide (HgSe), mercury telenide (HgTe), zinc oxide (ZnO), cadmium oxide (CdO), mercury oxide (HgO), cadmium selenium sulfide (CdSeS), cadmium selenium telenide (CdSeTe), Cadmium Sulfide Selenide (CdSTe), Cadmium Zinc Sulfide (CdZnS), Cadmium Zinc Selenide (CdZnSe), Cadmium Sulfide Selenide (CdSSe), Cadmium Zinc Telenide (CdZnTe), Cadmium Mercury Sulfide (CdHgS), Cadmium Mercury Selenide (CdHgSe), Cadmium Mercury Selenide (CdHgTe), Zinc Selenium Sulfide (ZnSeS), Zinc Selenium Tellenide (ZnSeTe), Zinc Sulfide Tellenide (ZnSTe), Mercury Selenium Sulfide (HgSeS), Mercury Selenium Tellenide (HgSeTe), mercury sulfide telenide (HgSTe), mercury zinc sulfide (HgZnS), mercury zinc selenide (HgZnSe), cadmium zinc oxide (CdZnO), cadmium mercury oxide (CdHgO), zinc mercury oxide (ZnHgO), zinc selenium Oxide (ZnSeO), Zinc Tellenium Oxide (ZnTeO), Zinc Sulfide Oxide (ZnSO), Cadmium Selenium Oxide (CdSeO), Cadmium Tellenium Oxide (CdTeO), Cadmium Sulfide Oxide (CdSO), Mercury Selenium Oxide (HgSeO), Mercury Tellenium Oxide (HgTeO), Mercury Sulfide Oxide (HgSO), Cadmium Zinc Selenium Sulfide (CdZnSeS), Cadmium Zinc Selenium Tellenide (CdZnSeTe), Cadmium Zinc Sulfide Tellenide (CdZnSTe), Cadmium Mercury Selenium Sulfide (CdHgSeS), Cadmium Mercury Selenium Telenide (CdHgSeTe), Cadmium Mercury Sulfide Telenide (CdHgSTe), Mercury Zinc Selenium Sulfide (HgZnSeS), Mercury Zinc Selenium Telenide (HgZnSeTe), Mercury Zinc Sulfide Telenide (HgZnSTe), Cadmium Zinc Selenium Oxide (CdZnSeO), Cadmium Zinc Tellenium Oxide (CdZnTeO), Cadmium Zinc Sulfide Oxide (CdZnSO), Cadmium Mercury Selenium Oxide (CdHgSeO), Cadmium Mercury Selenium Oxide (CdHgTeO), Cadmium Mercury Sulfide Oxide (CdHgSO), Zinc Mercury Selenium Oxide (ZnHgSeO), zinc mercury tellelium oxide (ZnHgTeO), zinc mercury sulfide oxide (ZnHgSO), and the like.

예를 들어, 상기 III-V족 화합물은, 갈륨 포스포러스 (GaP), 갈륨 아세나이드(GaAs), 갈륨 안티모니(GaSb), 갈륨 니트라이드(GaN), 알루미늄 포스포러스 (AlP), 알루미늄 아세나이드(AlAs), 알루미늄 안티모니(AlSb), 알루미늄 니트라이드(AlN), 인듐 포스포러스 (InP), 인듐 아세나이드(InAs), 인듐 안티모니(InSb), 인듐 니트라이드(InN), 갈륨 포스포러스 아세나이드(GaPAs), 갈륨 포스포러스 안티모니(GaPSb), 갈륨 포스포러스 니트라이드(GaPN), 갈륨 아세나이드니트라이드(GaAsN), 갈륨 안티모니니트라이드(GaSbN), 알루미늄 포스포러스 아세나이드(AlPAs), 알루미늄 포스포러스 안티모니(AlPSb), 알루미늄 포스포러스 니트라이드(AlPN), 알루미늄 아세나이드니트라이드(AlAsN), 알루미늄 안티모니니트라이드(AlSbN), 인듐 포스포러스 아세나이드(InPAs), 인듐 포스포러스 안티모니(InPSb), 인듐 포스포러스 니트라이드(InPN), 인듐 아세나이드니트라이드(InAsN), 인듐 안티모니 니트라이드(InSbN), 알루미늄 갈륨 포스포러스 (AlGaP), 알루미늄 갈륨 아세나이드(AlGaAs), 알루미늄 갈륨 안티모니(AlGaSb), 알루미늄 갈륨 니트라이드(AlGaN), 알루미늄 아세나이드 니트라이드(AlAsN), 알루미늄 안티모니 니트라이드(AlSbN), 인듐 갈륨 포스포러스 (InGaP), 인듐 갈륨 아세나이드(InGaAs), 인듐 갈륨 안티모니(InGaSb), 인듐 갈륨 니트라이드(InGaN), 인듐 아세나이드니트라이드(InAsN), 인듐 안티모니 니트라이드(InSbN), 알루미늄 인듐 포스포러스 (AlInP), 알루미늄 인듐 아세나이드(AlInAs), 알루미늄 인듐 안티모니(AlInSb), 알루미늄 인듐 니트라이드(AlInN), 알루미늄 아세나이드 니트라이드(AlAsN), 알루미늄 안티모니 니트라이드(AlSbN), 알루미늄 포스포러스 니트라이드(AlPN), 갈륨 알루미늄 포스포러스 아세나이드(GaAlPAs), 갈륨 알루미늄 포스포러스 안티모니(GaAlPSb), 갈륨 인듐 포스포러스 아세나이드(GaInPAs), 갈륨 인듐 알루미늄 아세나이드(GaInAlAs), 갈륨 알루미늄 포스포러스 니트라이드(GaAlPN), 갈륨 알루미늄 아세나이드 니트라이드(GaAlAsN), 갈륨 알루미늄 안티모니 니트라이드(GaAlSbN), 갈륨 인듐 포스포러스 니트라이드(GaInPN), 갈륨 인듐 아세나이드 니트라이드(GaInAsN), 갈륨 인듐 알루미늄 니트라이드(GaInAlN), 갈륨 안티모니포스포러스 니트라이드(GaSbPN), 갈륨 아세나이드포스포러스 니트라이드(GaAsPN), 갈륨 아세나이드안티모니니트라이드(GaAsSbN), 갈륨 인듐 포스포러스 안티모니(GaInPSb), 갈륨 인듐 포스포러스 니트라이드(GaInPN), 갈륨 인듐 안티모니 니트라이드(GaInSbN), 갈륨 포스포러스 안티모니 니트라이드(GaPSbN), 인듐 알루미늄 포스포러스 아세나이드(InAlPAs), 인듐 알루미늄 포스포러스 니트라이드(InAlPN), 인듐 포스포러스 아세나이드 니트라이드(InPAsN), 인듐 알루미늄 안티모니 니트라이드(InAlSbN), 인듐 포스포러스 안티모니 니트라이드(InPSbN), 인듐 아세나이드 안티모니 니트라이드(InAsSbN) 및 인듐 알루미늄 포스포러스 안티모니(InAlPSb) 등을 포함할 수 있다.For example, the III-V compound is gallium phosphorus (GaP), gallium arsenide (GaAs), gallium antimony (GaSb), gallium nitride (GaN), aluminum phosphorus (AlP), aluminum arsenide (AlAs), aluminum antimony (AlSb), aluminum nitride (AlN), indium phosphorus (InP), indium arsenide (InAs), indium antimony (InSb), indium nitride (InN), gallium phosphorus acetic acid Gallium phosphorus antimony (GaPAs), gallium phosphorus antimony (GaPSb), gallium phosphorus nitride (GaPN), gallium arsenide nitride (GaAsN), gallium antimony nitride (GaSbN), aluminum phosphorus arsenide (AlPAs), Aluminum Phosphorus Antimony (AlPSb), Aluminum Phosphorus Nitride (AlPN), Aluminum Arsenide Nitride (AlAsN), Aluminum Antimony Nitride (AlSbN), Indium Phosphorus Arsenide (InPAs), Indium Phosphorus Antimony (InPSb), Indium Phosphorus Nitride (InPN), Indium Arsenidenitride (InAsN), Indium Antimony Nitride (InSbN), Aluminum Gallium Phosphorus (AlGaP), Aluminum Gallium Arsenide (AlGaAs), Aluminum Gallium Antimony Mony (AlGaSb), Aluminum Gallium Nitride (AlGaN), Aluminum Arsenide Nitride (AlAsN), Aluminum Antimony Nitride (AlSbN), Indium Gallium Phosphorus (InGaP), Indium Gallium Arsenide (InGaAs), Indium Gallium Antimony Mony (InGaSb), Indium Gallium Nitride (InGaN), Indium Arsenide Nitride (InAsN), Indium Antimony Nitride (InSbN), Aluminum Indium Phosphorus (AlInP), Aluminum Indium Arsenide (AlInAs), Aluminum Indium Anti Mony (AlInSb), aluminum indium nitride (AlInN), aluminum arsenide nitride (AlAsN), aluminum antimony nitride (AlSbN), aluminum phosphorus nitride (AlPN), gallium aluminum phosphorus arsenide (GaAlPAs), Gallium Aluminum Phosphorus Antimony (GaAlPSb), Gallium Indium Phosphorus Arsenide (GaInPAs), Gallium Indium Aluminum Arsenide (GaInAlAs), Gallium Aluminum Phosphorus Nitride (GaAlPN), Gallium Aluminum Arsenide Nitride (GaAlAsN), Gallium Aluminum Antimony Nitride (GaAlSbN), Gallium Indium Phosphorus Nitride (GaInPN), Gallium Indium Arsenide Nitride (GaInAsN), Gallium Indium Aluminum Nitride (GaInAlN), Gallium Antimony Phosphorus Nitride (GaSbPN), Gallium Arsenide Phosphorus Nitride (GaAsPN), Gallium Arsenide Antimony Nitride (GaAsSbN), Gallium Indium Phosphorus Antimony (GaInPSb), Gallium Indium Phosphorus Nitride (GaInPN), Gallium Indium Antimony Nitride (GaInSbN) , gallium phosphorus antimony nitride (GaPSbN), indium aluminum phosphorus arsenide (InAlPAs), indium aluminum phosphorus nitride (InAlPN), indium phosphorus arsenide nitride (InPAsN), indium aluminum antimony nitride ( InAlSbN), indium phosphorus antimony nitride (InPSbN), indium arsenide antimony nitride (InAsSbN), and indium aluminum phosphorus antimony (InAlPSb).

일 실시예에 따르면, 노광 감도 등을 고려할 때, 상기 나노입자는 II-VI족 화합물(금속 칼코제나이드)을 포함하는 것이 바람직할 수 있다.According to one embodiment, in consideration of exposure sensitivity, etc., it may be preferable that the nanoparticles include a group II-VI compound (metal chalcogenide).

일 실시예에 따르면, 상기 나노입자는 용액 합성으로 얻어질 수 있다. 예를 들어, 상기 나노입자의 제1 원소(II족 원소 또는 III족 원소)을 포함하는 제1 전구체와, 제2 원소(VI족 원소 또는 V족 원소)을 포함하는 제2 전구체를 반응시켜, 상기 반도체 화합물 기반의 나노입자를 합성할 수 있다.According to one embodiment, the nanoparticles may be obtained through solution synthesis. For example, by reacting the first precursor containing the first element (group II element or group III element) of the nanoparticle and the second precursor containing the second element (group VI element or group V element), Nanoparticles based on the semiconductor compound may be synthesized.

일 실시예에 따르면, 상기 나노입자는 0.1nm 내지 10nm의 크기(직경)를 가질 수 있다. 바람직하게, 상기 나노입자는 0.1nm 내지 5nm의 크기를 갖는 나노클러스터일 수 있다. 상기 나노입자의 크기가 과다할 경우, 상기 나노입자의 크기 균일도가 저하될 수 있다. 예를 들어, 상기 나노입자는 ±15%의 크기 분포를 가질 수 있으며, 바람직하게 ±10%의 크기 분포를 가질 수 있다. According to one embodiment, the nanoparticles may have a size (diameter) of 0.1 nm to 10 nm. Preferably, the nanoparticles may be nanoclusters having a size of 0.1 nm to 5 nm. When the size of the nanoparticles is excessive, size uniformity of the nanoparticles may be reduced. For example, the nanoparticles may have a size distribution of ±15%, preferably ±10%.

보다 바람직하게, 상기 나노 입자는 1nm 내지 2nm의 크기를 갖는 매직 사이즈 클러스터(magic-sized cluster)일 수 있다. 준안정성을 가지는 무기 화합물 반도체 나노입자는 통칭 매직 사이즈 클러스터(magic-sized cluster)라고 불리며, 일반적으로 콜로이달 양자점보다 작은 1 nm 내지 2 nm의 크기를 가지며, 이상적인 경우 100% 특정 조성만을 가지기 때문에 (예: (CdSe)13) 양자점 대비 매우 높은 단분산성(monodispersity)을 가질 수 있다. 매직 사이즈 클러스터는 추가적인 입자 성장시 연속적인 성장이 아닌 불연속적인 성장을 보이는 것을 특징으로 하며, 이는 매직 사이즈 클러스터의 준안정성에 기인한다. 매직 사이즈 클러스터는 양자점과 동일하게 용매에 잘 분산되며, 분산될 시 유기 리간드 혹은 무기 리간드를 클러스터 표면에 포함할 수 있다. 또한, 매직 사이즈 클러스터는 많은 경우 hot injection 방법이 아닌 heat up 방법을 통해 합성되며, 양자점 대비 비교적 저온 (80 ℃ 내지 200 ℃)에서 합성될 수 있기 때문에 대량 합성에 있어 장점이 있다. 또한, 매직 사이즈 클러스터는 작은 단위체(building block) 크기(일반적으로 1 nm 내지 2 nm)로 인해 10 nm 이하의 두께를 가지는 매우 얇은 박막을 제조하기에도 용이하다.More preferably, the nanoparticles may be magic-sized clusters having a size of 1 nm to 2 nm. Inorganic compound semiconductor nanoparticles having metastability are commonly referred to as magic-sized clusters, and generally have a size of 1 nm to 2 nm smaller than colloidal quantum dots, and in an ideal case have only a specific composition of 100% ( Example: (CdSe) 13 ) It can have very high monodispersity compared to quantum dots. Magic-size clusters are characterized in that they show discontinuous growth rather than continuous growth during additional particle growth, which is due to the metastability of magic-size clusters. Magic-size clusters are well dispersed in a solvent in the same way as quantum dots, and when dispersed, organic ligands or inorganic ligands may be included on the cluster surface. In addition, magic size clusters are synthesized through a heat up method rather than a hot injection method in many cases, and have advantages in mass synthesis because they can be synthesized at a relatively low temperature (80 ° C to 200 ° C) compared to quantum dots. In addition, the magic size cluster is easy to manufacture a very thin thin film having a thickness of 10 nm or less due to the size of a small building block (generally 1 nm to 2 nm).

상기 나노입자의 합성 과정에서 적정 크기를 유지하기 위하여, 상기 나노입자는 일반적인 양자점의 합성 온도보다 저온에서 합성될 수 있다. 예를 들어, 상기 나노입자는 200℃ 이하의 온도에서 합성될 수 있다. 예를 들어, 전구체들의 반응 온도는 100℃ 내지 180℃ 또는 100℃ 내지 150℃일 수 있다. 또한, 상기 나노입자는 비결정성이거나, 일반적인 양자점보다 낮은 결정성을 가질 수 있다.In order to maintain an appropriate size during the synthesis process of the nanoparticles, the nanoparticles may be synthesized at a lower temperature than the general synthesis temperature of quantum dots. For example, the nanoparticles may be synthesized at a temperature of 200° C. or less. For example, the reaction temperature of the precursors may be 100 °C to 180 °C or 100 °C to 150 °C. In addition, the nanoparticles may be amorphous or have lower crystallinity than general quantum dots.

일 실시예에 따르면, 상기 나노입자는 표면에 결합된 유기 리간드를 가질 수 있다. 상기 유기 리간드는 상기 나노입자를 합성하는 과정에서 이용되는 유기 화합물 또는 전구체에서 유래할 수 있다. According to one embodiment, the nanoparticle may have an organic ligand bound to its surface. The organic ligand may be derived from an organic compound or precursor used in the process of synthesizing the nanoparticle.

예를 들어, 상기 나노입자의 합성 및 리간드 형성을 위하여 유기산 또는 아민이 사용될 수 있다. 예를 들어, 상기 유기산은 올레인산(oleic acid)을 포함할 수 있다. 그러나, 본 발명의 실시예들은 이에 한정되지 않으며, 상기 유기산은 탄소수가 12 이하인 저분자량 유기산을 포함할 수 있다. 예를 들어, 상기 저분자량 유기산으로는 포름산(formic acid), 아세트산(acetic acid), 프로피온산(propionic acid), 발레릭산(valeric acid), 부티르산(butyric acid), 헥사노익산(hexanoic acid), 카프릴산(caprylic acid), 카프릭산(capric acid), 라우릭산(lauric acid) 등이 사용될 수 있으며, 이들은 각각 단독으로 또는 혼합되어 사용될 수 있다. 예를 들어, 상기 아민은 올레일 아민(oleyl amine)을 포함할 수 있다. 상기 유기산과 아민은 함께 사용될 수도 있다.For example, organic acids or amines may be used to synthesize the nanoparticles and form ligands. For example, the organic acid may include oleic acid. However, embodiments of the present invention are not limited thereto, and the organic acid may include a low molecular weight organic acid having 12 or less carbon atoms. For example, the low molecular weight organic acids include formic acid, acetic acid, propionic acid, valeric acid, butyric acid, hexanoic acid, Caprylic acid, capric acid, lauric acid, and the like may be used, and these may be used alone or in combination. For example, the amine may include oleyl amine. The organic acid and amine may be used together.

일 실시예에 따르면, 상기 나노입자의 유기 리간드는 올레인산 또는 올레일 아민에서 유래한 올레이트(oleate)와 같은 알킬 체인을 포함할 수 있다.According to one embodiment, the organic ligand of the nanoparticle may include an alkyl chain such as oleic acid or oleate derived from oleyl amine.

일 실시예에 따르면, 나노입자 합성 시 혹은 합성 이후 별도의 리간드 교환 공정을 통해서 금속 원소 기반 무기 리간드로 치환하여 EUV 및 BEUV에 대한 감광도를 향상시킬 수 있다. 무기 리간드를 갖는 나노입자를 이용할 경우, 나노입자 박막 형성시 나노입자 간의 간격을 줄일 수 있기 때문에 EUV 및 BEUV에 대한 반응성 및 그에 따른 용해도 차이를 더욱 증폭시킬 수 있다. 예를 들어, EUV 노광시 기형성된 준안정성 나노입자(ZnS 등) 박막의 나노입자들 간의 브릿징(bridging) 혹은 가교(crosslinking) 반응이 무기 리간드 기반 나노입자에서 더욱 효율적으로 일어날 수 있기 때문에 EUV에 대한 반응성 향상 및 그에 따른 생산성 향상에 기여할 수 있다. According to an embodiment, sensitivity to EUV and BEUV may be improved by substituting the nanoparticle with a metal element-based inorganic ligand through a separate ligand exchange process during or after synthesis of the nanoparticle. When nanoparticles having an inorganic ligand are used, since the distance between nanoparticles can be reduced when forming a nanoparticle thin film, reactivity to EUV and BEUV and the resulting difference in solubility can be further amplified. For example, since the bridging or crosslinking reaction between nanoparticles of a preformed metastable nanoparticle (ZnS, etc.) thin film during EUV exposure can occur more efficiently in inorganic ligand-based nanoparticles, EUV It can contribute to improving responsiveness to and consequently improving productivity.

예를 들어, 상기 무기 리간드는 금속 리간드, 할라이드 리간드, 히드록실 리간드, 아민 리간드, 칼코게나이드 리간드, 칼코겐금속 리간드 등을 포함할 수 있다. 구체적으로, 상기 무기 리간드는 S2-, HS-, Se2-, HSe-, Te2-, HTe-,TeS3 2-, OH-, NH2 -, SCN-, Sn2S6 4-, Sn2Se6 4-, In2Se4 2-, Cu7S4 -, Sb2S4 2-, Sb2Se4 2-, CdQ2 2- (Q=Se, Te) 또는 이들의 조합을 포함할 수 있다.For example, the inorganic ligand may include a metal ligand, a halide ligand, a hydroxyl ligand, an amine ligand, a chalcogenide ligand, a chalcogenide metal ligand, and the like. Specifically, the inorganic ligand is S 2- , HS - , Se 2- , HSe - , Te 2- , H Te - , TeS 3 2- , OH - , NH 2 - , SCN - , Sn 2 S 6 4- , Sn 2 Se 6 4- , In 2 Se 4 2- , Cu 7 S 4 - , Sb 2 S 4 2- , Sb 2 Se 4 2- , CdQ 2 2- (Q=Se, Te) or combinations thereof. can include

일 실시예에 따르면, 상기 나노입자는 코어-쉘 구조를 가질 수 있다. 상기 코어-쉘 구조는 상기 나노 입자의 안정성을 증가시킬 수 있다. 또한, 나노입자 박막에서 유기 리간드 영역 대비 무기물 영역의 비율을 향상시킬 수 있기 때문에 나노입자 박막의 EUV 노광 민감도를 향상시킬 수 있다. 상기 코어와 쉘을 서로 다른 물질을 포함할 수 있다. 예를 들어, 상기 코어는 인듐계 반도체 화합물(InP 등)을 포함하고, 상기 쉘은 아연계 반도체 화합물(ZnS 등)을 포함할 수 있다. 또는, 상기 코어와 쉘을 서로 다른 아연계 반도체 화합물(ZnSe/ZnS)을 포함할 수 있다. 그러나, 본 발명의 실시예들은 이에 한정되지 않으며, 알려진 다양한 물질 조합이 가능하다.According to one embodiment, the nanoparticle may have a core-shell structure. The core-shell structure may increase stability of the nanoparticles. In addition, since the ratio of the organic ligand region to the inorganic region in the nanoparticle thin film can be improved, EUV exposure sensitivity of the nanoparticle thin film can be improved. The core and the shell may include different materials. For example, the core may include an indium-based semiconductor compound (eg, InP), and the shell may include a zinc-based semiconductor compound (eg, ZnS). Alternatively, the core and the shell may include different zinc-based semiconductor compounds (ZnSe/ZnS). However, embodiments of the present invention are not limited thereto, and various known material combinations are possible.

일 실시예에 따르면, 상기 포토레지스트 조성물은 광산 발생제(photo acid generator, PAG)를 더 포함할 수 있다. 상기 광산 발생제는 상기 포토레지스트 조성물이 노광되었을 때, 산을 발생시킬 수 있으며, 이에 의해 상기 나노입자의 유기 리간드 제거를 촉진할 수 있다. 이에 따라, 인접한 나노입자들의 결합(조대화)을 증가시킬 수 있고, 포토레지스트의 감도를 증가시킬 수 있다.According to one embodiment, the photoresist composition may further include a photo acid generator (PAG). The photoacid generator may generate an acid when the photoresist composition is exposed to light, thereby promoting removal of organic ligands from the nanoparticles. Accordingly, bonding (coarseness) of adjacent nanoparticles may be increased, and sensitivity of the photoresist may be increased.

상기 광산 발생제는 노광에 의해 산을 발생시킬수 있는 다양한 물질을 포함할 수 있다. 예를 들어, 상기 광산 발생제는 오늄염, 방향족 디아조늄염, 술포늄염, 트리아릴술포늄염, 디아릴술포늄염, 모노릴술포늄염, 요오드염, 디아릴요오드염, 니트로벤질 에스테르, 디술폰, 디아조디술폰, 술포네이트, 트리클로로메틸 트리아진 또는 이들의 조합을 포함할 수 있다.The photoacid generator may include various materials capable of generating an acid by exposure. For example, the photoacid generator may be an onium salt, an aromatic diazonium salt, a sulfonium salt, a triarylsulfonium salt, a diarylsulfonium salt, a monoylsulfonium salt, an iodine salt, a diaryl iodine salt, a nitrobenzyl ester, a disulfone, diazodisulfones, sulfonates, trichloromethyl triazines, or combinations thereof.

구체적으로, 상기 광산 발생제는 SO3C4F9, C9H18N2O4S2(Bis(T-butylsulfonyl)diazomethane), C7H14N2O4S2(l-Cystine methyl ester), C31H30F4O5S2,(bis(4-fluorophenyl)(phenyl)sulfonium 2-((adamantane-1carbonyl)oxy)-1,1-difluoroethane-1-sulfonate), C33H38O2S2, (triphyenylsulfonium 2,4,6,-triisopropylbenzenesulfonate), C14H9Cl6N3O(2-(4-methoxystyryl)-4,6-bis(trichloromethyl)-1,3,5-triazine) 또는 이들의 조합을 포함할 수 있다. 또한, 상기 광산 발생제는, triphenylsulfonium triflate, triphenylsulfonium nonaflate, triphenylsulfonium perfluorooctylsulfonate, triarylsulfonium triflate, triarylsulfonium nonaflate, triarylsulfonium perfluorooctylsulfonate, triphenylsulfonium salt, triarylsulfonium salt, triarylsulfonium hexafluoroantimonate salt, N-hydroxynaphthalimide triflate, 1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane, 1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane, 1,2,5,6,9,10-hexabromocyclododecane, 1,10-dibromodecane, 1,1-bis[p-chlorophenyl]2,2-dichloroethane, 4,4-dichloro-2-(trichloromethyl)benzhydrol, 1,1-bis(chlorophenyl) 2-2,2-trichloroethanol, hexachlorodimethylsulfone, 2-chloro-6-(trichloromethyl)pyridine 또는 이들의 조합을 포함할 수 있다. 상기 광산 발생제는 노광 파장에 따라 적절하게 선택될 수 있다.Specifically, the photoacid generator is SO 3 C 4 F 9 , C 9 H 18 N 2 O 4 S 2 (Bis(T-butylsulfonyl)diazomethane), C 7 H 14 N 2 O 4 S 2 (l-Cystine methyl ester), C 31 H 30 F 4 O 5 S 2 ,(bis(4-fluorophenyl)(phenyl)sulfonium 2-((adamantane-1carbonyl)oxy)-1,1-difluoroethane-1-sulfonate), C 33 H 38 O 2 S 2 , (triphyenylsulfonium 2,4,6,-triisopropylbenzenesulfonate), C 14 H 9 Cl 6 N 3 O(2-(4-methoxystyryl)-4,6-bis(trichloromethyl)-1,3,5 -triazine) or a combination thereof. In addition, the photoacid generator includes triphenylsulfonium triflate, triphenylsulfonium nonaflate, triphenylsulfonium perfluorooctylsulfonate, triarylsulfonium triflate, triarylsulfonium nonaflate, triarylsulfonium perfluorooctylsulfonate, triphenylsulfonium salt, triarylsulfonium salt, triarylsulfonium hexafluoroantimonate salt, N-hydroxynaphthalimide triflate, 1,1-bis[p-chlorophenyl ]-2,2,2-trichloroethane, 1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane, 1,2,5,6,9,10-hexabromocyclododecane, 1,10-dibromodecane, 1 ,1-bis[p-chlorophenyl]2,2-dichloroethane, 4,4-dichloro-2-(trichloromethyl)benzhydrol, 1,1-bis(chlorophenyl) 2-2,2-trichloroethanol, hexachlorodimethylsulfone, 2-chloro- 6-(trichloromethyl)pyridine or a combination thereof. The photoacid generator may be appropriately selected according to the exposure wavelength.

예를 들어, 상기 포토레지스트 조성물 전체 중량에 대하여, 상기 광산 발생제의 함량은 0.1중량% 내지 5중량%일 수 있다. 또한, 상기 나노입자에 대한 상기 광산 발생제와 중량비는 0.01:1 내지 0.1:1일 수 있다. 상기 광산 발생제의 양은 포토레지스트 조성물의 감도, 도즈(dose, 노광양), 라인 거칠기(LER) 등을 고려하여 조절될 수 있다. 상기 광산 발생제의 함량이 과다할 경우, 라인 거칠기가 증가하거나, 나노입자의 안정성이 저하될 수 있다.For example, based on the total weight of the photoresist composition, the content of the photoacid generator may be 0.1% to 5% by weight. In addition, the weight ratio of the photoacid generator to the nanoparticles may be 0.01:1 to 0.1:1. The amount of the photoacid generator may be adjusted in consideration of the sensitivity of the photoresist composition, dose (exposure amount), line roughness (LER), and the like. If the content of the photoacid generator is excessive, line roughness may increase or stability of the nanoparticles may deteriorate.

그러나, 본 발명의 실시예들은 광산 발생제를 포함하는 것에 한정되지 않으며, 필요에 따라 상기 광산 발생제는 생략될 수 있다.However, embodiments of the present invention are not limited to including a photoacid generator, and the photoacid generator may be omitted if necessary.

상기 포토레지스트 조성물은 상기 나노입자를 분산하기 위한 용매를 포함할 수 있다. 예를 들어, 상기 용매는 디메틸포름아미드, 감마 부티로락톤, N-메틸피롤리돈, 디메틸설폭사이드, 디클로로에틸렌, 트리클로로에틸렌, 클로로포름, 클로로벤젠, 디클로로벤젠, 스타이렌, 자일렌, 톨루엔, 사이클로헥센 또는 이들의 조합을 포함할 수 있다.The photoresist composition may include a solvent for dispersing the nanoparticles. For example, the solvent is dimethylformamide, gamma butyrolactone, N-methylpyrrolidone, dimethylsulfoxide, dichloroethylene, trichloroethylene, chloroform, chlorobenzene, dichlorobenzene, styrene, xylene, toluene, cyclohexene or combinations thereof.

예를 들어, 상기 용매의 함량은 85중량% 내지 99중량%일 수 있다. 상기 나노입자의 함량은 1mg/ml 내지 100mg/ml 이거나, 0.1중량% 내지 10중량%일 수 있다.For example, the solvent content may be 85% to 99% by weight. The content of the nanoparticles may be 1 mg/ml to 100 mg/ml or 0.1% to 10% by weight.

상기 포토레지스트 조성물의 나노입자는 열역학적 준안정성과 단분산성을 갖는다. 따라서, 차세대 노광 공정의 광원인 EUV(extreme UV) 및 BEUV(beyond EUV)에 대하여 높은 반응성을 가질 수 있다. 이에 따라, 노광 영역과 비노광 영역의 용해도 차이를 증가시킬 수 있으므로, 종래의 무기 포토레지스트 조성물보다 패턴 정밀도를 향상시킬 수 있다. The nanoparticles of the photoresist composition have thermodynamic metastability and monodispersity. Accordingly, it may have high reactivity to extreme UV (EUV) and beyond EUV (BEUV), which are light sources of a next-generation exposure process. Accordingly, since the solubility difference between the exposed area and the unexposed area can be increased, pattern accuracy can be improved compared to the conventional inorganic photoresist composition.

또한, 상기 나노입자는 나노클러스터 구조를 가짐으로써, 얇은 두께, 높은 균일도 및 낮은 라인 거칠기를 갖는 포토레지스트를 형성할 수 있다.In addition, since the nanoparticles have a nanocluster structure, a photoresist having a thin thickness, high uniformity, and low line roughness may be formed.

또한, 상기 포토레지스트 조성물로 형성된 포토레지스트 패턴은 높은 내에칭성, 낮은 표면 조도 및 우수한 두께 균일도를 가짐에 따라, 포토레지스트의 신뢰성을 향상시킬 수 있으며, 10nm 이하의 나노 박막 포토레지스트를 형성할 수 있다. 이에 따라, BEUV용 포토레지스트로 확장을 기대할 수 있다.In addition, as the photoresist pattern formed from the photoresist composition has high etching resistance, low surface roughness, and excellent thickness uniformity, the reliability of the photoresist can be improved, and a nano-thin film photoresist of 10 nm or less can be formed. there is. Accordingly, expansion into photoresist for BEUV can be expected.

또한, 합성 방법에 따라 나노입자의 크기를 조절함으로써, 포토레지스트의 특성 및 두께를 용이하게 조절할 수 있다.In addition, by controlling the size of the nanoparticles according to the synthesis method, the properties and thickness of the photoresist can be easily controlled.

나노입자 포토레지스트 조성물을 이용한 패턴 형성 방법Pattern formation method using nanoparticle photoresist composition

도 2a, 도 2b, 도 2c, 도 2d 및 도 2e는 본 발명의 일 실시예에 따른 패턴 형성 방법을 도시한 단면도들이다. 도 3a, 도 3b, 도 3c, 도 3d 및 도 3e는 본 발명의 다른 실시예에 따른 패턴 형성 방법을 도시한 단면도들이다.2a, 2b, 2c, 2d and 2e are cross-sectional views illustrating a pattern forming method according to an embodiment of the present invention. 3A, 3B, 3C, 3D, and 3E are cross-sectional views illustrating a pattern forming method according to another embodiment of the present invention.

도 2a를 참조하면, 기판(10)의 타겟층(20) 위에 감광성층(PSL)을 형성한다.Referring to FIG. 2A , a photosensitive layer PSL is formed on the target layer 20 of the substrate 10 .

예를 들어, 상기 기판(10)은 반도체 장치의 제조에 사용되는 실리콘 웨이퍼, 부분적으로 제조된 반도체 장치, 부분적으로 제조된 집적회로 등일 수 있다. 상기 타겟층(20)은 반도체 물질, 도전성 물질, 절연성 물질 또는 이들의 조합을 포함할 수 있다. 예를 들어, 상기 타겟층(20)은 식각 대상막이거나 하드마스크막일 수 있다. 구체적으로, 상기 타겟층(20)은 비정질 탄소, 붕소(B)로 도핑된 비정질 탄소, 텅스텐(W)으로 도핑된 비정질 탄소, 비정질 수화(hydrogenated) 탄소, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 실리콘 카바이드, 실리콘 보로나이트라이드, 비정질 실리콘, 폴리실리콘, 금속, 금속 질화물 또는 이들의 조합을 포함할 수 있다.For example, the substrate 10 may be a silicon wafer used for manufacturing a semiconductor device, a partially fabricated semiconductor device, or a partially fabricated integrated circuit. The target layer 20 may include a semiconductor material, a conductive material, an insulating material, or a combination thereof. For example, the target layer 20 may be an etch target layer or a hard mask layer. Specifically, the target layer 20 is amorphous carbon, boron (B) doped amorphous carbon, tungsten (W) doped amorphous carbon, amorphous hydrogenated carbon, silicon oxide, silicon nitride, silicon oxynitride , silicon carbide, silicon boronitride, amorphous silicon, polysilicon, metal, metal nitride, or combinations thereof.

상기 타겟층(20)은 알려진 다양한 방법에 의해 형성될 수 있다. 예를 들어, 상기 타겟층(20)은 열증착, 전자빔 증착, 화학기상증착(CVD), 분자빔에피탁시(MBE), 유기금속 화학기상증착(MOCVD), 스푸터링, 원자층증착(ALD) 등과 같은 진공 증착에 의해 형성될 수 있다. 또한, 상기 타겟층(20)은, 스핀 코팅, 스크린 프린팅, 그라비어 프린팅, 닥터 블레이딩 등과 같은 용액 코팅에 의해 형성될 수도 있다.The target layer 20 may be formed by various known methods. For example, the target layer 20 may be formed by thermal evaporation, electron beam evaporation, chemical vapor deposition (CVD), molecular beam epitaxy (MBE), metal organic chemical vapor deposition (MOCVD), sputtering, atomic layer deposition (ALD) ), etc., may be formed by vacuum deposition. In addition, the target layer 20 may be formed by solution coating such as spin coating, screen printing, gravure printing, doctor blading, and the like.

일 실시예에서, 상기 감광성층(PSL)은 무기 물질을 포함할 수 있다. 예를 들어, 상기 감광성층(PSL)은 반도체 화합물을 기반으로 하는 무기 포토레지스트를 포함할 수 있다. 예를 들어, 상기 감광성층(PSL)은 반도체 화합물 나노입자를 포함하는 포토레지스트 조성물을 코팅하여 형성될 수 있다. 상기 포토레지스트 조성물은 앞에서 설명된 포토레지스트 조성물과 동일할 수 있으므로, 구체적인 설명은 생략하기로 한다.In one embodiment, the photosensitive layer PSL may include an inorganic material. For example, the photosensitive layer PSL may include an inorganic photoresist based on a semiconductor compound. For example, the photosensitive layer PSL may be formed by coating a photoresist composition containing semiconductor compound nanoparticles. Since the photoresist composition may be the same as the photoresist composition described above, a detailed description thereof will be omitted.

일 실시예에 따르면, 상기 감광성층(PSL)을 형성하기 전에, 하부층을 먼저 형성할 수 있다. 따라서, 상기 감광성층(PSL)은 하부층 위에 형성될 수 있다.According to an embodiment, a lower layer may be formed first before forming the photosensitive layer PSL. Accordingly, the photosensitive layer PSL may be formed on the lower layer.

상기 하부층은 상기 감광성층(PSL)과 상기 타겟층(10)의 접착을 개선할 수 있다. 상기 하부층은 고분자 물질 또는 무기 물질을 포함할 수 있다. The lower layer may improve adhesion between the photosensitive layer PSL and the target layer 10 . The lower layer may include a polymer material or an inorganic material.

필요에 따라, 상기 감광성층(PSL)을 노광하기 전에, 프리베이킹 공정을 수행할 있다. 예를 들어, 상기 프리베이킹은 50℃ 내지 150℃ 또는 80℃ 내지 120℃에서 수행될 수 있다. 상기 프리베이킹을 통해 상기 감광성층(PSL) 내의 용매를 제거하거나 감소시킬 수 있으며, 상기 감광성층(PSL)의 노광 감도를 개선할 수 있다. If necessary, a pre-baking process may be performed before exposing the photosensitive layer PSL. For example, the prebaking may be performed at 50 °C to 150 °C or 80 °C to 120 °C. Through the prebaking, the solvent in the photosensitive layer PSL may be removed or reduced, and exposure sensitivity of the photosensitive layer PSL may be improved.

상기 프리베이킹은 진공 또는 가스 분위기에서 수행될 수 있다. 상기 가스 분위기는 공기, H2, CO2, O2, N2, Ar, He 또는 이들의 혼합물을 포함할 수 있다.The prebaking may be performed in a vacuum or gas atmosphere. The gas atmosphere may include air, H 2 , CO 2 , O 2 , N 2 , Ar, He, or a mixture thereof.

도 2b를 참조하면, 상기 감광성층(PSL)에 광을 조사한다. 상기 광은 상기 감광성층(PSL)의 일부 영역에 선택적으로 조사될 수 있다. 상기 감광성층(PSL)을 부분적으로 노광하기 위하여, 투광 영역 또는 개구부를 갖는 마스크(MK)가 이용될 수 있다.Referring to FIG. 2B , light is irradiated to the photosensitive layer PSL. The light may be selectively irradiated to a partial area of the photosensitive layer PSL. To partially expose the photosensitive layer PSL, a mask MK having a light-transmitting area or an opening may be used.

일 실시예에서, 상기 마스크(MK)는 상기 감광성층(PSL)에 인접하여 배치될 수 있으나, 본 발명의 실시예는 이에 한정되지 않는다. 예를 들어, 상기 마스크(MK)는 노광 장치 내부에 배치될 수 있으며, 상기 마스크(MK)를 통과한 광은 광학계를 통해 상기 감광성층(PSL)에 투영될 수 있다.In one embodiment, the mask MK may be disposed adjacent to the photosensitive layer PSL, but the embodiment of the present invention is not limited thereto. For example, the mask MK may be disposed inside the exposure apparatus, and light passing through the mask MK may be projected onto the photosensitive layer PSL through an optical system.

일 실시예에 따르면, 상기 광(LIGHT)은 EUV에 대응하는 파장을 가질 수 있다. 예를 들어, EUV는 10nm 내지 124nm의 파장, 상세하게, 13.0nm 내지 14.0nm의 파장, 보다 상세하게 13.4nm 내지 13.6nm의 파장을 갖는 자외선을 의미할 수 있다. 예를 들어, 상기 EUV는 6.21 eV 내지 124eV의 에너지를 가질 수 있다. According to an embodiment, the light LIGHT may have a wavelength corresponding to EUV. For example, EUV may refer to ultraviolet rays having a wavelength of 10 nm to 124 nm, specifically, a wavelength of 13.0 nm to 14.0 nm, and more specifically, a wavelength of 13.4 nm to 13.6 nm. For example, the EUV may have an energy of 6.21 eV to 124 eV.

그러나, 본 발명의 실시예들은 이에 한정되지 않는다. 예를 들어, 상기 광은 EUV 보다 짧은 파장을 갖는 BEUV(6.0nm 내지 7.0nm, 약 6.7nm)일 수 있다. 또한, 상기 광은 EUV보다 긴 파장을 갖는, KrF 레이저(248㎚), ArF 레이저(193㎚), F2 레이저(157㎚) 등일 수도 있다. 또한, 상기 감광성층(PSL)을 전자빔에 노출시키는 전자빔 리소그래피가 이용될 수도 있다.However, embodiments of the present invention are not limited thereto. For example, the light may be BEUV (6.0 nm to 7.0 nm, about 6.7 nm) having a shorter wavelength than EUV. In addition, the light may be a KrF laser (248 nm), an ArF laser (193 nm), an F2 laser (157 nm), or the like, having a longer wavelength than EUV. Also, electron beam lithography exposing the photosensitive layer PSL to an electron beam may be used.

상기 감광성층(PSL)에서 노광된 영역(EP)에서는 인접한 나노입자들이 결합되어 나노입자가 조대화된다. 상기 나노입자들은 준안정성을 가지며, 매우 작은 크기, 예를 들어, 1 nm 내지 2 nm의 크기를 가질 수 있다. 이에 따라, 나노입자들 간의직접적인 브릿징(bridging), 상 변이(phase transformation) 혹은 가교(crosslinking) 반응에 의해 나노입자들이 조대화될 수 있으며, 이에 따라, 노광 영역(EP)과 비노광 영역(NEP)은, 현상액에 대하여 용해도 차이를 갖게 된다.In the exposed region EP of the photosensitive layer PSL, adjacent nanoparticles are combined to coarsen the nanoparticles. The nanoparticles are metastable and may have a very small size, for example, 1 nm to 2 nm. Accordingly, the nanoparticles may be coarsened by a direct bridging, phase transformation or crosslinking reaction between the nanoparticles, and thus, the exposed area EP and the non-exposed area ( NEP) has a solubility difference with respect to the developing solution.

도 2c를 참조하면, 상기 노광된 감광성층(PSL)을 현상하여 포토레지스트 패턴(PR)을 형성한다. 예를 들어, 상기 감광성층(PSL)의 비노광 영역(NEP)은 현상액에 의해 제거되고, 노광 영역(EP)이 잔류하여 상기 포토레지스트 패턴(PR)을 형성할 수 있다.Referring to FIG. 2C , the photoresist pattern PR is formed by developing the exposed photosensitive layer PSL. For example, the non-exposed area NEP of the photosensitive layer PSL may be removed by a developer, and the exposed area EP may remain to form the photoresist pattern PR.

예를 들어, 상기 현상액으로는 상기 포토레지스트 조성물의 용매와 동일한 물질이 사용될 수 있다. 예를 들어, 상기 현상액으로는 무극성 용매가 사용될 수 있으며, 구체적으로, 상기 현상액은 디메틸포름아미드, 감마 부티로락톤, N-메틸피롤리돈, 디메틸설폭사이드, 디클로로에틸렌, 트리클로로에틸렌, 클로로포름, 클로로벤젠, 디클로로벤젠, 스타이렌, 자일렌, 톨루엔, 사이클로헥센 또는 이들의 조합을 포함할 수 있다. 그러나, 본 발명의 실시예들은 이에 한정되지 않으며, 상기 현상액은 무극성 용매와 극성 용매가 혼합되어 사용될 수 있다. 예를 들어, 상기 나노입자가 무기 리간드를 갖는 경우, 상기 현상액은 디메틸설폭사이드와 에탄올아민의 혼합물이 현상액으로 사용될 수 있다. For example, the same material as the solvent of the photoresist composition may be used as the developer. For example, a non-polar solvent may be used as the developer, and specifically, the developer may be dimethylformamide, gamma butyrolactone, N-methylpyrrolidone, dimethyl sulfoxide, dichloroethylene, trichlorethylene, chloroform, chlorobenzene, dichlorobenzene, styrene, xylene, toluene, cyclohexene or combinations thereof. However, embodiments of the present invention are not limited thereto, and a mixture of a non-polar solvent and a polar solvent may be used as the developer. For example, when the nanoparticles have an inorganic ligand, a mixture of dimethyl sulfoxide and ethanolamine may be used as the developing solution.

본 발명의 실시예들은 위에서 설명된 습식 현상에 한정되지 않으며, 상기 감광성막은 건식 현상에 의해 패터닝될 수도 있다. Embodiments of the present invention are not limited to the wet development described above, and the photosensitive film may be patterned by dry development.

상기 현상 공정 후, 얻어진 포토레지스트 패턴(PR)은 건조될 수 있다. 또한, 필요에 따라, 상기 현상 단계 후에, 포토레지스트 패턴(PR)의 특성을 개선하기 위하여 열처리가 진행될 수도 있다. After the developing process, the resulting photoresist pattern PR may be dried. Further, if necessary, after the developing step, heat treatment may be performed to improve the characteristics of the photoresist pattern PR.

상기 현상 후 열처리는 진공 또는 가스 분위기에서 수행될 수 있다. 상기 가스 분위기는 공기, H2, CO2, O2, N2, Ar, He 또는 이들의 혼합물을 포함할 수 있다.Heat treatment after the development may be performed in a vacuum or gas atmosphere. The gas atmosphere may include air, H 2 , CO 2 , O 2 , N 2 , Ar, He, or a mixture thereof.

예를 들어, 상기 포토레지스트 패턴(PR)의 두께는 100nm 이하 또는 50nm 이하일 수 있다. 일 실시예에 따르면, 상기 포토레지스트 패턴(PR)의 두께는 1 nm 내지 40nm일 수 있다. For example, the photoresist pattern PR may have a thickness of 100 nm or less or 50 nm or less. According to one embodiment, the photoresist pattern PR may have a thickness of 1 nm to 40 nm.

또한, 상기 포토레지스트 패턴(PR)의 두께 균일도는 10% 이하일 수 있다. 상기 두께 균일도는 최대 두께 또는 최소 두께와 평균 두께의 차이를 평균 두께로 나눈 값일 수 있다.Also, thickness uniformity of the photoresist pattern PR may be 10% or less. The thickness uniformity may be a value obtained by dividing a difference between the maximum thickness or the minimum thickness and the average thickness by the average thickness.

또한, 상기 포토레지스트 패턴(PR)은 2nm 이하의 RMS 조도(roughness)를 가질 수 있다.In addition, the photoresist pattern PR may have an RMS roughness of 2 nm or less.

도 2d를 참조하면, 포토레지스트 패턴(PR)을 마스크로 이용하여 타겟층(20)을 식각하여 타겟 패턴(22)을 형성한다. 상기 타겟층(20)과 상기 포토레지스트 패턴(PR) 사이에 하부층이 배치된 경우, 상기 타겟층(20)을 식각하기 전에 상기 하부층을 먼저 식각할 수 있다. Referring to FIG. 2D , the target pattern 22 is formed by etching the target layer 20 using the photoresist pattern PR as a mask. When the lower layer is disposed between the target layer 20 and the photoresist pattern PR, the lower layer may be etched first before etching the target layer 20 .

예를 들어, 상기 타겟층(20)은 건식 식각될 수 있다. 예를 들어, 상기 타겟층(20)은 플라즈마, 반응성 이온 등에 의해 식각될 수 있다. 그러나, 본 발명의 실시예들은 이에 한정되지 않는다. 예를 들어, 상기 타겟층(20)은 습식 식각될 수도 있다. 또한, 상기 타겟 패턴(22)이 하드 마스크인 경우, 상기 타겟 패턴(22)을 마스크로 이용하여 상기 타겟 패턴(22) 아래의 기판(10)의 구조물을 더 식각할 수도 있다.For example, the target layer 20 may be dry etched. For example, the target layer 20 may be etched by plasma or reactive ions. However, embodiments of the present invention are not limited thereto. For example, the target layer 20 may be wet etched. In addition, when the target pattern 22 is a hard mask, the structure of the substrate 10 under the target pattern 22 may be further etched using the target pattern 22 as a mask.

도 2e를 참조하면, 상기 포토레지스트 패턴(PR)은 제거될 수 있다. 예를 들어, 상기 포토레지스트 패턴(PR)은 건식 식각 또는 습식 식각에 의해 제거될 수 있다. Referring to FIG. 2E , the photoresist pattern PR may be removed. For example, the photoresist pattern PR may be removed by dry etching or wet etching.

상기 건식 식각에는 플라즈마가 사용될 수 있다. 예를 들어, 상기 플라즈마는, BCl3, Cl2, HBr, Ar 등의 플라즈마가 이용될 수 있으며, 습식 식각의 식각액으로는 불산, 불산/불화암모늄 등이 사용될 수 있다.Plasma may be used for the dry etching. For example, the plasma may be BCl 3 , Cl 2 , HBr, Ar, or the like, and hydrofluoric acid, hydrofluoric acid/ammonium fluoride, or the like may be used as an etchant for wet etching.

필요에 따라, 상기 포토레지스트 패턴(PR)은 제거되지 않고 잔류하여 기판의 구조물을 형성할 수도 있다.If necessary, the photoresist pattern PR may remain without being removed to form a structure of the substrate.

상기 실시예에서는 상기 포토레지스트 패턴(PR)을 식각 마스크로 이용하였으나, 본 발명의 실시예들은 이에 한정되지 않는다. 다른 실시예로서, 이하에서는 리프트-오프(lift-off)를 이용한 패턴 형성 방법을 설명하기로 한다.In the above embodiment, the photoresist pattern PR is used as an etching mask, but embodiments of the present invention are not limited thereto. As another embodiment, a pattern forming method using lift-off will be described below.

도 3a 내지 도 3e를 참조하면, 기판(10) 위에 감광성층(PSL)을 형성한다. 다음으로, 마스크(MK)를 이용하여 상기 감광성층(PSL)을 선택적으로 노광한다. 다음으로, 현상을 통해 노광된 감광성층(PSL)을 부분적으로 제거하여 포토레지스트 패턴(PR)을 형성한다. 다음으로, 상기 포토레지스트 패턴(PR) 위에 타겟층(20)을 증착한다. 상기 포토레지스트 패턴(PR)이 상기 기판(10)을 부분적으로 커버함에 따라, 상기 타겟층(20)은, 상기 기판(10) 상면과 접촉하는 제1 부분(24) 및 일부는 상기 포토레지스트 패턴(PR) 위에 배치되며, 상기 기판(10)의 상면과 이격된 제2 부분(26)을 포함할 수 있다. 다음으로, 상기 포토레지스 패턴(PR)을 제거하면, 상기 포토레지스트 패턴(PR) 위에 배치된 제2 부분(26)이 제거됨에 따라, 상기 제1 부분(24)에 의해 정의되는 타겟 패턴을 얻을 수 있다.Referring to FIGS. 3A to 3E , a photosensitive layer PSL is formed on the substrate 10 . Next, the photosensitive layer PSL is selectively exposed using a mask MK. Next, the photoresist pattern PR is formed by partially removing the photosensitive layer PSL exposed through development. Next, a target layer 20 is deposited on the photoresist pattern PR. As the photoresist pattern PR partially covers the substrate 10, the target layer 20 includes a first portion 24 contacting the upper surface of the substrate 10 and a portion of the photoresist pattern ( It is disposed on the PR) and may include a second portion 26 spaced apart from the upper surface of the substrate 10 . Next, when the photoresist pattern PR is removed, a target pattern defined by the first portion 24 is obtained as the second portion 26 disposed on the photoresist pattern PR is removed. can

이하에서는, 구체적인 실험예들에 통해 본 발명의 실시예들의 제작 및 효과에 대하여 보다 상세히 설명한다. 상기 실험예들은 단지 예시적으로 제공되는 것이며, 본 발명의 범위가 상기 실험예에 제공된 내용으로 한정되는 것은 아니다.Hereinafter, the production and effects of the embodiments of the present invention will be described in more detail through specific experimental examples. The experimental examples are provided only as examples, and the scope of the present invention is not limited to the contents provided in the experimental examples.

합성예 1Synthesis Example 1

1.824g의 아연 올리에이트(Zinc oleate, Zn(OA)2)), 0.534g의 올레일아민(oleylamine, OLA), 2.623g의 1-옥타데센(1-Octadecene, ODE)를 3-neck flask에 넣고 혼합하였다. 상기 혼합 용액을 충분한 시간 동안 진공 상태에서 80℃에서 놔두고, Sulfur powder 0.02g을 주입한 후 질소 환경 130℃에서 1시간 동안 반응시켜 ZnS 나노입자를 합성하였다.1.824 g of zinc oleate (Zn(OA) 2 )), 0.534 g of oleylamine (OLA), and 2.623 g of 1-octadecene (ODE) were mixed in a 3-neck flask. added and mixed. The mixed solution was left at 80° C. in a vacuum for a sufficient period of time, 0.02 g of sulfur powder was injected, and reacted at 130° C. in a nitrogen environment for 1 hour to synthesize ZnS nanoparticles.

ZnS 용액은 시클로헥센(Cyclohexane)으로 희석시킨 후, 정제(purification) 후에 클로로벤젠(Chlorobenzne)에 재분산시켜서 ZnS 나노입자 분산용액(10mg/ml)을 준비하였다.The ZnS solution was diluted with cyclohexane, purified, and redispersed in chlorobenzene to prepare a ZnS nanoparticle dispersion solution (10 mg/ml).

도 4는 합성예 1에서 얻어진 ZnS 나노입자 분산용액의 UV에 의한 발광을 보여주는 디지털 사진이다. 도 4를 참조하면, 합성예 1을 통해 photoluminescence 특성을 갖는 반도체 기반의 나노입자가 합성되었음을 확인할 수 있다.4 is a digital photograph showing light emission by UV of the ZnS nanoparticle dispersion solution obtained in Synthesis Example 1. Referring to FIG. 4 , it can be confirmed that semiconductor-based nanoparticles having photoluminescence characteristics were synthesized through Synthesis Example 1.

도 5는 합성예 1에서 얻어진 ZnS 나노입자 분산용액의 UV에 의한 발광 스펙트럼을 시간에 따라 보여주는 그래프들이다. 구체적으로, 도 5의 (a)는 합성/분산 후 하루가 지난 후의 발광을 보여주며, (b)는 28일이 지난 후의 발광을 보여준다.5 is graphs showing the emission spectrum of the ZnS nanoparticle dispersion obtained in Synthesis Example 1 by UV over time. Specifically, (a) of FIG. 5 shows the luminescence after one day after synthesis/dispersion, and (b) shows the luminescence after 28 days.

도 5를 참조하면, 합성예 1에서 얻어진 ZnS 나노입자 분산용액은 280nm 근처에서 날카로운 피크를 나타내었으며, 이를 통해 균일한 크기의 ZnS 나노입자가 합성되었음을 알 수 있다. Referring to FIG. 5, the ZnS nanoparticle dispersion solution obtained in Synthesis Example 1 exhibited a sharp peak at around 280 nm, indicating that uniformly sized ZnS nanoparticles were synthesized.

또한, Peak to valley 값은 하루가 지난 후 1.365에서 28일이 지난후 1.242로 감소 폭이 크지 않았으며, 이를 통해 합성된 나노입자의 안정성이 높음을 확인할 수 있다.In addition, the peak to valley value did not decrease significantly from 1.365 after one day to 1.242 after 28 days, confirming the high stability of the synthesized nanoparticles.

실시예 1 - 포토레지스트 조성물Example 1 - Photoresist composition

상기 합성예 1에서 얻어진 ZnS 나노입자 분산용액에 광산 발생제로서 2-(4-methoxystyryl)-4,6-bis(trichloromethyl)-1,3,5-triazine(MBT)를 추가(상기 나노입자 중량 대비 5%)하여 포토레지스트 조성물을 준비하였다.2-(4-methoxystyryl)-4,6-bis(trichloromethyl)-1,3,5-triazine (MBT) was added as a photoacid generator to the ZnS nanoparticle dispersion solution obtained in Synthesis Example 1 (the nanoparticle weight 5%) to prepare a photoresist composition.

실험 1Experiment 1

실시예 1의 포토레지스트 조성물을 유리 기판 위에 2,000 rpm, 20초 간 스핀 코팅하여 도포하였다. 포토레지스트 조성물이 도포된 기판에 포토마스크를 얼라인한 후, 365nm 파장의 UV를 3분간 조사하고(200mJ/cm2), 클로로벤젠을 사용하여 비노광부위를 제거하여 패턴을 형성하였다.The photoresist composition of Example 1 was applied on a glass substrate by spin coating at 2,000 rpm for 20 seconds. After aligning the photomask on the substrate coated with the photoresist composition, 365 nm wavelength UV was irradiated for 3 minutes (200mJ/cm 2 ), and a pattern was formed by removing unexposed areas using chlorobenzene.

도 6은 실시예 1의 포토레지스트 조성물을 이용하여 얻어진 포토레지스트 패턴을 보여주는 SEM(주사전자현미경) 사진이다. 도 7은 실시예 1의 포토레지스트 조성물을 이용하여 얻어진 포토레지스트 패턴(선폭 4㎛)의 단면 프로파일을 AFM(atomic force microscope)로 측정한 결과를 보여주는 그래프이다.6 is a SEM (scanning electron microscope) photograph showing a photoresist pattern obtained using the photoresist composition of Example 1. 7 is a graph showing results obtained by measuring a cross-sectional profile of a photoresist pattern (line width: 4 μm) obtained using the photoresist composition of Example 1 with an atomic force microscope (AFM).

도 6 및 도 7을 참조하면, 실시예 1의 포토레지스트 조성물을 이용하여, 작은 두께와 비교적 균일한 프로파일을 갖는 포토레지스트 패턴이 얻어진 것을 확인할 수 있다. Referring to FIGS. 6 and 7 , it can be confirmed that a photoresist pattern having a small thickness and a relatively uniform profile was obtained using the photoresist composition of Example 1.

실험 2experiment 2

실시예 1의 포토레지스트 조성물과 실시예 2로서 광산 발생제가 추가되지 않은 합성예 1의 ZnS 나노입자 분산용액을 유리 기판 위에 2,000 rpm, 20초 간 스핀 코팅하여 도포하였다. 포토레지스트 조성물이 도포된 기판에 (노광양)도즈양을 35uC/cm2 부터 3,600uC/cm2 로 증가시켜(35uC/cm2 씩 증가) 전자빔을 조사한 후, 클로로벤젠을 사용하여 비노광부위를 제거하여 패턴을 형성하였다.The photoresist composition of Example 1 and the ZnS nanoparticle dispersion solution of Synthesis Example 1 of Example 2 to which no photoacid generator was added were coated on a glass substrate by spin coating at 2,000 rpm for 20 seconds. After irradiating the substrate coated with the photoresist composition with electron beams by increasing the dose (exposure amount) from 35uC/cm 2 to 3,600uC/cm 2 (increased by 35uC/cm 2 ), chlorobenzene was used to cover the non-exposed area. removed to form a pattern.

도 8은실시예 1 및 실시예 2의 조성물을 이용하여 형성된 포토레지스트 패턴을 보여주는 SEM 사진들이다.8 are SEM pictures showing photoresist patterns formed using the compositions of Examples 1 and 2.

도 8을 참조하면, 실시예 1의 조성물(도 8의 (a))의 패터닝 시작되는 도즈양(약 350uC/cm2)이, 실시예 2의 조성물(도 8의 (b))의 패터닝이 시작되는 도즈양(약 770uC/cm2) 보다 작았으며, 이를 통해 광산 발생제를 이용하여 포토레지스트 조성물의 감도를 향상키시고 도즈양을 감소시킬 수 있음을 확인할 수 있다.Referring to FIG. 8, the patterning start dose (about 350uC/cm 2 ) of the composition of Example 1 (FIG. 8(a)), the patterning of the composition of Example 2 (FIG. 8(b)) It was smaller than the starting dose (about 770uC/cm 2 ), and through this, it can be confirmed that the photoacid generator can be used to improve the sensitivity of the photoresist composition and reduce the dose.

상술한 바와 같이 본 발명의 예시적인 실시예들을 참조하여 설명하였지만 해당 기술 분야에서 통상의 지식을 가진 자라면 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.As described above, although it has been described with reference to exemplary embodiments of the present invention, those skilled in the art can make various modifications to the present invention within the scope not departing from the spirit and scope of the present invention described in the claims below. It will be understood that it can be modified and changed accordingly.

본 발명의 예시적인 실시예들에 따른 포토레지스트 조성물 및 패턴 형성 방법은 메모리 반도체, 비메모리 반도체 등과 같은 전자 소자를 제조하기 위한 미세 공정에 사용될 수 있다.The photoresist composition and pattern formation method according to exemplary embodiments of the present invention may be used in a microprocess for manufacturing electronic devices such as memory semiconductors and non-memory semiconductors.

Claims (13)

반도체 나노입자; 및
용매를 포함하는 포토레지스트 조성물.
semiconductor nanoparticles; and
A photoresist composition comprising a solvent.
제1항에 있어서, 상기 반도체 나노입자는 0.1nm 내지 10nm의 크기를 가지며, ±15%의 크기 분포를 갖는, 포토레지스트 조성물.The photoresist composition according to claim 1, wherein the semiconductor nanoparticles have a size of 0.1 nm to 10 nm and a size distribution of ±15%. 제2항에 있어서, 상기 반도체 나노입자는 1nm 내지 2nm의 크기를 갖는, 포토레지스트 조성물.The photoresist composition according to claim 2, wherein the semiconductor nanoparticles have a size of 1 nm to 2 nm. 제1항에 있어서, 상기 반도체 나노입자는 II-VI족 화합물 및 III-V족 화합물중 적어도 하나를 포함하는, 포토레지스트 조성물.The photoresist composition of claim 1 , wherein the semiconductor nanoparticles include at least one of a group II-VI compound and a group III-V compound. 제1항에 있어서, 오늄염, 방향족 디아조늄염, 술포늄염, 트리아릴술포늄염, 디아릴술포늄염, 모노릴술포늄염, 요오드염, 디아릴요오드염, 니트로벤질 에스테르, 디술폰, 디아조디술폰, 술포네이트 및 트리클로로메틸 트리아진 중 적어도 하나를 포함하는 광산 발생제를 더 포함하는, 포토레지스트 조성물.According to claim 1, onium salt, aromatic diazonium salt, sulfonium salt, triaryl sulfonium salt, diaryl sulfonium salt, monoyl sulfonium salt, iodine salt, diaryl iodine salt, nitrobenzyl ester, disulfone, diazodisulfone , a photoacid generator comprising at least one of sulfonate and trichloromethyl triazine, the photoresist composition. 제5항에 있어서, 상기 나노입자에 대한 상기 광산 발생제의 중량비는 0.01:1 내지 0.1:1인, 포토레지스트 조성물.The photoresist composition according to claim 5, wherein the weight ratio of the photoacid generator to the nanoparticles is 0.01:1 to 0.1:1. 제1항에 있어서, 상기 반도체 나노입자의 함량은 0.1중량% 내지 10 중량%인, 포토레지스트 조성물.The photoresist composition according to claim 1, wherein the content of the semiconductor nanoparticles is 0.1% by weight to 10% by weight. 제1항에 있어서, 상기 반도체 나노입자는 표면에 결합된 유기 리간드를 더 포함하는, 포토레지스트 조성물.The photoresist composition according to claim 1 , wherein the semiconductor nanoparticle further comprises an organic ligand bound to a surface thereof. 제1항에 있어서, 상기 반도체 나노입자는 표면에 결합된 금속 원소 기반의 무기 리간드를 더 포함하는, 포토레지스트 조성물.The photoresist composition according to claim 1 , wherein the semiconductor nanoparticle further comprises an inorganic ligand based on a metal element bonded to a surface thereof. 타겟층 위에, 제1항 내지 제9항 중 어느 하나의 포토레지스트 조성물을 코팅하여 감광성층을 형성하는 단계;
상기 감광성층에 부분적으로 광을 조사하는 단계;
상기 광이 조사된 감광성층을 현상하여 포토레지스트 패턴을 형성하는 단계; 및
상기 포토레지스트 패턴을 마스크로 이용하여 상기 타겟층을 식각하는 단계를 포함하는 패턴 형성 방법.
Forming a photosensitive layer by coating the photoresist composition of any one of claims 1 to 9 on a target layer;
partially irradiating light to the photosensitive layer;
forming a photoresist pattern by developing the photosensitive layer irradiated with the light; and
and etching the target layer using the photoresist pattern as a mask.
제10항에 있어서, 상기 광은 6.0nm 내지 7.0nm 또는 13.0nm 내지 14.0nm의 파장을 갖는, 패턴 형성 방법.The method of claim 10 , wherein the light has a wavelength of 6.0 nm to 7.0 nm or 13.0 nm to 14.0 nm. 제10항에 있어서, 상기 포토레지스트 패턴의 두께는 1 nm 내지 40nm이고, 두께 균일도는 10% 이하이고, 2nm 이하의 RMS 조도를 갖는, 패턴 형성 방법.The method of claim 10 , wherein the photoresist pattern has a thickness of 1 nm to 40 nm, a thickness uniformity of 10% or less, and an RMS roughness of 2 nm or less. 기판 위에, 제1항 내지 제9항 중 어느 하나의 포토레지스트 조성물을 코팅하여 감광성층을 형성하는 단계;
상기 감광성층에 부분적으로 광을 조사하는 단계;
상기 광이 조사된 감광성층을 현상하여 포토레지스트 패턴을 형성하는 단계;
상기 기판과 상기 포토레지스트 패턴 위에 타겟층을 형성하는 단계; 및
상기 포토레지스트 패턴을 제거하여 상기 포토레지스트 패턴 위에 배치된 상기 타겟층을 제거하는 단계를 포함하는 패턴 형성 방법.
Forming a photosensitive layer on a substrate by coating the photoresist composition of any one of claims 1 to 9;
partially irradiating light to the photosensitive layer;
forming a photoresist pattern by developing the photosensitive layer irradiated with the light;
forming a target layer on the substrate and the photoresist pattern; and
and removing the target layer disposed on the photoresist pattern by removing the photoresist pattern.
KR1020220120991A 2021-11-16 2022-09-23 Photoresist composition using nano-particle for euv/beuv and method for forming pattern using the same KR20230071715A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210157353 2021-11-16
KR20210157353 2021-11-16

Publications (1)

Publication Number Publication Date
KR20230071715A true KR20230071715A (en) 2023-05-23

Family

ID=86545020

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220120991A KR20230071715A (en) 2021-11-16 2022-09-23 Photoresist composition using nano-particle for euv/beuv and method for forming pattern using the same

Country Status (1)

Country Link
KR (1) KR20230071715A (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160033855A (en) 2014-09-18 2016-03-29 삼성디스플레이 주식회사 Composition for stripping a photoresist, method of forming a metal pattern using the same and method of manufacturing a display substrate using the same
KR20160063746A (en) 2014-11-27 2016-06-07 삼성전자주식회사 Photoresist polymers, photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160033855A (en) 2014-09-18 2016-03-29 삼성디스플레이 주식회사 Composition for stripping a photoresist, method of forming a metal pattern using the same and method of manufacturing a display substrate using the same
KR20160063746A (en) 2014-11-27 2016-06-07 삼성전자주식회사 Photoresist polymers, photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices

Similar Documents

Publication Publication Date Title
US20230341770A1 (en) Photoactive, inorganic ligand-capped inorganic nanocrystals
US10808174B2 (en) Process for preparing a quantum dot, a quantum dot prepared therefrom, and an electronic device including the same
KR101376722B1 (en) Methods and devices for forming nanostructure monolayers and devices including such monolayers
KR101255001B1 (en) Methods and devices for forming nanostructure monolayers and devices including such monolayers
CN102064102A (en) Methods and devices for forming nanostructure monolayers and devices including such monolayers
WO2005036599A2 (en) Non-volatile memory device
KR20230071715A (en) Photoresist composition using nano-particle for euv/beuv and method for forming pattern using the same
KR20180106125A (en) Quantum Dot Having Organic Ligand
US20230071027A1 (en) Functional photoresist and method of patterning nanoparticle thin film using the same
CN111599666A (en) Photopolymerizable resin composition
JP7483274B2 (en) Inorganic nanocrystals capped with photoactive inorganic ligands
KR102635662B1 (en) Quantum composition, curable pattern formed from the same, and image display including the curable pattern
US11870001B2 (en) Semiconductor nanoparticles, electronic device including the same, and method for manufacturing semiconductor nanoparticles
KR102635661B1 (en) Quantum composition, curable pattern formed from the same, and image display including the curable pattern
KR102661081B1 (en) Quantum composition, curable pattern formed from the same, and image display including the curable pattern
CN116790242A (en) Quantum dot material composition, quantum dot layer patterning method and light emitting device
KR20230087372A (en) Quantum ligand, quantum composition, curable pattern formed from the same, and display device including the curable pattern
CN117866610A (en) Quantum dot mixture, quantum dot luminescent layer and manufacturing method thereof
KR20230121343A (en) Quantum composition, curable pattern formed from the same, and display device including the curable pattern
KR20240010668A (en) Quantum composition, curable pattern formed from the same, and display device including the curable pattern
KR20240010773A (en) Quantum dots, quantum composition comprising the same, curable pattern formed from the same and display device
KR20230121342A (en) Quantum composition, curable pattern formed from the same, and display device including the curable pattern
CN117420729A (en) Nano crystal photoresist-free photoetching method based on organophosphorus ligand
KR20230086575A (en) Quantum ligand, quantum composition, curable pattern formed from the same, and display device including the curable pattern
KR20220134942A (en) Quantum dots, curable composition comprising the same, and curable pattern and image display formed from the composition

Legal Events

Date Code Title Description
E902 Notification of reason for refusal