KR20230068952A - Integrated circuit and method for manufacturing the same - Google Patents

Integrated circuit and method for manufacturing the same Download PDF

Info

Publication number
KR20230068952A
KR20230068952A KR1020220023831A KR20220023831A KR20230068952A KR 20230068952 A KR20230068952 A KR 20230068952A KR 1020220023831 A KR1020220023831 A KR 1020220023831A KR 20220023831 A KR20220023831 A KR 20220023831A KR 20230068952 A KR20230068952 A KR 20230068952A
Authority
KR
South Korea
Prior art keywords
cell
integrated circuit
pattern
metal layer
patterns
Prior art date
Application number
KR1020220023831A
Other languages
Korean (ko)
Inventor
유현규
도정호
박상도
서재우
유지수
정민재
조다연
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to CN202211355938.6A priority Critical patent/CN116110850A/en
Priority to TW111142725A priority patent/TW202326505A/en
Priority to US17/984,417 priority patent/US20230142050A1/en
Publication of KR20230068952A publication Critical patent/KR20230068952A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

An integrated circuit and a method of manufacturing the same are disclosed. The method of manufacturing an integrated circuit comprising a plurality of stacked metal layers comprises the steps of: arranging a plurality of standard cells each comprising cell patterns formed on the plurality of metal layers; and forming an additional pattern on a specific metal layer among the plurality of metal layers, wherein the specific metal layer is formed with patterns extended in a first direction and with a plurality of tracks defined and spaced apart from each other in a second direction. The step of forming an additional pattern comprises: a step of forming an additional pattern between adjacent patterns when the gap between adjacent patterns formed on the same track among the plurality of tracks exceeds a reference value.

Description

집적 회로 및 이를 제조하는 방법{INTEGRATED CIRCUIT AND METHOD FOR MANUFACTURING THE SAME}Integrated circuit and method for manufacturing the same {INTEGRATED CIRCUIT AND METHOD FOR MANUFACTURING THE SAME}

본 개시의 기술적 사상은 집적 회로 및 이를 제조하는 방법에 관한 것이며, 더욱 상세하게는, 적층되는 복수의 메탈 레이어들을 포함하는 집적 회로 및 이를 제조하는 방법에 관한 것이다.The technical idea of the present disclosure relates to an integrated circuit and a method for manufacturing the same, and more particularly, to an integrated circuit including a plurality of stacked metal layers and a method for manufacturing the same.

집적 회로는 표준 셀들을 기반으로 설계될 수 있다. 구체적으로, 집적 회로를 정의하는 데이터에 따라 표준 셀들을 배치하고, 배치된 표준 셀들을 라우팅함으로써 집적 회로의 레이아웃을 생성할 수 있다. 반도체 제조 공정이 미세화됨에 따라, 표준 셀 내의 패턴들의 사이즈가 감소할 수 있고, 표준 셀의 사이즈도 감소할 수 있다. 집적 회로에서 소자의 게이트 길이(length) 및 게이트 라인 사이의 피치(pitch)가 지속적으로 감소함에 따라, 반도체 소자들을 상호 연결하기 위한 라인들의 밀도도 증가하였다.An integrated circuit can be designed based on standard cells. Specifically, the layout of the integrated circuit may be created by arranging standard cells according to data defining the integrated circuit and routing the arranged standard cells. As a semiconductor manufacturing process is miniaturized, the size of patterns in a standard cell may decrease, and the size of the standard cell may also decrease. As the length of a gate of an integrated circuit and the pitch between gate lines continuously decrease, the density of lines for interconnecting semiconductor devices has also increased.

본 발명이 해결하고자 하는 기술적 과제는, 라우팅의 자유도가 증가된 집적 회로 및 집적 회로를 제조하는 방법을 제공하는 데에 있다.A technical problem to be solved by the present invention is to provide an integrated circuit with increased routing freedom and a method for manufacturing the integrated circuit.

본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 통상의 기술자에게 명확하게 이해될 수 있을 것이다.The technical problems of the present invention are not limited to the technical problems mentioned above, and other technical problems not mentioned will be clearly understood by those skilled in the art from the description below.

본 개시의 기술적 사상에 따른 적층되는 복수의 메탈 레이어들을 포함하는 집적 회로를 제조하는 방법은, 복수의 메탈 레이어들에 형성된 셀 패턴들을 각각 포함하는 복수의 표준 셀들을 배치하는 단계, 및 복수의 메탈 레이어들 중 특정 메탈 레이어에 추가 패턴을 형성하는 단계를 포함하고, 특정 메탈 레이어는 제1 방향으로 연장되는 패턴들이 각각 형성되고 서로 제2 방향으로 이격되는 복수의 트랙들이 정의되고, 추가 패턴을 형성하는 단계는, 복수의 트랙들 중 동일한 트랙에 형성된 인접한 패턴들 사이의 간격이 기준 값 초과일 때, 인접한 패턴들 사이에 추가 패턴을 형성하는 단계를 포함할 수 있다.According to the technical idea of the present disclosure, a method of manufacturing an integrated circuit including a plurality of stacked metal layers includes disposing a plurality of standard cells each including cell patterns formed on the plurality of metal layers, and a plurality of metal layers. Forming an additional pattern on a specific metal layer among the layers, wherein the specific metal layer has patterns extending in a first direction and a plurality of tracks spaced apart from each other in a second direction are defined, and the additional pattern is formed. The performing of the plurality of tracks may include forming an additional pattern between adjacent patterns when a distance between adjacent patterns formed on the same track among the plurality of tracks exceeds a reference value.

본 개시의 기술적 사상에 따른 적층되는 복수의 메탈 레이어들을 포함하는 집적 회로는, 복수의 메탈 레이어들에 형성된 셀 패턴들을 각각 포함하는 제1 로직 셀 및 제2 로직 셀, 및 복수의 메탈 레이어들 중 특정 메탈 레이어에서 제1 로직 셀 및 제2 로직 셀 상에 걸쳐서 형성되고, 다른 패턴들과 전기적으로 분리되는 더미 패턴을 포함하고, 특정 메탈 레이어는, 제1 방향으로 연장되는 패턴들이 각각 형성되고 서로 제2 방향으로 이격되는 복수의 제1 트랙들이 정의되고, 복수의 제1 트랙들의 모든 트랙들에는 패턴들이 형성될 수 있다.According to the technical idea of the present disclosure, an integrated circuit including a plurality of stacked metal layers includes first logic cells and second logic cells each including cell patterns formed on the plurality of metal layers, and among the plurality of metal layers. A dummy pattern is formed over the first logic cell and the second logic cell in the specific metal layer and is electrically separated from other patterns, and in the specific metal layer, patterns extending in a first direction are respectively formed and mutually A plurality of first tracks spaced apart in a second direction may be defined, and patterns may be formed on all tracks of the plurality of first tracks.

본 개시의 기술적 사상에 따른 적층되는 복수의 메탈 레이어들을 포함하는 집적 회로는, 복수의 메탈 레이어들에 형성된 셀 패턴들을 각각 포함하는 제1 표준 셀 및 제2 표준 셀, 및 복수의 메탈 레이어들 중 특정 메탈 레이어에서 제1 표준 셀 및 제2 표준 셀에 걸쳐서 형성되고, 제1 표준 셀의 셀 패턴으로부터 연장되는 연장 패턴을 포함하고, 특정 메탈 레이어는 제1 방향으로 연장되는 패턴들이 각각 형성되고 서로 제2 방향으로 이격되는 복수의 제1 트랙들이 정의되고, 복수의 제1 트랙들 중 동일한 트랙에 형성된 인접한 패턴들은 서로 적어도 하나의 지정 값만큼 이격될 수 있다.According to the technical idea of the present disclosure, an integrated circuit including a plurality of stacked metal layers includes first standard cells and second standard cells each including cell patterns formed on the plurality of metal layers, and among the plurality of metal layers. The specific metal layer is formed over the first standard cell and the second standard cell, and includes an extension pattern extending from the cell pattern of the first standard cell, and the specific metal layer has patterns extending in the first direction, respectively, and mutually. A plurality of first tracks spaced apart in a second direction may be defined, and adjacent patterns formed on the same track among the plurality of first tracks may be spaced apart from each other by at least one predetermined value.

본 개시의 예시적 실시 예에 따른 집적 회로를 제조하는 방법은, 라우팅 단계에서 특정 메탈 레이어에 형성된 복수의 트랙들 중 동일한 트랙에 형성된 인접한 패턴들 사이의 간격이 기준 값 초과일 때, 추가 패턴을 형성할 수 있다. 표준 셀 자체의 셀 패턴이 풀-트랙(full-track) 구조가 아니더라도, 라우팅 단계에서 추가 패턴을 형성함으로써 풀-트랙 구조의 집적 회로를 제조할 수 있다. 따라서, 집적 회로를 제조하는 방법은 라우팅의 자유도가 증가할 수 있고, 메탈 레이어들의 일정한 패턴들을 형성하는 것이 용이해질 수 있다. In a method of manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure, when a distance between adjacent patterns formed on the same track among a plurality of tracks formed on a specific metal layer exceeds a reference value in a routing step, an additional pattern is generated. can form Even if the cell pattern of the standard cell itself is not a full-track structure, an integrated circuit with a full-track structure can be manufactured by forming an additional pattern in a routing step. Accordingly, in the method of manufacturing an integrated circuit, the degree of freedom of routing may be increased, and it may be facilitated to form uniform patterns of metal layers.

본 개시의 예시적 실시 예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 본 개시의 예시적 실시 예들에 대한 기재로부터 본 개시의 예시적 실시 예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시 예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시 예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.Effects that can be obtained in the exemplary embodiments of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned above can be obtained from the description of the exemplary embodiments of the present disclosure below. It can be clearly derived and understood by those skilled in the art to which they belong. That is, unintended effects according to the exemplary embodiments of the present disclosure may also be derived by those skilled in the art from the exemplary embodiments of the present disclosure.

본 명세서에 첨부된 도면들은 도해의 편의를 위하여 스케일에 맞지 아니할 수 있고, 구성요소들을 과장하거나 축소하여 도시할 수 있다.
도 1은 본 개시의 예시적 실시 예에 따른 집적 회로를 설명하기 위한 레이아웃도이다.
도 2a 및 도 2c는 도 1의 A-A'선 단면도들이고, 도 2b 및 도 2d는 도 1의 B-B'선 단면도들이다.
도 3 및 도 4는 본 개시의 예시적 실시 예에 따른 집적 회로를 설명하기 위한 도면들이다.
도 5는 본 개시의 예시적 실시 예에 따른 집적 회로를 설명하기 위한 도면이다.
도 6은 본 개시의 예시적 실시 예에 따라 집적 회로의 제조 방법을 나타내는 순서도이다.
도 7은 본 개시의 예시적 실시 예에 따라 집적 회로의 제조 방법을 나타내는 순서도이다.
도 8은 본 개시의 예시적 실시 예에 따라 집적 회로의 제조 방법을 나타내는 순서도이다.
도 9 및 도 10은 본 개시의 예시적 실시 예에 따른 집적 회로의 제조 방법을 설명하기 위한 도면들이다.
도 11은 본 개시의 예시적 실시 예에 따른 집적 회로의 제조 방법을 설명하기 위한 도면이다.
도 12은 본 개시의 예시적 실시 예에 따른 집적 회로의 설계를 위한 컴퓨팅 시스템을 나타내는 블록도이다.
The drawings accompanying this specification may not be to scale for convenience of illustration, and components may be exaggerated or reduced.
1 is a layout diagram illustrating an integrated circuit according to an exemplary embodiment of the present disclosure.
2A and 2C are cross-sectional views taken along line A-A' of FIG. 1, and FIGS. 2B and 2D are cross-sectional views taken along line B-B' of FIG.
3 and 4 are diagrams for describing an integrated circuit according to an exemplary embodiment of the present disclosure.
5 is a diagram for explaining an integrated circuit according to an exemplary embodiment of the present disclosure.
6 is a flowchart illustrating a method of fabricating an integrated circuit according to an exemplary embodiment of the present disclosure.
7 is a flowchart illustrating a method of fabricating an integrated circuit according to an exemplary embodiment of the present disclosure.
8 is a flowchart illustrating a method of fabricating an integrated circuit according to an exemplary embodiment of the present disclosure.
9 and 10 are diagrams for explaining a method of manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
11 is a diagram for explaining a method of manufacturing an integrated circuit according to an exemplary embodiment of the present disclosure.
12 is a block diagram illustrating a computing system for design of an integrated circuit according to an exemplary embodiment of the present disclosure.

이하, 본 발명의 다양한 실시 예가 첨부된 도면을 참조하여 기재된다. Hereinafter, various embodiments of the present invention will be described with reference to the accompanying drawings.

도 1은 본 개시의 예시적 실시 예에 따른 집적 회로(10)를 설명하기 위한 레이아웃도이다. 구체적으로, 도 1은 집적 회로(10)의 제1 메탈 레이어(M1)의 패턴들을 설명하기 위한 레이아웃도이다. 1 is a layout diagram illustrating an integrated circuit 10 according to an exemplary embodiment of the present disclosure. Specifically, FIG. 1 is a layout diagram for explaining patterns of the first metal layer M1 of the integrated circuit 10 .

도 1은 하나의 칩(chip) 또는 하나의 기능 블록을 구성하는 집적 회로(10)의 일부를 X축 및 Y축으로 이루어진 평면에서 나타내는 평면도이다. 본 명세서에서, X축 방향 및 Y축 방향은 제1 수평 방향 및 제2 수평 방향으로 각각 지칭될 수 있고, Z축 방향은 수직 방향으로 지칭될 수 있다. X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z축 방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z축 방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. FIG. 1 is a plan view showing a part of an integrated circuit 10 constituting one chip or one functional block on a plane consisting of an X axis and a Y axis. In this specification, the X-axis direction and the Y-axis direction may be referred to as a first horizontal direction and a second horizontal direction, respectively, and the Z-axis direction may be referred to as a vertical direction. The plane made up of the X and Y axes can be referred to as the horizontal plane, and components arranged in the +Z-axis direction relative to other components can be referred to as being above other components, and relative to other components - Components disposed in the Z-direction may be referred to as being below other components.

집적 회로(10)는 복수의 표준 셀들을 포함할 수 있다. 표준 셀(standard cell)은 집적 회로에 포함되는 레이아웃의 단위로서, 미리 정의된 기능을 수행하도록 설계될 수 있고, 셀(cell)로서 지칭될 수도 있다. 집적 회로(10)는 다수의 다양한 표준 셀들을 포함할 수 있고, 표준 셀들은 복수의 행들(rows)에 따라 정렬되어 배치될 수 있다.Integrated circuit 10 may include a plurality of standard cells. A standard cell is a layout unit included in an integrated circuit, and may be designed to perform a predefined function, and may also be referred to as a cell. The integrated circuit 10 may include a number of various standard cells, and the standard cells may be arranged and arranged according to a plurality of rows.

복수의 표준 셀들은 집적 회로 디자인에 반복적으로 사용된다. 표준 셀들은 제조 기술에 따라 기디자인 되어 표준 셀 라이브러리에 저장될 수 있고, 이러한 표준 셀 라이브러리에 저장된 표준 셀들을 디자인 룰에 따라 배치하고 상호 연결함으로써, 집적 회로를 설계할 수 있다. Multiple standard cells are used repeatedly in integrated circuit design. Standard cells may be pre-designed according to a manufacturing technology and stored in a standard cell library, and integrated circuits may be designed by arranging and interconnecting standard cells stored in the standard cell library according to design rules.

표준 셀들은 로직 셀을 포함할 수 있다. 예를 들어, 로직 셀은 인버터, AND 게이트, NAND 게이트, OR 게이트, XOR 게이트 및 NOR 게이트와 같이, 중앙 처리 장치(CPU), 그래픽 처리 장치(GPU), 및 시스템 온 칩(SOC) 등의 전자 기기를 위한 디지털 회로 디자인에 자주 사용되는 다양한 기본 회로를 구성하는 회로를 구현할 수 있다. 또는, 예를 들어, 로직 셀은 플립 플럽(flip-flop) 및 랫치(latch) 등과 같이 회로 블록에 자주 사용되는 다른 회로를 구현할 수도 있다. Standard cells may include logic cells. For example, logic cells include electronic components such as central processing units (CPUs), graphics processing units (GPUs), and systems on a chip (SOCs), such as inverters, AND gates, NAND gates, OR gates, XOR gates, and NOR gates. You can implement circuits that make up various basic circuits that are often used in digital circuit design for devices. Or, for example, logic cells may implement other circuits often used in circuit blocks, such as flip-flops and latches.

표준 셀들은 필러 셀(filler cell)을 포함할 수 있다. 필러 셀은 기능 셀과 인접하게 배치됨으로써, 기능 셀로 제공되거나 기능 셀로부터 출력되는 신호들의 라우팅을 제공할 수 있다. 또한, 필러 셀은 기능 셀들이 배치되고 난 후 남은 공간을 채우기 위해 사용되는 셀일 수 있다.Standard cells may include filler cells. A pillar cell may be placed adjacent to a functional cell to provide routing of signals provided to or output from the functional cell. Also, the filler cell may be a cell used to fill a space remaining after functional cells are disposed.

집적 회로(10)는 표준 셀들을 상호 연결하기 위한 배선들이 형성되는 메탈 레이어들을 포함할 수 있다. 메탈 레이어들 중 일부는 표준 셀 내부 소자들을 상호 연결하기 위한 구성으로 이용될 수 있다.The integrated circuit 10 may include metal layers on which wires for interconnecting standard cells are formed. Some of the metal layers may be used as a configuration for interconnecting elements inside the standard cell.

복수의 메탈 레이어들은 수직 방향으로 차례로 적층될 수 있고, 예를 들어, 제1 메탈 레이어(M1) 상에 제2 메탈 레이어(예를 들어, 도 3 및 도 4의 M2)가 형성될 수 있고, 제2 메탈 레이어(M2) 상에 제3 메탈 레이어(예를 들어, 도 5의 M3)가 형성될 수 있다. 예시적인 실시 예에서, 제1 메탈 레이어(M1)는 X축 방향으로 연장되는 패턴들을 포함할 수 있고, 제2 메탈 레이어(M2)는 Y축 방향으로 연장되는 패턴들을 포함할 수 있고, 제3 메탈 레이어(M3)는 X축 방향으로 연장되는 패턴들을 포함할 수 있다(uni-direction). 또한, 제3 메탈 레이어(M3) 상에 다른 메탈 레이어들이 더 형성될 수 있다. The plurality of metal layers may be sequentially stacked in a vertical direction, and for example, a second metal layer (eg, M2 in FIGS. 3 and 4) may be formed on the first metal layer M1, A third metal layer (eg, M3 in FIG. 5 ) may be formed on the second metal layer M2 . In an exemplary embodiment, the first metal layer M1 may include patterns extending in the X-axis direction, the second metal layer M2 may include patterns extending in the Y-axis direction, and the third metal layer M2 may include patterns extending in the Y-axis direction. The metal layer M3 may include patterns extending in the X-axis direction (uni-direction). Also, other metal layers may be further formed on the third metal layer M3.

메탈 레이어들 각각에 형성된 패턴들은 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있다. 본 명세서의 도면들에서, 도해의 편의상 일부 레이어들만이 도시될 수 있으며, 메탈 레이어의 패턴과 하위 패턴 사이 연결을 나타내기 위하여 비아(via)는 메탈 레이어의 패턴 아래에 위치함에도 불구하고 표시될 수 있다. The patterns formed on each of the metal layers may be made of metal, conductive metal nitride, metal silicide, or a combination thereof. In the drawings of this specification, only some layers may be shown for convenience of illustration, and vias may be displayed even though they are located under the pattern of the metal layer to indicate the connection between the pattern of the metal layer and the lower pattern. there is.

집적 회로(10)는 표준 셀들 각각에 전압을 공급하는 제1 파워 라인(PL1) 및 제2 파워 라인(PL2)을 포함할 수 있다. 제1 파워 라인(PL1) 및 제2 파워 라인(PL2)은 복수의 행들 각각의 경계에 배치될 수 있다. 제1 파워 라인(PL1)은 각 표준 셀들로 제1 공급 전압(예를 들어, 전원 전압)을 제공할 수 있고, 제2 파워 라인(PL2)은 각 표준 셀들로 제2 공급 전압(예를 들어, 접지 전압)을 제공할 수 있다. 제1 파워 라인(PL1) 및 제2 파워 라인(PL2)은 X축 방향으로 연장되는 도전 패턴으로 형성될 수 있고 Y축 방향으로 서로 교번적으로 배치될 수 있다. 도 1에서는 제1 파워 라인(PL1) 및 제2 파워 라인(PL2) 각각이 제1 메탈 레이어(M1)의 패턴으로서 형성되는 것을 도시하였으나, 본 개시에 따른 집적 회로(10)는 이에 한정되지 않으며, 제1 파워 라인(PL1) 및 제2 파워 라인(PL2) 각각은 제1 메탈 레이어(M1)의 상위 메탈 레이어의 패턴으로서 형성될 수도 있고, 또는, 기판에 형성된 분리 트랜치 내부에 형성될 수도 있다.The integrated circuit 10 may include a first power line PL1 and a second power line PL2 supplying a voltage to each of the standard cells. The first power line PL1 and the second power line PL2 may be disposed at the boundary of each of the plurality of rows. The first power line PL1 may provide a first supply voltage (eg, power supply voltage) to each standard cell, and the second power line PL2 may provide a second supply voltage (eg, a power supply voltage) to each standard cell. , ground voltage). The first power line PL1 and the second power line PL2 may be formed as conductive patterns extending in the X-axis direction and may be alternately disposed in the Y-axis direction. Although FIG. 1 shows that each of the first power line PL1 and the second power line PL2 is formed as a pattern of the first metal layer M1, the integrated circuit 10 according to the present disclosure is not limited thereto. , Each of the first power line PL1 and the second power line PL2 may be formed as a pattern of an upper metal layer of the first metal layer M1 or may be formed inside a separation trench formed in the substrate. .

도 1을 참조하면, 집적 회로(10)는 서로 X축 방향으로 인접하게 배치되는 제1 표준 셀(C1) 및 제2 표준 셀(C2)을 포함할 수 있다. 제1 표준 셀(C1) 및 제2 표준 셀(C2)은 하나의 행에 정렬되어 배치되는 단일 높이 셀(single height cell)일 수 있고, 제1 표준 셀(C1) 및 제2 표준 셀(C2)은 Y 축 방향으로 제1 셀 높이(CH1)를 가질 수 있다. 다만, 이에 한정되지 않고, 집적 회로(10)는 2개 이상의 인접한 행들에 연속적으로 배치되는 다중 높이 셀(multiple height cell)인 제1 표준 셀(C1) 및 제2 표준 셀(C2)을 포함할 수도 있다.Referring to FIG. 1 , the integrated circuit 10 may include a first standard cell C1 and a second standard cell C2 disposed adjacent to each other in the X-axis direction. The first standard cell C1 and the second standard cell C2 may be single height cells aligned and arranged in one row, and the first standard cell C1 and the second standard cell C2 ) may have a first cell height CH1 in the Y-axis direction. However, it is not limited thereto, and the integrated circuit 10 may include a first standard cell C1 and a second standard cell C2, which are multiple height cells consecutively arranged in two or more adjacent rows. may be

제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각은 셀 바운더리에 의해 정의될 수 있다. 셀 바운더리에는 디퓨전 브레이크(diffusion break)가 형성될 수 있다. 이 때, 제1 표준 셀(C1) 및 제2 표준 셀(C2)은 로직 셀일 수 있다.Each of the first standard cell C1 and the second standard cell C2 may be defined by a cell boundary. A diffusion break may be formed at the cell boundary. In this case, the first standard cell C1 and the second standard cell C2 may be logic cells.

집적 회로(10)에는 제1 메탈 레이어(M1)의 패턴들이 배치되는 복수의 트랙들이 정의될 수 있다. 제1 메탈 레이어(M1)는 복수의 메탈 레이어들 중 최하위 메탈 레이어일 수 있다. 복수의 트랙들은 X축 방향으로 연장되고, Y축 방향으로 서로 이격될 수 있다. 예를 들어, 제1 표준 셀(C1) 및 제2 표준 셀(C2) 상에는 제1 내지 제5 트랙(TR11~TR15)이 형성될 수 있다. 제1 내지 제5 트랙(TR11~TR15)에는 X축 방향으로 연장되는 도전 패턴이 형성될 수 있다. 다만, 도 1에 도시된 바와 달리 제1 표준 셀(C1) 및 제2 표준 셀(C2)의 셀 바운더리 내부를 지나가도록 형성되는 제1 메탈 레이어(M1)의 트랙의 수는 다양하게 변형이 가능하다.A plurality of tracks on which patterns of the first metal layer M1 are disposed may be defined in the integrated circuit 10 . The first metal layer M1 may be the lowest metal layer among the plurality of metal layers. A plurality of tracks may extend in the X-axis direction and may be spaced apart from each other in the Y-axis direction. For example, first to fifth tracks TR11 to TR15 may be formed on the first standard cell C1 and the second standard cell C2. Conductive patterns extending in the X-axis direction may be formed in the first to fifth tracks TR11 to TR15. However, unlike shown in FIG. 1, the number of tracks of the first metal layer M1 formed to pass inside the cell boundaries of the first standard cell C1 and the second standard cell C2 can be variously modified. do.

제1 표준 셀(C1) 및 제2 표준 셀(C2)은 제1 메탈 레이어(M1)의 패턴들을 포함할 수 있다. 예를 들어, 제1 표준 셀(C1)은 제1 트랙(TR11), 제2 트랙(TR12), 제3 트랙(TR13), 및 제5 트랙(TR15)에 형성된 셀 패턴들을 포함할 수 있다. 또한 예를 들어, 제2 표준 셀(C2)은 제1 트랙(TR11), 제2 트랙(TR12), 제3 트랙(TR13), 및 제5 트랙(TR15)에 형성된 셀 패턴들을 포함할 수 있다.The first standard cell C1 and the second standard cell C2 may include patterns of the first metal layer M1. For example, the first standard cell C1 may include cell patterns formed on the first track TR11, the second track TR12, the third track TR13, and the fifth track TR15. Also, for example, the second standard cell C2 may include cell patterns formed on the first track TR11, the second track TR12, the third track TR13, and the fifth track TR15. .

제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각에는 제1 내지 제5 트랙(TR11~TR15) 중 적어도 하나의 트랙에 셀 패턴이 형성되지 않을 수 있다. 즉, 제1 내지 제5 트랙(TR11~TR15) 중 적어도 하나의 트랙은 제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각의 셀 바운더리 내에 셀 패턴이 형성되지 않을 수 있다. 예를 들어, 제4 트랙(TR14)에는 제1 표준 셀(C1)의 셀 패턴이 형성되지 않을 수 있고, 제4 트랙(TR14)에는 제2 표준 셀(C2)의 셀 패턴이 형성되지 않을 수 있다. 제1 표준 셀(C1) 및 제2 표준 셀(C2)은 풀-트랙(full-track) 구조가 아닐 수 있다.A cell pattern may not be formed in at least one of the first to fifth tracks TR11 to TR15 in each of the first standard cell C1 and the second standard cell C2. That is, in at least one of the first to fifth tracks TR11 to TR15, a cell pattern may not be formed within the cell boundary of each of the first standard cell C1 and the second standard cell C2. For example, the cell pattern of the first standard cell C1 may not be formed in the fourth track TR14, and the cell pattern of the second standard cell C2 may not be formed in the fourth track TR14. there is. The first standard cell C1 and the second standard cell C2 may not have a full-track structure.

집적 회로(10)는 제1 메탈 레이어(M1)에 형성되는 더미 패턴 및/또는 연장 패턴을 포함할 수 있다. 더미 패턴 및 연장 패턴은 제1 표준 셀(C1) 및 제2 표준 셀(C2) 상에 배치되나 셀 패턴에는 포함되지 않을 수 있다. 더미 패턴 및 연장 패턴은 P&R(배치 및 라우팅) 단계(예를 들어, 도 6의 S20) 단계에서 생성되는 패턴일 수 있다. The integrated circuit 10 may include a dummy pattern and/or an extension pattern formed on the first metal layer M1. The dummy pattern and the extension pattern are disposed on the first standard cell C1 and the second standard cell C2 but may not be included in the cell pattern. The dummy pattern and the extension pattern may be patterns generated in a P&R (placement and routing) step (eg, S20 of FIG. 6 ).

집적 회로(10)의 제1 메탈 레이어(M1)의 패턴들은 서로 지정된 간격을 갖도록 배치될 수 있다. 제1 메탈 레이어(M1)의 동일한 트랙에 서로 인접하게 배치된 패턴들 사이의 간격은 팁-투-팁(tip-to-tip, 이하 "T2T") 스페이스로 정의될 수 있고, 팁-투-팁 스페이스는 제1 지정 값(T1)을 갖도록 제1 메탈 레이어(M1)의 패턴들이 배치될 수 있다. 예를 들어, 하나의 칩 또는 하나의 기능 블록을 구성하는 집적 회로(10) 내에서 제1 지정 값(T1)은 10개 이하로 설정될 수 있다. 예시적인 실시 예에서, 집적 회로(10)에 설정된 제1 지정 값(T1)은 1개 또는 2개일 수 있다.Patterns of the first metal layer M1 of the integrated circuit 10 may be arranged to have a designated interval from each other. A spacing between patterns disposed adjacent to each other on the same track of the first metal layer M1 may be defined as a tip-to-tip (hereinafter referred to as “T2T”) space, and a tip-to-tip Patterns of the first metal layer M1 may be arranged so that the tip space has a first designated value T1. For example, within the integrated circuit 10 constituting one chip or one functional block, the number of first designation values T1 may be set to 10 or less. In an exemplary embodiment, the number of first designated values T1 set in the integrated circuit 10 may be one or two.

본 개시에 따른 집적 회로(10)의 제조 방법은, P&R 단계에서 제1 메탈 레이어(M1)의 빈 공간에 더미 패턴 및 연장 패턴을 형성함으로써, 제1 메탈 레이어(M1)의 팁-투-팁 스페이스 요건을 만족시킬 수 있다. 즉, 집적 회로(10)는 제1 메탈 레이어(M1)의 모든 트랙들에 팁-투-팁 스페이스 요건을 만족하는 패턴들이 형성되는 풀-트랙 구조를 가질 수 있다. In the manufacturing method of the integrated circuit 10 according to the present disclosure, a tip-to-tip of the first metal layer M1 is formed by forming a dummy pattern and an extension pattern in an empty space of the first metal layer M1 in a P&R step. space requirements can be met. That is, the integrated circuit 10 may have a full-track structure in which patterns satisfying a tip-to-tip space requirement are formed on all tracks of the first metal layer M1 .

제1 메탈 레이어(M1)의 더미 패턴은, 다른 레이어의 패턴들과 전기적으로 분리될 수 있다. 즉, 더미 패턴은 제1 메탈 레이어(M1) 상부에 형성되는 다른 메탈 레이어들의 패턴들과 전기적으로 분리될 수 있고, 제1 메탈 레이어(M1)의 하부에 형성되는 소자와 전기적으로 분리될 수 있다. The dummy pattern of the first metal layer M1 may be electrically separated from patterns of other layers. That is, the dummy pattern may be electrically separated from patterns of other metal layers formed on the first metal layer M1 and may be electrically separated from elements formed under the first metal layer M1. .

예시적인 실시 예에서, 더미 패턴은 제1 표준 셀(C1) 및 제2 표준 셀(C2) 상에 걸쳐서 형성될 수 있다. 제1 메탈 레이어(M1)의 더미 패턴은 X축 방향으로 서로 인접하게 배치된 2개 이상의 표준 셀들 상에 걸쳐서 형성될 수도 있다. 예를 들어, 더미 패턴은 제2 트랙(TR12) 및 제3 트랙(TR13)에 배치될 수 있고, 제1 표준 셀(C1) 및 제2 표준 셀(C2) 사이의 셀 바운더리 상에 배치될 수 있다. In an exemplary embodiment, the dummy pattern may be formed over the first standard cell C1 and the second standard cell C2. The dummy pattern of the first metal layer M1 may be formed over two or more standard cells disposed adjacent to each other in the X-axis direction. For example, the dummy patterns may be disposed on the second track TR12 and the third track TR13 and may be disposed on cell boundaries between the first standard cell C1 and the second standard cell C2. there is.

제1 메탈 레이어(M1)의 연장 패턴은 제1 표준 셀(C1)의 셀 패턴으로부터 연장되도록 형성될 수 있다. 예시적인 실시 예에서, 제1 메탈 레이어(M1)의 연장 패턴은 제1 표준 셀(C1)의 출력 핀 또는 입력 핀으로부터 연장되는 패턴일 수 있다. 연장 패턴 상에는 비아가 형성될 수 있고, 제1 메탈 레이어(M1)의 상위 레이어, 예를 들어, 제2 메탈 레이어(M2)와 전기적으로 연결될 수 있다. 또한, 연장 패턴은 제1 메탈 레이어(M1)의 하부에 형성되는 소자와 전기적으로 연결될 수 있다. The extension pattern of the first metal layer M1 may be formed to extend from the cell pattern of the first standard cell C1. In an exemplary embodiment, the extension pattern of the first metal layer M1 may be a pattern extending from an output pin or an input pin of the first standard cell C1. A via may be formed on the extension pattern and may be electrically connected to an upper layer of the first metal layer M1, for example, the second metal layer M2. Also, the extension pattern may be electrically connected to an element formed under the first metal layer M1.

예시적인 실시 예에서, 연장 패턴은 제1 표준 셀(C1) 및 제2 표준 셀(C2) 상에 걸쳐서 형성될 수 있다. 제1 메탈 레이어(M1)의 연장 패턴은 X축 방향으로 서로 인접하게 배치된 2개 이상의 표준 셀들 상에 걸쳐서 형성될 수도 있다. 예를 들어, 연장 패턴은 제5 트랙(TR15)에 배치될 수 있다. In an exemplary embodiment, the extension pattern may be formed over the first standard cell C1 and the second standard cell C2. The extension pattern of the first metal layer M1 may be formed over two or more standard cells disposed adjacent to each other in the X-axis direction. For example, the extension pattern may be disposed on the fifth track TR15.

본 개시의 예시적 실시 예에 따른 집적 회로(10)는 제1 메탈 레이어(M1)에 셀 패턴이 형성되지 않는 빈 트랙이 배치된 제1 표준 셀(C1) 및 제2 표준 셀(C2)을 포함하고, P&R 단계에서 추가 형성된 추가 패턴인 더미 패턴 또는 연장 패턴을 포함할 수 있다. 따라서, 집적 회로(10)를 제조함에 있어서, 라우팅의 자유도가 증가할 수 있고, 풀-트랙 구조를 갖는 제1 메탈 레이어(M1)에 일정한 패턴들을 형성하는 것이 용이해질 수 있다. An integrated circuit 10 according to an exemplary embodiment of the present disclosure includes first standard cells C1 and second standard cells C2 in which empty tracks on which no cell pattern is formed are disposed in a first metal layer M1. and may include a dummy pattern or an extension pattern that is an additional pattern additionally formed in the P&R step. Accordingly, in manufacturing the integrated circuit 10, the degree of freedom of routing may be increased, and it may be easy to form certain patterns on the first metal layer M1 having a full-track structure.

도 2a 및 도 2c는 도 1의 A-A'선 단면도들이고, 도 2b 및 도 2d는 도 1의 B-B'선 단면도들이다. 도 2c 및 도 2d에 대한 설명에서는, 도 2a 및 도 2b에서와 동일한 부호에 대해서는 중복 설명을 생략하겠다.2A and 2C are cross-sectional views taken along line A-A' of FIG. 1, and FIGS. 2B and 2D are cross-sectional views taken along line B-B' of FIG. In the description of FIGS. 2C and 2D , redundant descriptions of the same reference numerals as those in FIGS. 2A and 2B will be omitted.

도 1, 도 2a, 및 도 2b를 참조하면, 집적 회로(10)의 제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각은 셀 바운더리에 의해 정의될 수 있고, 각각의 셀 바운더리에는 디퓨젼 브레이크(120)가 형성될 수 있다. 디퓨젼 브레이크(120)는 서로 다른 표준 셀들의 활성 영역을 서로 전기적으로 분리시킬 수 있다. 도 2a 및 도 2b에서는 싱글 디퓨젼 브레이크가 도시되었으나, 이와 달리 셀 바운더리에는 더블 디퓨젼 브레이크가 형성될 수도 있다. 1, 2a, and 2b, each of the first standard cell C1 and the second standard cell C2 of the integrated circuit 10 may be defined by a cell boundary, and each cell boundary includes A diffusion brake 120 may be formed. The diffusion brake 120 may electrically separate active regions of different standard cells from each other. Although a single diffusion break is shown in FIGS. 2A and 2B, a double diffusion break may be formed at the cell boundary.

디퓨젼 브레이크(120)는 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 실리콘 탄화질화막 등과 같은 실리콘 함유 절연막, 또는 이들의 조합을 포함할 수 있다. 예를 들면, 디퓨젼 브레이크(120)는 FSG(fluoride silicate glass), USG(undoped silicate glass), BPSG(boro-phospho-silicate glass), PSG(phospho-silicate glass), FOX(flowable oxide), PE-TEOS(plasma enhanced tetra-ethyl-ortho-silicate), 또는 TOSZ(tonen silazene)를 포함할 수 있다.The diffusion brake 120 may include a silicon-containing insulating layer such as a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a silicon carbonitride layer, or a combination thereof. For example, the diffusion brake 120 may include fluoride silicate glass (FSG), undoped silicate glass (USG), boro-phospho-silicate glass (BPSG), phospho-silicate glass (PSG), flowable oxide (FOX), PE -TEOS (plasma enhanced tetra-ethyl-ortho-silicate), or TOSZ (tonen silazene) may be included.

집적 회로(10)의 제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각은 기판(902)로부터 돌출되고 X축 방향으로 연장되는 핀형 활성 영역(F)을 포함할 수 있다. 기판(902)은 실리콘(Si) 또는 저마늄(Ge)과 같은 반도체, 또는 GaAs, AlGaAs, InAs, InGaAs,InSb, GaSb, InGaSb, InP, GaP, InGaP, InN, GaN, InGaN 등과 같은 III-IV족 화합물을 포함할 수 있다. 예시적인 실시 예에서, 기판은 실리콘-온-인슐레이터(Silicon-On-Insulator, SOI) 기판 또는 게르마늄-온-인슐레이터(Germanium-On-Insulator, GOI) 기판일 수 있다.Each of the first standard cell C1 and the second standard cell C2 of the integrated circuit 10 may include a fin-type active region F protruding from the substrate 902 and extending in the X-axis direction. The substrate 902 is a semiconductor such as silicon (Si) or germanium (Ge), or III-IV such as GaAs, AlGaAs, InAs, InGaAs, InSb, GaSb, InGaSb, InP, GaP, InGaP, InN, GaN, InGaN, and the like. group compounds may be included. In an exemplary embodiment, the substrate may be a Silicon-On-Insulator (SOI) substrate or a Germanium-On-Insulator (GOI) substrate.

제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각에 형성되는 핀형 활성 영역(F)의 수는 다양하게 변형이 가능하다. 다만 본 개시에 따른 제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각은 도 2a 및 도 2b에 도시된 바에 한정되지 않고, 도 2c 및 도 2d에 도시된 바와 같이 핀형 활성 영역(F) 상에는 나노시트(nanosheet)가 형성될 수도 있고, 예를 들어, 게이트 라인이 나노 시트를 포위하는 MBC(Multi Bridge Channel) FET가 형성될 수도 있다. 또는, 예를 들어, 핀형 활성 영역(F) 상에는 나노와이어(nanowire)가 게이트 라인으로 포위되는 GAA(gate-all-around) FET가 형성될 수도 있고, 적층된 복수의 나노와이어들이 게이트 라인으로 포위되는 vertical GAA FET가 형성될 수도 있다. 또한 예를 들어, 제1 표준 셀(C1) 및 제2 표준 셀(C2) 각각의 활성 영역에는 NC(negative capacitance) FET가 형성될 수도 있다. 전술한 트랜지스터의 예시 외에도 다양한 트랜지스터들(CFET(complementary FET), NCFET(negative FET), CNT(carbon nanotube) FET, 양극성 접합(bipolar junction) 트랜지스터, 기타 3차원 트랜지스터)이 형성될 수 있다.The number of fin-type active regions F formed in each of the first standard cell C1 and the second standard cell C2 may be variously modified. However, each of the first standard cell C1 and the second standard cell C2 according to the present disclosure is not limited to those shown in FIGS. 2A and 2B, and as shown in FIGS. 2C and 2D, the fin-type active region (F ), a nanosheet may be formed on the nanosheet, and for example, a Multi Bridge Channel (MBC) FET having a gate line surrounding the nanosheet may be formed. Alternatively, for example, a gate-all-around (GAA) FET in which nanowires are surrounded by gate lines may be formed on the fin-type active region F, and a plurality of stacked nanowires are surrounded by gate lines. A vertical GAA FET may be formed. Also, for example, a negative capacitance (NC) FET may be formed in an active region of each of the first standard cell C1 and the second standard cell C2. In addition to the examples of the transistors described above, various transistors (complementary FET (CFET), negative FET (NCFET), carbon nanotube (CNT) FET, bipolar junction transistor, and other three-dimensional transistors) may be formed.

핀형 활성 영역(F) 상에는 게이트 라인(960)이 Y축 방향으로 연장되도록 형성될 수 있다. 게이트 라인(960)은 금속, 금속 질화물, 금속 탄화물, 또는 이들의 조합으로 이루어질 수 있다. 상기 금속은 Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, 및 Pd 중에서 선택될 수 있다. 상기 금속 질화물은 TiN 및 TaN 중에서 선택될 수 있다. 상기 금속 탄화물은 TiAlC일 수 있다. 게이트 라인(960)을 둘러싸도록 게이트 절연막(952)이 개재될 수 있다. 게이트 절연막(952)은 인터페이스막 및 고유전막으로 이루어질 수 있다. 상기 인터페이스막은 실리콘 산화막, 실리콘 산질화막, 실리케이트막, 또는 이들의 조합으로 이루어질 수 있다.A gate line 960 may be formed to extend in the Y-axis direction on the fin-type active region F. The gate line 960 may be made of metal, metal nitride, metal carbide, or a combination thereof. The metal may be selected from Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd. The metal nitride may be selected from TiN and TaN. The metal carbide may be TiAlC. A gate insulating layer 952 may be interposed to surround the gate line 960 . The gate insulating layer 952 may include an interface layer and a high dielectric layer. The interface layer may be formed of a silicon oxide layer, a silicon oxynitride layer, a silicate layer, or a combination thereof.

핀형 활성 영역(F)의 상부에는 복수의 소스/드레인 영역(930)이 형성될 수 있다. 복수의 소스/드레인 영역(930)은 에피택셜 성장된 반도체층으로 이루어질 수 있다. 예를 들어, 복수의 소스/드레인 영역(930)은 핀형 활성 영역(F)으로부터 에피택셜 성장된 반도체층을 포함할 수 있다. 복수의 소스/드레인 영역(930)은 에피택셜 성장된 Si 층, 에피택셜 성장된 SiC 층, 에피택셜 성장된 복수의 SiGe층을 포함하는 임베디드 SiGe 구조 등으로 이루어질 수 있다. 복수의 소스/드레인 영역(930) 각각의 상면에는 금속 실리사이드막이 형성될 수 있다.A plurality of source/drain regions 930 may be formed on the fin-type active region F. The plurality of source/drain regions 930 may be formed of an epitaxially grown semiconductor layer. For example, the plurality of source/drain regions 930 may include a semiconductor layer epitaxially grown from the fin-type active region F. The plurality of source/drain regions 930 may be formed of an epitaxially grown Si layer, an epitaxially grown SiC layer, an embedded SiGe structure including a plurality of epitaxially grown SiGe layers, or the like. A metal silicide layer may be formed on an upper surface of each of the plurality of source/drain regions 930 .

복수의 콘택 플러그(984)는 복수의 소스/드레인 영역(930)에 연결될 수 있다. 복수의 콘택 플러그(984)는 층간절연막(974), 및 게이트간 절연막(944)을 관통하는 복수의 콘택홀 내에 배치될 수 있다. 복수의 콘택 플러그(984)는 각각 금속, 도전성 금속 질화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 복수의 콘택 플러그(984)는 각각 W, Cu, Al, Ti, Ta, TiN, TaN, 이들의 합금, 또는 이들의 조합으로 이루어질 수 있다. The plurality of contact plugs 984 may be connected to the plurality of source/drain regions 930 . The plurality of contact plugs 984 may be disposed in the plurality of contact holes penetrating the interlayer insulating layer 974 and the inter-gate insulating layer 944 . Each of the plurality of contact plugs 984 may be made of metal, conductive metal nitride, or a combination thereof. For example, each of the plurality of contact plugs 984 may be made of W, Cu, Al, Ti, Ta, TiN, TaN, an alloy thereof, or a combination thereof.

도 2a에 도시된 바와 같이, 제1 메탈 레이어(M1)에 형성된 더미 패턴은 상부 및 하부 레이어의 패턴들과는 전기적으로 분리될 수 있다. 더미 패턴은 제1 표준 셀(C1) 및 제2 표준 셀(C2)의 게이트 라인(960) 및 복수의 소스/드레인 영역(930) 모두와 연결되지 않을 수 있다. 예시적인 실시 예에서, 더미 패턴이 셀 바운더리로부터 제1 표준 셀(C1) 또는 제2 표준 셀(C2) 내부로 연장되는 길이는 게이트 라인(960)과 디퓨젼 브레이크(120) 사이의 거리 또는 인접한 게이트 라인(960)들 사이의 거리보다 길 수 있다.As shown in FIG. 2A , the dummy pattern formed on the first metal layer M1 may be electrically separated from the patterns of the upper and lower layers. The dummy pattern may not be connected to both the gate line 960 and the plurality of source/drain regions 930 of the first standard cell C1 and the second standard cell C2. In an exemplary embodiment, the length by which the dummy pattern extends from the cell boundary into the first standard cell C1 or the second standard cell C2 is the distance between the gate line 960 and the diffusion brake 120 or an adjacent distance between the gate line 960 and the diffusion brake 120. It may be longer than the distance between the gate lines 960 .

도 2b에 도시된 바와 같이, 제1 메탈 레이어(M1)에 형성된 연장 패턴은 셀 패턴으로부터 연장될 수 있다. 예시적인 실시 예에서, 연장 패턴은 비아(V1)를 통해 상위 레이어, 예를 들어, 제2 메탈 레이어(M2)의 패턴과 전기적으로 연결될 수 있다. P&R 단계에서는 연장 패턴을 형성함과 동시에 연장 패턴에 상에서 연장 패턴에 접하는 비아(V1) 및 상위 레이어의 패턴을 형성할 수 있다. 또한, 예시적인 실시 예에서, 연장 패턴은 제1 표준 셀(C1) 및 제2 표준 셀(C2) 중 적어도 하나, 예를 들어, 제1 표준 셀(C1)의 게이트 라인(960) 또는 컨택 플러그(984)와 전기적으로 연결될 수 있다. 예를 들어, 연장 패턴은 셀 패턴의 하부에 형성되는 비아(VA)를 통해 컨택 플러그(984)와 전기적으로 연결될 수 있다.As shown in FIG. 2B , the extension pattern formed on the first metal layer M1 may extend from the cell pattern. In an exemplary embodiment, the extension pattern may be electrically connected to a pattern of an upper layer, eg, the second metal layer M2, through the via V1. In the P&R step, an extension pattern may be formed, and a via V1 contacting the extension pattern and a pattern of an upper layer may be formed on the extension pattern at the same time. Also, in an exemplary embodiment, the extension pattern is at least one of the first standard cell C1 and the second standard cell C2, for example, the gate line 960 or the contact plug of the first standard cell C1. (984) can be electrically connected. For example, the extension pattern may be electrically connected to the contact plug 984 through a via VA formed below the cell pattern.

도 1, 도 2c, 및 도 2d를 참조하면, 집적 회로(10)의 제1 표준 셀(C1) 및 제2 표준 셀(C2) 기판(902)으로부터 돌출되는 복수의 핀형 활성 영역(F)과, 복수의 핀형 활성 영역(F)으로부터 Z축 방향으로 이격된 위치에서 복수의 핀형 활성 영역(F)의 상면과 대면하는 복수의 나노시트 스택(NSS)를 포함한다. 본 명세서에서 사용되는 용어 "나노시트"는 전류가 흐르는 방향과 실질적으로 수직인 단면을 갖는 전도성 구조체를 의미한다. 상기 나노시트는 나노와이어를 포함하는 것으로 이해되어야 한다. 1, 2c, and 2d, a plurality of fin-type active regions F protruding from the substrate 902 of the first standard cell C1 and the second standard cell C2 of the integrated circuit 10 and , A plurality of nanosheet stacks NSS facing upper surfaces of the plurality of fin-type active regions F at positions spaced apart from the plurality of fin-type active regions F in the Z-axis direction. As used herein, the term "nanosheet" refers to a conductive structure having a cross section substantially perpendicular to the direction in which current flows. It should be understood that the nanosheet includes nanowires.

복수의 나노시트 스택(NSS)은 각각 핀형 활성 영역(F)의 상면 위에서 Z축 방향으로 오버랩되어 있는 복수의 나노시트(N1, N2, N3)를 포함할 수 있다. 도 2c 및 도 2d에는 나노시트 스택(NSS)의 평면 형상이 대략 사각형 형상을 가지는 경우를 예시하였으나, 이에 한정되는 것은 아니다. Each of the plurality of nanosheet stacks NSS may include a plurality of nanosheets N1 , N2 , and N3 overlapping each other in the Z-axis direction on the upper surface of the fin-type active region F. In FIGS. 2C and 2D, a case in which the planar shape of the nanosheet stack NSS has a substantially rectangular shape is illustrated, but is not limited thereto.

또한, 도 2c 및 도 2d에서, 복수의 나노시트 스택(NSS)이 각각 3 개의 나노시트로 이루어지는 경우를 예시하였으나, 본 발명은 예시한 바에 한정되지 않는다. 예를 들면, 나노시트 스택(NSS)은 적어도 2 개의 나노시트를 포함할 수 있으며, 나노시트 스택(NSS)를 구성하는 나노시트의 개수는 특별히 제한되지 않는다. In addition, in FIGS. 2C and 2D, a case in which each of the plurality of nanosheet stacks (NSS) is composed of three nanosheets is exemplified, but the present invention is not limited to the exemplified examples. For example, the nanosheet stack NSS may include at least two nanosheets, and the number of nanosheets constituting the nanosheet stack NSS is not particularly limited.

복수의 나노시트(N1, N2, N3)는 각각 채널 영역을 가질 수 있다. 예시적인 실시예들에서, 복수의 나노시트(N1, N2, N3)는 실질적으로 동일한 두께를 가질 수 있다. 다른 예시적인 실시예들에서, 복수의 나노시트(N1, N2, N3) 중 적어도 일부는 서로 다른 두께를 가질 수 있다. Each of the plurality of nanosheets N1 , N2 , and N3 may have a channel region. In example embodiments, the plurality of nanosheets N1 , N2 , and N3 may have substantially the same thickness. In other exemplary embodiments, at least some of the plurality of nanosheets N1 , N2 , and N3 may have different thicknesses.

예시적인 실시예들에서, 복수의 나노시트(N1, N2, N3)는 서로 동일한 원소로 이루어지는 반도체층으로 이루어질 수 있다. 일 예에서, 복수의 나노시트(N1, N2, N3)는 각각 Si 층으로 이루어질 수 있다. 다른 예에서, 복수의 나노시트(N1, N2, N3)는 각각 SiGe 층으로 이루어질 수 있다. 다른 예시적인 실시예들에서, 복수의 나노시트(N1, N2, N3)는 서로 다른 원소를 포함하는 반도체층으로 이루어질 수 있다. 예를 들면, 제1 나노시트(N1)는 SiGe 층으로 이루어지고, 제2 및 제3 나노시트(N2, N3)는 Si 층으로 이루어질 수 있다.In example embodiments, the plurality of nanosheets N1 , N2 , and N3 may be formed of semiconductor layers made of the same element. In one example, each of the plurality of nanosheets N1 , N2 , and N3 may be formed of a Si layer. In another example, each of the plurality of nanosheets N1 , N2 , and N3 may be formed of a SiGe layer. In other exemplary embodiments, the plurality of nanosheets N1 , N2 , and N3 may be formed of semiconductor layers including different elements. For example, the first nanosheet N1 may be formed of a SiGe layer, and the second and third nanosheets N2 and N3 may be formed of a Si layer.

게이트 라인(960)은 핀형 활성 영역(F) 위에서 나노시트 스택(NSS)을 덮으면서 복수의 나노시트(N1, N2, N3) 각각을 포위할 수 있다. 복수의 게이트 라인(960)은 각각 나노시트 스택(NSS)의 상면을 덮으며 Y축 방향으로 길게 연장되는 메인 게이트 부분(960M)과, 메인 게이트 부분(960M)에 일체로 연결되고 복수의 나노시트(N1, N2, N3) 각각의 사이, 및 핀형 활성 영역(F)과 제1 나노시트(N1)와의 사이에 각각 하나씩 배치된 복수의 서브 게이트 부분(960S)을 포함할 수 있다. 복수의 나노시트(N1, N2, N3)는 게이트 라인(960)으로 포위되는 GAA(gate-all-around) 구조를 가질 수 있다.The gate line 960 may surround each of the plurality of nanosheets N1 , N2 , and N3 while covering the nanosheet stack NSS on the fin-type active region F. The plurality of gate lines 960 cover the upper surface of the nanosheet stack NSS and are integrally connected to the main gate portion 960M extending in the Y-axis direction and the main gate portion 960M, respectively, and a plurality of nanosheets. It may include a plurality of sub-gate portions 960S disposed one by one between each of (N1, N2, and N3) and between the fin-type active region F and the first nanosheet N1. The plurality of nanosheets N1 , N2 , and N3 may have a gate-all-around (GAA) structure surrounded by the gate line 960 .

복수의 나노시트(N1, N2, N3) 각각의 사이, 및 핀형 활성 영역(F)과 제1 나노시트(N1)와의 사이에는 복수의 내측 절연 스페이서(928)가 배치될 수 있다. 복수의 서브 게이트 부분(960S) 각각의 양 측벽은 게이트 절연막(952)을 사이에 두고 내측 절연 스페이서(928)로 덮일 수 있다.A plurality of inner insulating spacers 928 may be disposed between each of the plurality of nanosheets N1 , N2 , and N3 and between the fin-type active region F and the first nanosheet N1 . Both sidewalls of each of the plurality of sub-gate portions 960S may be covered with an inner insulating spacer 928 with the gate insulating layer 952 interposed therebetween.

도 3 및 도 4는 본 개시의 예시적 실시 예에 따른 집적 회로(10A, 10A')를 설명하기 위한 도면들이다. 도 3 및 도 4는 집적 회로(10A, 10A')의 제2 메탈 레이어(M2)의 패턴들을 설명하기 위한 레이아웃도이다.3 and 4 are diagrams for explaining integrated circuits 10A and 10A' according to exemplary embodiments of the present disclosure. 3 and 4 are layout diagrams for explaining patterns of the second metal layer M2 of the integrated circuits 10A and 10A'.

도 3을 참조하면, 집적 회로(10A)는 서로 Y축 방향으로 인접하게 배치되는 제1 표준 셀(C1A) 및 제2 표준 셀(C2A)을 포함할 수 있다. 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 각각은 하나의 행에 정렬되어 배치되는 단일 높이 셀일 수 있고, 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 각각은 Y 축 방향으로 제1 셀 높이(CH1)를 가질 수 있다. 다만, 이에 한정되지 않고, 집적 회로(10A)는 2개 이상의 인접한 행들에 연속적으로 배치되는 다중 높이 셀인 제1 표준 셀(C1A) 및 제2 표준 셀(C2A)을 포함할 수도 있다.Referring to FIG. 3 , the integrated circuit 10A may include a first standard cell C1A and a second standard cell C2A disposed adjacent to each other in the Y-axis direction. Each of the first standard cell C1A and the second standard cell C2A may be a single-height cell aligned and disposed in one row, and each of the first standard cell C1A and the second standard cell C2A may be arranged along the Y axis. may have a first cell height CH1 in the direction. However, the integrated circuit 10A is not limited thereto, and the integrated circuit 10A may also include first standard cells C1A and second standard cells C2A, which are multi-height cells, consecutively arranged in two or more adjacent rows.

제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 각각은 셀 바운더리에 의해 정의될 수 있다. 제1 표준 셀(C1A) 및 제2 표준 셀(C2A)은 로직 셀일 수 있다.Each of the first standard cell C1A and the second standard cell C2A may be defined by a cell boundary. The first standard cell C1A and the second standard cell C2A may be logic cells.

집적 회로(10A)에는 제2 메탈 레이어(M2)의 패턴들이 배치되는 복수의 트랙들이 정의될 수 있다. 이 때, 제2 메탈 레이어(M2)는 제1 메탈 레이어(도 1의 M1) 상에 형성될 수 있고 복수의 메탈 레이어들 중 기판으로부터 2번째로 가까운 메탈 레이어일 수 있다. A plurality of tracks on which patterns of the second metal layer M2 are disposed may be defined in the integrated circuit 10A. In this case, the second metal layer M2 may be formed on the first metal layer (M1 in FIG. 1 ) and may be a metal layer second closest to the substrate among the plurality of metal layers.

제2 메탈 레이어(M2)의 복수의 트랙들은 Y축 방향으로 연장되고, X축 방향으로 서로 이격될 수 있다. 예를 들어, 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 상에는 제1 내지 제5 트랙(TR21~TR25)이 형성될 수 있다. 제1 내지 제5 트랙(TR21~TR25)에는 Y축 방향으로 연장되는 도전 패턴이 형성될 수 있다. 다만, 도 3에 도시된 바와 달리 제1 표준 셀(C1A) 및 제2 표준 셀(C2A)의 셀 바운더리 내부를 지나가도록 형성되는 제2 메탈 레이어(M2)의 트랙의 수는 다양하게 변형이 가능하다.A plurality of tracks of the second metal layer M2 may extend in the Y-axis direction and may be spaced apart from each other in the X-axis direction. For example, first to fifth tracks TR21 to TR25 may be formed on the first standard cell C1A and the second standard cell C2A. Conductive patterns extending in the Y-axis direction may be formed in the first to fifth tracks TR21 to TR25. However, unlike shown in FIG. 3, the number of tracks of the second metal layer M2 formed to pass inside the cell boundaries of the first standard cell C1A and the second standard cell C2A can be variously modified. do.

제1 표준 셀(C1A) 및 제2 표준 셀(C2A)은 제2 메탈 레이어(M2)의 셀 패턴들을 포함할 수 있다. 예를 들어, 제1 표준 셀(C1A)은 제1 트랙(TR21), 제2 트랙(TR22), 제3 트랙(TR23), 및 제5 트랙(TR25)에 형성된 셀 패턴들을 포함할 수 있다. 또한 예를 들어, 제2 표준 셀(C2A)은 제1 트랙(TR21), 제2 트랙(TR22), 제3 트랙(TR23), 및 제5 트랙(TR25)에 형성된 셀 패턴들을 포함할 수 있다.The first standard cell C1A and the second standard cell C2A may include cell patterns of the second metal layer M2. For example, the first standard cell C1A may include cell patterns formed on the first track TR21 , the second track TR22 , the third track TR23 , and the fifth track TR25 . Also, for example, the second standard cell C2A may include cell patterns formed on the first track TR21, the second track TR22, the third track TR23, and the fifth track TR25. .

제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 각각에는 제1 내지 제5 트랙(TR21~TR25) 중 적어도 하나의 트랙에 셀 패턴이 형성되지 않을 수 있다. 즉, 제1 내지 제5 트랙(TR21~TR25) 중 적어도 하나의 트랙은 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 각각의 셀 바운더리 내에 셀 패턴이 형성되지 않을 수 있다. 예를 들어, 제3 트랙(TR23)에는 제1 표준 셀(C1A)의 셀 패턴이 형성되지 않을 수 있고, 제3 트랙(TR23)에는 제2 표준 셀(C2A)의 셀 패턴이 형성되지 않을 수 있다. 제1 표준 셀(C1A) 및 제2 표준 셀(C2A)은 풀-트랙 구조가 아닐 수 있다.A cell pattern may not be formed in at least one of the first to fifth tracks TR21 to TR25 in each of the first standard cell C1A and the second standard cell C2A. That is, in at least one of the first to fifth tracks TR21 to TR25, a cell pattern may not be formed within the cell boundary of each of the first standard cell C1A and the second standard cell C2A. For example, the cell pattern of the first standard cell C1A may not be formed in the third track TR23, and the cell pattern of the second standard cell C2A may not be formed in the third track TR23. there is. The first standard cell C1A and the second standard cell C2A may not have a full-track structure.

집적 회로(10A)는 제2 메탈 레이어(M2)에 형성되는 더미 패턴 및/또는 연장 패턴을 포함할 수 있다. 더미 패턴 및 연장 패턴은 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 상에 배치되나 셀 패턴에는 포함되지 않을 수 있다. 더미 패턴 및 연장 패턴은 P&R 단계(예를 들어, 도 6의 S20) 단계에서 생성되는 패턴일 수 있다. The integrated circuit 10A may include a dummy pattern and/or an extension pattern formed on the second metal layer M2. The dummy pattern and the extension pattern are disposed on the first standard cell C1A and the second standard cell C2A, but may not be included in the cell pattern. The dummy pattern and the extended pattern may be patterns generated in a P&R step (eg, S20 of FIG. 6 ).

집적 회로(10A)의 제2 메탈 레이어(M2)의 패턴들은 서로 지정된 간격을 갖도록 배치될 수 있다. 제2 메탈 레이어(M2)의 동일한 트랙에 서로 인접하게 배치된 패턴들 사이의 간격은 팁-투-팁 스페이스로 정의될 수 있고, 팁-투-팁 스페이스는 제2 지정 값(T2)을 갖도록 제2 메탈 레이어(M2)의 패턴들이 배치될 수 있다. 예를 들어, 하나의 칩 또는 하나의 기능 블록을 구성하는 집적 회로(10A) 내에서 제2 지정 값(T2)은 10개 이하로 설정될 수 있다. 예시적인 실시 예에서, 집적 회로(10A)에 설정된 제2 지정 값(T2)은 1개 또는 2개일 수 있다.Patterns of the second metal layer M2 of the integrated circuit 10A may be arranged to have a designated interval from each other. A spacing between patterns disposed adjacent to each other on the same track of the second metal layer M2 may be defined as a tip-to-tip space, and the tip-to-tip space has a second specified value T2. Patterns of the second metal layer M2 may be disposed. For example, within the integrated circuit 10A constituting one chip or one functional block, the number of second designated values T2 may be set to 10 or less. In an exemplary embodiment, the number of second designated values T2 set in the integrated circuit 10A may be one or two.

본 개시에 따른 집적 회로(10A)의 제조 방법은, P&R 단계에서 제2 메탈 레이어(M2)의 빈 공간에 더미 패턴 및 연장 패턴을 형성함으로써, 제2 메탈 레이어(M2)의 팁-투-팁 스페이스 요건을 만족시킬 수 있다. 즉, 집적 회로(10A)는 제2 메탈 레이어(M2)의 모든 트랙들에 팁-투-팁 스페이스 요건을 만족하는 패턴들이 형성되는 풀-트랙 구조를 가질 수 있다. In the manufacturing method of the integrated circuit 10A according to the present disclosure, a tip-to-tip of the second metal layer M2 is formed by forming a dummy pattern and an extension pattern in an empty space of the second metal layer M2 in a P&R step. space requirements can be met. That is, the integrated circuit 10A may have a full-track structure in which patterns satisfying a tip-to-tip space requirement are formed on all tracks of the second metal layer M2.

제2 메탈 레이어(M2)의 더미 패턴은, 다른 레이어의 패턴들과 전기적으로 분리될 수 있다. 즉, 더미 패턴은 제2 메탈 레이어(M2) 상부에 형성되는 다른 메탈 레이어들의 패턴들과 전기적으로 분리될 수 있고, 제1 메탈 레이어(M1)의 패턴들과 전기적으로 분리될 수 있다. The dummy pattern of the second metal layer M2 may be electrically separated from patterns of other layers. That is, the dummy pattern may be electrically separated from patterns of other metal layers formed on the second metal layer M2 and may be electrically separated from patterns of the first metal layer M1.

예시적인 실시 예에서, 더미 패턴은 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 상에 걸쳐서 형성될 수 있다. 제2 메탈 레이어(M2)의 더미 패턴은 Y축 방향으로 서로 인접하게 배치된 2개 이상의 표준 셀들 상에 걸쳐서 형성될 수도 있다. 예를 들어, 더미 패턴은 제2 트랙(TR22) 및 제3 트랙(TR23)에 배치될 수 있고, 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 사이의 셀 바운더리 상에 배치될 수 있다.In an exemplary embodiment, the dummy pattern may be formed over the first standard cell C1A and the second standard cell C2A. The dummy pattern of the second metal layer M2 may be formed over two or more standard cells disposed adjacent to each other in the Y-axis direction. For example, the dummy patterns may be disposed on the second track TR22 and the third track TR23 and may be disposed on cell boundaries between the first standard cell C1A and the second standard cell C2A. there is.

제2 메탈 레이어(M2)의 연장 패턴은 제2 표준 셀(C2A)의 셀 패턴으로부터 연장되도록 형성될 수 있다. 예시적인 실시 예에서, 제2 메탈 레이어(M2)의 연장 패턴은 제2 표준 셀(C2A)의 출력 핀 또는 입력 핀으로부터 연장되는 패턴일 수 있다. 연장 패턴 상에는 비아가 형성될 수 있고, 제2 메탈 레이어(M2)의 상위 레이어, 예를 들어, 제3 메탈 레이어(예를 들어, 도 5의 M3)와 전기적으로 연결될 수 있다. 또한, 연장 패턴은 제1 메탈 레이어(M1)와 비아를 통해 전기적으로 연결될 수도 있다. The extension pattern of the second metal layer M2 may be formed to extend from the cell pattern of the second standard cell C2A. In an exemplary embodiment, the extension pattern of the second metal layer M2 may be a pattern extending from an output pin or an input pin of the second standard cell C2A. A via may be formed on the extension pattern and may be electrically connected to an upper layer of the second metal layer M2, eg, a third metal layer (eg, M3 of FIG. 5). Also, the extension pattern may be electrically connected to the first metal layer M1 through a via.

예시적인 실시 예에서, 연장 패턴은 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 상에 걸쳐서 형성될 수 있다. 제2 메탈 레이어(M2)의 연장 패턴은 Y축 방향으로 서로 인접하게 배치된 2개 이상의 표준 셀들 상에 걸쳐서 형성될 수도 있다. 예를 들어, 연장 패턴은 제4 트랙(TR24)에 배치될 수 있고, 제1 표준 셀(C1A) 및 제2 표준 셀(C2A) 사이의 셀 바운더리 상에 배치될 수 있다.In an exemplary embodiment, the extension pattern may be formed over the first standard cell C1A and the second standard cell C2A. The extension pattern of the second metal layer M2 may be formed over two or more standard cells disposed adjacent to each other in the Y-axis direction. For example, the extension pattern may be disposed on the fourth track TR24 and may be disposed on a cell boundary between the first standard cell C1A and the second standard cell C2A.

도 4와 비교하여 도 5를 참조하면, 집적 회로(10A')는 서로 Y축 방향으로 인접하게 배치되는 제1 표준 셀(C1A) 및 제2 표준 셀(C2A')을 포함할 수 있다. 이 때, 제1 표준 셀(C1A)은 제1 셀 높이(CH1)를 가질 수 있고, 제2 표준 셀(C2A')은 제1 셀 높이(CH1)와 상이한 제2 셀 높이(CH2)를 가질 수 있다. Referring to FIG. 5 compared to FIG. 4 , the integrated circuit 10A′ may include a first standard cell C1A and a second standard cell C2A′ disposed adjacent to each other in the Y-axis direction. In this case, the first standard cell C1A may have a first cell height CH1, and the second standard cell C2A' may have a second cell height CH2 different from the first cell height CH1. can

예시적인 실시 예에서, 제2 셀 높이(CH2)는 제1 셀 높이(CH1)보다 작을 수 있다. 제2 표준 셀(C2A') 상에 형성되는 제1 메탈 레이어(M1)의 트랙의 수는 제1 표준 셀(C1A) 상에 형성되는 제1 메탈 레이어(M1)의 트랙의 수보다 작을 수 있다. 예를 들어, 제1 표준 셀(C1A) 상에는 5개의 제1 메탈 레이어(M1)의 트랙들(TR11~TR15)이 형성될 수 있고, 제2 표준 셀(C2A') 상에는 4개의 제1 메탈 레이어(M1)의 트랙들(TR11'~TR14')이 형성될 수 있다. In an exemplary embodiment, the second cell height CH2 may be smaller than the first cell height CH1. The number of tracks of the first metal layer M1 formed on the second standard cell C2A′ may be smaller than the number of tracks of the first metal layer M1 formed on the first standard cell C1A. . For example, tracks TR11 to TR15 of five first metal layers M1 may be formed on the first standard cell C1A, and four first metal layers may be formed on the second standard cell C2A'. The tracks TR11' to TR14' of (M1) may be formed.

본 개시의 예시적 실시 예에 따른 집적 회로(10A, 10A')는 제2 메탈 레이어(M2)에 셀 패턴이 형성되지 않는 빈 트랙이 배치된 제1 표준 셀(C1A) 및 제2 표준 셀(C2A, C2A')을 포함하고, P&R 단계에서 추가 형성된 추가 패턴인 더미 패턴 또는 연장 패턴을 포함할 수 있다. 따라서, 집적 회로(10A, 10A')를 제조함에 있어서, 라우팅의 자유도가 증가할 수 있고, 풀-트랙 구조를 갖는 제2 메탈 레이어(M2)에 일정한 패턴들을 형성하는 것이 용이해질 수 있다. In the integrated circuits 10A and 10A' according to an exemplary embodiment of the present disclosure, first standard cells C1A and second standard cells ( C2A and C2A′), and may include a dummy pattern or an extension pattern that is an additional pattern additionally formed in the P&R step. Accordingly, in manufacturing the integrated circuits 10A and 10A', the degree of freedom of routing may be increased, and it may be easy to form certain patterns on the second metal layer M2 having a full-track structure.

도 5는 본 개시의 예시적 실시 예에 따른 집적 회로(10B)를 설명하기 위한 도면이다. 도 5는 집적 회로(10B)의 제3 메탈 레이어(M3)의 패턴들을 설명하기 위한 레이아웃도이다.5 is a diagram for explaining an integrated circuit 10B according to an exemplary embodiment of the present disclosure. 5 is a layout diagram for explaining patterns of the third metal layer M3 of the integrated circuit 10B.

도 5를 참조하면, 집적 회로(10B)는 서로 X축 방향으로 인접하게 배치되는 제1 표준 셀(C1B) 및 제2 표준 셀(C2B)을 포함할 수 있다. 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 각각은 하나의 행에 정렬되어 배치되는 단일 높이 셀일 수 있고, 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 각각은 Y 축 방향으로 제1 셀 높이(CH1)를 가질 수 있다. 다만, 이에 한정되지 않고, 집적 회로(10B)는 2개 이상의 인접한 행들에 연속적으로 배치되는 다중 높이 셀인 제1 표준 셀(C1B) 및 제2 표준 셀(C2B)을 포함할 수도 있다.Referring to FIG. 5 , the integrated circuit 10B may include a first standard cell C1B and a second standard cell C2B disposed adjacent to each other in the X-axis direction. Each of the first standard cell C1B and the second standard cell C2B may be a single-height cell aligned and disposed in one row, and each of the first standard cell C1B and the second standard cell C2B may be arranged along the Y axis. may have a first cell height CH1 in the direction. However, the integrated circuit 10B is not limited thereto, and the integrated circuit 10B may include a first standard cell C1B and a second standard cell C2B, which are multi-height cells, consecutively arranged in two or more adjacent rows.

제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 각각은 셀 바운더리에 의해 정의될 수 있다. 제1 표준 셀(C1B) 및 제2 표준 셀(C2B)은 로직 셀일 수 있다.Each of the first standard cell C1B and the second standard cell C2B may be defined by a cell boundary. The first standard cell C1B and the second standard cell C2B may be logic cells.

집적 회로(10B)에는 제3 메탈 레이어(M3)의 패턴들이 배치되는 복수의 트랙들이 정의될 수 있다. 이 때, 제3 메탈 레이어(M3)는 복수의 메탈 레이어들 중 기판으로부터 3번째로 가까운 메탈 레이어일 수 있다. A plurality of tracks on which patterns of the third metal layer M3 are disposed may be defined in the integrated circuit 10B. In this case, the third metal layer M3 may be a metal layer third closest to the substrate among the plurality of metal layers.

제3 메탈 레이어(M3)의 복수의 트랙들은 X축 방향으로 연장되고, Y축 방향으로 서로 이격될 수 있다. 예를 들어, 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 상에는 제1 내지 제5 트랙(TR31~TR35)이 형성될 수 있다. 제1 내지 제5 트랙(TR31~TR35)에는 X축 방향으로 연장되는 도전 패턴이 형성될 수 있다. 다만, 도 3에 도시된 바와 달리 제1 표준 셀(C1B) 및 제2 표준 셀(C2B)의 셀 바운더리 내부를 지나가도록 형성되는 제3 메탈 레이어(M3)의 트랙의 수는 다양하게 변형이 가능하다.The plurality of tracks of the third metal layer M3 may extend in the X-axis direction and may be spaced apart from each other in the Y-axis direction. For example, first to fifth tracks TR31 to TR35 may be formed on the first standard cell C1B and the second standard cell C2B. Conductive patterns extending in the X-axis direction may be formed in the first to fifth tracks TR31 to TR35. However, unlike shown in FIG. 3, the number of tracks of the third metal layer M3 formed to pass inside the cell boundaries of the first standard cell C1B and the second standard cell C2B can be variously modified. do.

제1 표준 셀(C1B) 및 제2 표준 셀(C2B)은 제3 메탈 레이어(M3)의 패턴들을 포함할 수 있다. 예를 들어, 제1 표준 셀(C1B)은 제1 트랙(TR31), 제3 트랙(TR33), 제4 트랙(TR34), 및 제5 트랙(TR35)에 형성된 셀 패턴들을 포함할 수 있다. 또한 예를 들어, 제2 표준 셀(C2B)은 제1 트랙(TR31), 제3 트랙(TR33), 제4 트랙(TR34), 및 제5 트랙(TR35)에 형성된 셀 패턴들을 포함할 수 있다.The first standard cell C1B and the second standard cell C2B may include patterns of the third metal layer M3. For example, the first standard cell C1B may include cell patterns formed on the first track TR31 , the third track TR33 , the fourth track TR34 , and the fifth track TR35 . Also, for example, the second standard cell C2B may include cell patterns formed on the first track TR31, the third track TR33, the fourth track TR34, and the fifth track TR35. .

제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 각각에는 제1 내지 제5 트랙(TR31~TR35) 중 적어도 하나의 트랙에 셀 패턴이 형성되지 않을 수 있다. 즉, 제1 내지 제5 트랙(TR31~TR35) 중 적어도 하나의 트랙은 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 각각의 셀 바운더리 내에 셀 패턴이 형성되지 않을 수 있다. 예를 들어, 제2 트랙(TR32)에는 제1 표준 셀(C1B)의 셀 패턴이 형성되지 않을 수 있고, 제2 트랙(TR32)에는 제2 표준 셀(C2B)의 셀 패턴이 형성되지 않을 수 있다. 제1 표준 셀(C1B) 및 제2 표준 셀(C2B)은 풀-트랙 구조가 아닐 수 있다.A cell pattern may not be formed in at least one of the first to fifth tracks TR31 to TR35 in each of the first standard cell C1B and the second standard cell C2B. That is, in at least one of the first to fifth tracks TR31 to TR35, a cell pattern may not be formed within the cell boundary of each of the first standard cell C1B and the second standard cell C2B. For example, the cell pattern of the first standard cell C1B may not be formed in the second track TR32, and the cell pattern of the second standard cell C2B may not be formed in the second track TR32. there is. The first standard cell C1B and the second standard cell C2B may not have a full-track structure.

집적 회로(10B)는 제3 메탈 레이어(M3)에 형성되는 더미 패턴 및/또는 연장 패턴을 포함할 수 있다. 더미 패턴 및 연장 패턴은 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 상에 배치되나 셀 패턴에는 포함되지 않을 수 있다. 더미 패턴 및 연장 패턴은 P&R 단계(예를 들어, 도 6의 S20) 단계에서 생성되는 패턴일 수 있다. The integrated circuit 10B may include a dummy pattern and/or an extension pattern formed on the third metal layer M3. The dummy pattern and the extension pattern are disposed on the first standard cell C1B and the second standard cell C2B, but may not be included in the cell pattern. The dummy pattern and the extended pattern may be patterns generated in a P&R step (eg, S20 of FIG. 6 ).

집적 회로(10B)의 제3 메탈 레이어(M3)의 패턴들은 서로 지정된 간격을 갖도록 배치될 수 있다. 제3 메탈 레이어(M3)의 동일한 트랙에 서로 인접하게 배치된 패턴들 사이의 간격은 팁-투-팁 스페이스로 정의될 수 있고, 팁-투-팁 스페이스는 제3 지정 값(T3)을 갖도록 제3 메탈 레이어(M3)의 패턴들이 배치될 수 있다. 예를 들어, 하나의 칩 또는 하나의 기능 블록을 구성하는 집적 회로(10B) 내에서 제3 지정 값(T3)은 10개 이하로 설정될 수 있다. 예시적인 실시 예에서, 집적 회로(10B)에 설정된 제3 지정 값(T3)은 1개 또는 2개일 수 있다.Patterns of the third metal layer M3 of the integrated circuit 10B may be arranged to have a designated interval from each other. A spacing between patterns disposed adjacent to each other on the same track of the third metal layer M3 may be defined as a tip-to-tip space, and the tip-to-tip space has a third specified value T3. Patterns of the third metal layer M3 may be disposed. For example, within the integrated circuit 10B constituting one chip or one functional block, the third designation value T3 may be set to 10 or less. In an exemplary embodiment, the number of third designated values T3 set in the integrated circuit 10B may be one or two.

본 개시에 따른 집적 회로(10B)의 제조 방법은, P&R 단계에서 제3 메탈 레이어(M3)의 빈 공간에 더미 패턴 및 연장 패턴을 형성함으로써, 제3 메탈 레이어(M3)의 팁-투-팁 스페이스 요건을 만족시킬 수 있다. 즉, 집적 회로(10B)는 제3 메탈 레이어(M3)의 모든 트랙들에 팁-투-팁 스페이스 요건을 만족하는 패턴들이 형성되는 풀-트랙 구조를 가질 수 있다. In the manufacturing method of the integrated circuit 10B according to the present disclosure, a tip-to-tip of the third metal layer M3 is formed by forming a dummy pattern and an extension pattern in an empty space of the third metal layer M3 in a P&R step. space requirements can be met. That is, the integrated circuit 10B may have a full-track structure in which patterns satisfying a tip-to-tip space requirement are formed on all tracks of the third metal layer M3.

제3 메탈 레이어(M3)의 더미 패턴은, 다른 레이어의 패턴들과 전기적으로 분리될 수 있다. 즉, 더미 패턴은 제3 메탈 레이어(M3) 상부에 형성되는 다른 메탈 레이어들의 패턴들과 전기적으로 분리될 수 있고, 제1 메탈 레이어(M1) 및 제2 메탈 레이어(M2)의 패턴들과 전기적으로 분리될 수 있다. The dummy pattern of the third metal layer M3 may be electrically separated from patterns of other layers. That is, the dummy pattern can be electrically separated from patterns of other metal layers formed on the third metal layer M3 and electrically separated from patterns of the first and second metal layers M1 and M2. can be separated into

예시적인 실시 예에서, 더미 패턴은 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 상에 걸쳐서 형성될 수 있다. 제3 메탈 레이어(M3)의 더미 패턴은 X축 방향으로 서로 인접하게 배치된 2개 이상의 표준 셀들 상에 걸쳐서 형성될 수도 있다. 예를 들어, 더미 패턴은 제1 트랙(TR31) 및 제2 트랙(TR32)에 배치될 수 있고, 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 사이의 셀 바운더리 상에 배치될 수 있다.In an exemplary embodiment, the dummy pattern may be formed over the first standard cell C1B and the second standard cell C2B. The dummy pattern of the third metal layer M3 may be formed over two or more standard cells disposed adjacent to each other in the X-axis direction. For example, the dummy pattern may be disposed on the first track TR31 and the second track TR32 and may be disposed on a cell boundary between the first standard cell C1B and the second standard cell C2B. there is.

제3 메탈 레이어(M3)의 연장 패턴은 제1 표준 셀(C1B)의 셀 패턴으로부터 연장되도록 형성될 수 있다. 예시적인 실시 예에서, 제3 메탈 레이어(M3)의 연장 패턴은 제1 표준 셀(C1B)의 출력 핀 또는 입력 핀으로부터 연장되는 패턴일 수 있다. 연장 패턴 상에는 비아가 형성될 수 있고, 제3 메탈 레이어(M3)의 상위 레이어와 전기적으로 연결될 수 있다. 또한, 연장 패턴은 제1 메탈 레이어(M1) 또는 제2 메탈 레이어(M2)와 전기적으로 연결될 수도 있다. The extension pattern of the third metal layer M3 may be formed to extend from the cell pattern of the first standard cell C1B. In an exemplary embodiment, the extension pattern of the third metal layer M3 may be a pattern extending from an output pin or an input pin of the first standard cell C1B. A via may be formed on the extension pattern and may be electrically connected to an upper layer of the third metal layer M3. Also, the extension pattern may be electrically connected to the first metal layer M1 or the second metal layer M2.

예시적인 실시 예에서, 연장 패턴은 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 상에 걸쳐서 형성될 수 있다. 제3 메탈 레이어(M3)의 연장 패턴은 X축 방향으로 서로 인접하게 배치된 2개 이상의 표준 셀들 상에 걸쳐서 형성될 수도 있다. 예를 들어, 연장 패턴은 제5 트랙(TR35)에 배치될 수 있고, 제1 표준 셀(C1B) 및 제2 표준 셀(C2B) 사이의 셀 바운더리 상에 배치될 수 있다.In an exemplary embodiment, the extension pattern may be formed over the first standard cell C1B and the second standard cell C2B. The extension pattern of the third metal layer M3 may be formed over two or more standard cells disposed adjacent to each other in the X-axis direction. For example, the extension pattern may be disposed on the fifth track TR35 and may be disposed on a cell boundary between the first standard cell C1B and the second standard cell C2B.

본 개시의 예시적 실시 예에 따른 집적 회로(10B)는 제3 메탈 레이어(M3)에 셀 패턴이 형성되지 않는 빈 트랙이 배치된 제1 표준 셀(C1B) 및 제2 표준 셀(C2B)을 포함하고, P&R 단계에서 추가 형성된 추가 패턴인 더미 패턴 또는 연장 패턴을 포함할 수 있다. 따라서, 집적 회로(10B)를 제조함에 있어서, 라우팅의 자유도가 증가할 수 있고, 풀-트랙 구조를 갖는 제3 메탈 레이어(M3)에 일정한 패턴들을 형성하는 것이 용이해질 수 있다. An integrated circuit 10B according to an exemplary embodiment of the present disclosure includes first standard cells C1B and second standard cells C2B in which empty tracks on which no cell pattern is formed are disposed in the third metal layer M3. and may include a dummy pattern or an extension pattern, which is an additional pattern additionally formed in the P&R step. Accordingly, in manufacturing the integrated circuit 10B, the degree of freedom of routing may be increased, and it may be easy to form certain patterns on the third metal layer M3 having a full-track structure.

도 6은 본 개시의 예시적 실시 예에 따라 집적 회로의 제조 방법을 나타내는 순서도이다.6 is a flowchart illustrating a method of fabricating an integrated circuit according to an exemplary embodiment of the present disclosure.

도 6을 참조하면, 표준 셀 라이브러리(D10)는 표준 셀들에 관한 정보, 예를 들어 기능 정보, 특성 정보, 레이아웃 정보 등을 포함할 수 있다. 표준 셀 라이브러리(D10)는 표준 셀의 레이아웃을 정의하는 데이터(DC)를 포함할 수 있다. 데이터(DC)는 동일한 기능을 수행하고, 레이아웃이 상이한 표준 셀들의 구조를 정의하는 데이터를 포함할 수 있다. 데이터(DC)는 도 1 내지 도 5에서 설명된 표준 셀들(C1, C1A, C1B, C2, C2A, C2B) 또는 도 9에서 설명될 표준 셀(FFC)의 구조를 정의하는 데이터를 포함할 수 있다. 데이터(DC)는 제1 기능을 수행하고 레이아웃이 상이한 표준 셀들의 구조를 정의하는 제1 데이터(DC1), 및 제n 기능을 수행하고 레이아웃이 상이한 표준 셀들의 구조를 정의하는 제n 데이터(DCn, n은 2이상의 자연수)를 포함할 수 있다. Referring to FIG. 6 , the standard cell library D10 may include information about standard cells, for example, function information, characteristic information, and layout information. The standard cell library D10 may include data DC defining the layout of standard cells. The data DC may include data defining structures of standard cells that perform the same function and have different layouts. The data DC may include data defining the structure of the standard cells C1, C1A, C1B, C2, C2A, and C2B described in FIGS. 1 to 5 or the standard cell FFC described in FIG. 9 . . The data DC includes first data DC1 performing a first function and defining a structure of standard cells having different layouts, and n-th data DCn performing an n-th function and defining a structure of standard cells having different layouts. , n is a natural number of 2 or more).

S10 단계 및 S20 단계는, 집적 회로(IC)를 설계하는 단계로서, RTL 데이터(D11)로부터 레이아웃 데이터(D30)를 생성할 수 있다. 집적 회로(IC)는 도 1 내지 도 5의 집적 회로들(10, 10A, 10B) 및 도 10의 집적 회로(10C) 중 적어도 하나일 수 있다. S10 단계에서, RTL 데이터(D11)로부터 네트리스트 데이터(D20)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들어, 반도체 설계 툴(예를 들어, 논리 합성 모듈)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D11)로부터 표준 셀 라이브러리(D10)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터(D20)를 생성할 수 있다. 표준 셀 라이브러리(D10)는 동일한 기능을 수행하고, 레이아웃이 상이한 표준 셀들의 구조를 정의하는 데이터(DC)를 포함할 수 있고, 논리 합성 과정에서 그러한 정보를 참조하여 표준 셀들이 집적 회로(IC)에 포함될 수 있다.Steps S10 and S20 are steps of designing an integrated circuit (IC), and layout data D30 may be generated from RTL data D11. The integrated circuit (IC) may be at least one of the integrated circuits 10 , 10A and 10B of FIGS. 1 to 5 and the integrated circuit 10C of FIG. 10 . In step S10, a logic synthesis operation may be performed to generate netlist data D20 from RTL data D11. For example, a semiconductor design tool (eg, a logic synthesis module) refers to a standard cell library D10 from RTL data D11 written as VHDL (VHSIC Hardware Description Language) and HDL (Hardware Description Language) such as Verilog. By performing logic synthesis by doing so, it is possible to generate netlist data D20 including a bitstream or netlist. The standard cell library D10 performs the same function and may include data (DC) defining the structure of standard cells having different layouts. can be included in

S20 단계에서, 네트리스트 데이터(D20)로부터 레이아웃 데이터(D30)를 생성하는 배치 및 라우팅(Place & Routing, P&R) 동작이 수행될 수 있다. 레이아웃 데이터(D30)는, 예를 들어 GDSII와 같은 포맷을 가질 수 있고, 표준 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. 예시적인 실시 예에서, S20 단계는 도 7의 S21 단계 내지 S23 단계를 포함할 수 있고, 도 8의 S231 단계 및 S232 단계를 포함할 수 있다.In step S20, a Place & Routing (P&R) operation for generating layout data D30 from netlist data D20 may be performed. Layout data D30 may have a format such as, for example, GDSII, and may include geometric information of standard cells and interconnections. In an exemplary embodiment, step S20 may include steps S21 to S23 of FIG. 7 and may include steps S231 and S232 of FIG. 8 .

반도체 설계 툴(예를 들어, P&R 모듈)은 S20 단계에서, 네트리스트 데이터(D20)로부터 표준 셀 라이브러리(D10)를 참조하여 복수의 표준 셀들을 배치할 수 있다. 반도체 설계 툴은 데이터(DC)를 참조하여, 네트리스트(D103)에 의해서 정의된 표준 셀의 레이아웃들 중 하나를 선택할 수 있고, 표준 셀의 선택된 레이아웃을 배치할 수 있다. The semiconductor design tool (eg, P&R module) may arrange a plurality of standard cells by referring to the standard cell library D10 from the netlist data D20 in step S20. The semiconductor design tool may select one of the standard cell layouts defined by the netlist D103 by referring to the data DC, and may arrange the selected standard cell layout.

또한, 반도체 설계 툴은 S20 단계에서, 상호 연결(interconnection)들을 생성하는 동작인 라우팅 동작을 수행할 수 있다. "라우팅"은 집적 회로에 대한 디자인 룰들에 따라, 배치된 표준 셀들을 적절히 연결시키기 위해 요구되는 배선 레이어들 및 비아들을 배치하는 동작일 수 있다. 상호 연결은 표준 셀의 출력 핀 및 입력 핀을 전기적으로 연결할 수 있고, 예를 들어 적어도 하나의 비아 및 적어도 하나의 메탈 레이어에 형성되는 전도성 패턴을 포함할 수 있다. 서로 다른 레벨의 메탈 레이어들에 형성된 패턴들은 전도성 물질로 구성된 비아를 통해서 서로 전기적으로 연결될 수 있다. 이 때, 메탈 레이어는 전도성 물질로서 금속을 포함할 수 있다. In addition, the semiconductor design tool may perform a routing operation, which is an operation of generating interconnections, in step S20. “Routing” may be an operation of placing wiring layers and vias required to properly connect the placed standard cells according to design rules for integrated circuits. The interconnection may electrically connect the output pin and the input pin of the standard cell, and may include, for example, a conductive pattern formed on at least one via and at least one metal layer. Patterns formed on metal layers of different levels may be electrically connected to each other through vias made of a conductive material. In this case, the metal layer may include metal as a conductive material.

S30 단계에서, OPC(Optical Proximity Correction)가 수행될 수 있다. OPC는 집적 회로(IC)를 제조하기 위한 반도체 공정에 포함되는 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정함으로써 원하는 모양의 패턴을 형성하기 위한 작업을 지칭할 수 있고, 레이아웃 데이터(D30)에 OPC가 적용됨으로써 마스크상의 패턴이 결정될 수 있다. 예시적인 실시 예에서, 집적 회로(IC)의 레이아웃은 S30 단계에서 제한적으로 변형될 수 있고, S30 단계에서 집적 회로(IC)의 제한적으로 변형하는 것은 집적 회로(IC)의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design polishing)으로 지칭될 수 있다.In step S30, Optical Proximity Correction (OPC) may be performed. OPC may refer to an operation to form a pattern of a desired shape by correcting distortion phenomena such as refraction caused by the characteristics of light in photolithography included in a semiconductor process for manufacturing an integrated circuit (IC). , the pattern on the mask may be determined by applying OPC to the layout data D30. In an exemplary embodiment, the layout of the integrated circuit (IC) may be limitedly modified in step S30, and the limited modification of the integrated circuit (IC) in step S30 is performed after optimizing the structure of the integrated circuit (IC). As a treatment, it may be referred to as design polishing.

S40 단계에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들어, 레이아웃 데이터(D30)에 OPC를 적용함에 따라 복수의 층들에 형성된 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다.In step S40, an operation of manufacturing a mask may be performed. For example, as OPC is applied to the layout data D30, patterns on a mask may be defined to form patterns formed on a plurality of layers, and at least one mask (or , photomask) can be fabricated.

S50 단계에서, 집적 회로(IC)를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들어, S40 단계에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로(IC)가 제조될 수 있다. S50 단계는 단계들(S51, S53, S55)을 포함할 수 있고, 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 포함할 수 있다. 또한, S50 단계는 반도체 소자를 PCB 상에 실장하고 밀봉재로 밀봉하는 패키징 공정을 포함할 수도 있고, 반도체 소자나 패키지에 대해 테스트를 하는 테스트 공정을 포함할 수도 있다.In step S50, an operation of fabricating an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be manufactured by patterning a plurality of layers using at least one mask fabricated in step S40 . Step S50 may include steps S51, S53, and S55, and may include a deposition process, an etching process, an ion process, a cleaning process, and the like. Further, step S50 may include a packaging process of mounting a semiconductor device on a PCB and sealing it with a sealing material, or may include a test process of testing the semiconductor device or package.

S51 단계에서, FEOL(front-end-of-line) 공정이 수행될 수 있다. FEOL은 집적 회로(IC)의 제조 과정에서 개별 소자들, 예를 들어 트랜지스터, 캐패시터, 저항 등을 기판에 형성하는 과정을 지칭할 수 있다. 예를 들어, FEOL은 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치를 형성하는 단계, 웰을 형성하는 단계, 게이트 라인을 형성하는 단계, 소스 및 드레인 영역을 형성하는 단계 등을 포함할 수 있다.In step S51, a front-end-of-line (FEOL) process may be performed. FEOL may refer to a process of forming individual elements, eg, transistors, capacitors, resistors, and the like, on a substrate during the manufacturing process of an integrated circuit (IC). For example, FEOL includes planarizing and cleaning the wafer, forming trenches, forming wells, forming gate lines, forming source and drain regions, and the like. can do.

S53 단계에서, MOL(middle-of-line) 공정이 수행될 수 있다. FEOL 공정을 통해 생성된 개별 소자들을 표준 셀 내에서 연결하기 위한 연결 부재를 형성하는 과정을 지칭할 수 있다. 예를 들어, MOL공정은 활성 영역 상에 액티브 콘택을 형성하는 단계, 게이트 라인 상에 게이트 콘택을 형성하는 단계, 액티브 콘택 및 게이트 라인 상에 비아를 형성하는 단계 등을 포함할 수 있다.In step S53, a middle-of-line (MOL) process may be performed. It may refer to a process of forming a connecting member for connecting individual devices produced through the FEOL process in a standard cell. For example, the MOL process may include forming an active contact on an active region, forming a gate contact on a gate line, forming a via on the active contact and the gate line, and the like.

S55 단계에서, BEOL(back-end-of-line) 공정이 수행될 수 있다. BEOL은 집적 회로(IC)의 제조 과정에서 개별 소자들, 예를 들어 트랜지스터, 캐패시터, 저항 등을 상호연결하는 과정을 지칭할 수 있다. 예를 들어, BEOL은 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 메탈 레이어들을 형성하는 단계, 메탈 레이어들 사이에 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있다. 그 다음에, 집적 회로(IC)는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션들의 부품으로서 사용될 수 있다.In step S55, a back-end-of-line (BEOL) process may be performed. BEOL may refer to a process of interconnecting individual elements, eg, transistors, capacitors, resistors, and the like, in the manufacturing process of an integrated circuit (IC). For example, BEOL includes silicidation of gate, source and drain regions, adding dielectric, planarization, forming holes, forming metal layers, and forming vias between metal layers. A step of forming, a step of forming a passivation layer, and the like may be included. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in various applications.

도 7은 본 개시의 예시적 실시 예에 따라 집적 회로의 제조 방법을 나타내는 순서도이다. 도 7의 S20 단계는 도 6의 S20 단계의 일 실시 예로서, S21 단계 내지 S23 단계를 포함할 수 있다. 7 is a flowchart illustrating a method of fabricating an integrated circuit according to an exemplary embodiment of the present disclosure. Step S20 of FIG. 7 is an embodiment of step S20 of FIG. 6 and may include steps S21 to S23.

도 7을 참조하면, S21 단계에서, 셀 패턴을 포함하는 복수의 표준 셀들을 배치할 수 있다. 예를 들어, S21 단계에서, 도 1 내지 도 5에서 설명된 표준 셀들(C1, C1A, C1B, C2, C2A, C2B) 중 적어도 하나가 배치될 수 있다.Referring to FIG. 7 , in step S21, a plurality of standard cells including cell patterns may be disposed. For example, in step S21, at least one of the standard cells C1, C1A, C1B, C2, C2A, and C2B described in FIGS. 1 to 5 may be disposed.

S22 단계에서, 특정 메탈 레이어에 정의된 복수의 트랙들 중 동일한 트랙에 형성된 인접한 패턴들 사이의 간격이 기준 값을 초과하는지 판단할 수 있다. 즉, 복수의 트랙들 중 복수의 표준 셀들의 셀 패턴들이 형성되지 않은 공간의 폭(팁-투-팁 스페이스)이 기준 값을 초과하는지 판단할 수 있다. In operation S22, it may be determined whether a distance between adjacent patterns formed on the same track among a plurality of tracks defined on a specific metal layer exceeds a reference value. That is, it may be determined whether the width (tip-to-tip space) of a space in which cell patterns of a plurality of standard cells among a plurality of tracks are not formed exceeds a reference value.

이 때의 기준 값은, 상기 특정 메탈 레이어에에서 정의된 팁-투-팁 스페이스의 지정 값에 따라 결정될 수 있다. 상기 지정 값 이상으로 기준 값이 설정될 수 있고, 예를 들어, 팁-투-팁 스페이스가 복수의 지정 값들을 갖도록 설정되는 경우, 복수의 지정 값들 중 가장 큰 값이 기준 값으로 설정될 수 있다.The reference value at this time may be determined according to the specified value of the tip-to-tip space defined in the specific metal layer. A reference value may be set equal to or greater than the designated value, and for example, when a tip-to-tip space is set to have a plurality of designated values, the largest value among the plurality of designated values may be set as the reference value. .

특정 메탈 레이어에서 동일한 트랙에 형성된 인접한 패턴들 사이의 간격이 기준 값을 초과하는 경우에는, S23 단계에서 인접한 패턴들 사이(즉, 빈 영역)에 추가 패턴을 형성할 수 있다. 추가 패턴을 형성함으로써, 특정 메탈 레이어에서 요구되는 팁-투-팁 스페이스 요건을 만족시킬 수 있다. When the distance between adjacent patterns formed on the same track in a specific metal layer exceeds a reference value, an additional pattern may be formed between adjacent patterns (ie, an empty area) in step S23. By forming additional patterns, the tip-to-tip space requirements required for a particular metal layer can be met.

S22 단계 및 S23 단계는 집적 회로에 형성되는 복수의 메탈 레이어들 중 적어도 일부에 대해 수행될 수 있다. 예를 들어, 도 1에 도시된 바와 같이 제1 메탈 레이어(M1)에 대해 수행될 수도 있고, 도 3 및 도 4에 도시된 바와 같이 제2 메탈 레이어(M2)에 대해 수행될 수도 있고, 도 5에 도시된 바와 같이 제3 메탈 레이어(M3)에 대해 수행될 수도 있다.Steps S22 and S23 may be performed on at least some of the plurality of metal layers formed on the integrated circuit. For example, as shown in FIG. 1, it may be performed on the first metal layer M1, or as shown in FIGS. 3 and 4, it may be performed on the second metal layer M2. As shown in FIG. 5, it may be performed on the third metal layer M3.

도 8은 본 개시의 예시적 실시 예에 따라 집적 회로의 제조 방법을 나타내는 순서도이다. 도 8의 S23 단계는 도 7의 S23 단계의 일 실시 예로서, S231 단계 및 S232 단계를 포함할 수 있다. 도 8에서 설명되는 S23 단계는적 회로에 형성되는 복수의 메탈 레이어들 중 적어도 하나의 메탈 레이어에 대해 수행될 수 있다. 8 is a flowchart illustrating a method of fabricating an integrated circuit according to an exemplary embodiment of the present disclosure. Step S23 of FIG. 8 is an embodiment of step S23 of FIG. 7 and may include steps S231 and S232. Step S23 described in FIG. 8 may be performed on at least one metal layer among a plurality of metal layers formed in the integrated circuit.

도 8을 참조하면, S231 단계에서, 표준 셀의 셀 패턴으로부터 연장되는 연장 패턴을 형성할 수 있다. 예시적인 실시 예에서, 연장 패턴은 상기 표준 셀의 입/출력 핀으로부터 연장되도록 형성될 수 있다. Referring to FIG. 8 , in step S231, an extension pattern extending from the cell pattern of the standard cell may be formed. In an exemplary embodiment, the extension pattern may be formed to extend from the input/output pin of the standard cell.

연장 패턴은 상기 표준 셀의 셀 바운더리 내부에 형성될 수 있고, 또는, 연장 패턴은 상기 표준 셀 및 상기 표준 셀과 인접한 표준 셀에 걸쳐서 형성될 수도 있다. 이는 연장 패턴이 배치될 트랙에서의 빈 영역의 간격에 따라 결정될 수 있다. The extension pattern may be formed inside the cell boundary of the standard cell, or may be formed over the standard cell and standard cells adjacent to the standard cell. This may be determined according to the interval of the empty area in the track where the extended pattern is to be arranged.

S231 단계에서는, 추가적으로 형성된 연장 패턴 상에 상기 연장 패턴과 다른 레이어의 패턴을 연결하기 위한 비아를 더 형성할 수 있다. 예를 들어, 연장 패턴이 형성되는 메탈 레이어의 상위 레이어의 패턴을 연결하기 위해 연장 패턴에 접하도록 비아가 형성될 수도 있고, 또는, 예를 들어, 연장 패턴이 형성되는 메탈 레이어의 하위 레이어의 패턴을 연결하기 위해 연장 패턴에 접하도록 비아가 형성될 수도 있다.In operation S231 , vias may be further formed on the additionally formed extension patterns to connect the extension patterns to patterns of other layers. For example, a via may be formed in contact with the extension pattern to connect a pattern of an upper layer of a metal layer on which an extension pattern is formed, or, for example, a pattern of a lower layer of a metal layer on which an extension pattern is formed. A via may be formed to contact the extension pattern to connect the .

S232 단계에서는, 다른 패턴과 전기적으로 분리되는 더미 패턴을 형성할 수 있다. 예를 들어, 더미 패턴은, 더미 패턴이 형성될 메탈 레이어의 다른 패턴과 전기적으로 분리될 수 있고, 다른 메탈 레이어에 형성된 패턴과도 전기적으로 분리될 수 있다. 따라서, 더미 패턴은 비아와 연결되지 않을 수 있다. In step S232, a dummy pattern electrically separated from other patterns may be formed. For example, the dummy pattern may be electrically separated from other patterns of the metal layer on which the dummy pattern is to be formed, and may also be electrically separated from patterns formed on other metal layers. Therefore, the dummy pattern may not be connected to the via.

S231 단계 및 S233 단계를 수행함에 따라, 동일한 셀 패턴들을 포함하는 제1 로직 셀 및 제2 로직 셀이 도 7의 S21 단계에서 배치되더라도, 제1 로직 셀 및 제2 로직 셀 상에 배치되는 연장 패턴 또는 더미 패턴은 서로 상이할 수 있다. 즉, 상기 추가 패턴을 형성하는 단계(S23 단계)는, 상기 제1 로직 셀에 제1 추가 패턴을 형성하고, 상기 제2 로직 셀에는 상기 제1 추가 패턴과 상이한 패턴의 제2 추가 패턴을 형성하는 단계를 포함할 수 있다.As steps S231 and S233 are performed, even if the first logic cell and the second logic cell including the same cell patterns are disposed in step S21 of FIG. 7 , the extended pattern is disposed on the first logic cell and the second logic cell. Alternatively, the dummy patterns may be different from each other. That is, in the step of forming the additional pattern (step S23), a first additional pattern is formed in the first logic cell, and a second additional pattern having a different pattern from the first additional pattern is formed in the second logic cell. steps may be included.

본 개시에 따른 집적 회로의 제조 방법은, 복수의 트랙들 중 연장 패턴 및 더미 패턴 중 적어도 하나를 포함하는 추가 패턴을 형성함으로써, 복수의 메탈 레이어들의 팁-투-팁 스페이스 요건을 만족시킬 수 있다. 즉, 집적 회로(10)는 모든 트랙들에 팁-투-팁 스페이스 요건을 만족하는 패턴들이 형성되는 풀-트랙 구조의 메탈 레이어를 포함할 수 있다. The manufacturing method of an integrated circuit according to the present disclosure may satisfy a tip-to-tip space requirement of a plurality of metal layers by forming an additional pattern including at least one of an extension pattern and a dummy pattern among a plurality of tracks. . That is, the integrated circuit 10 may include a metal layer having a full-track structure in which patterns satisfying a tip-to-tip space requirement are formed on all tracks.

도 9 및 도 10은 본 개시의 예시적 실시 예에 따른 집적 회로(10C)의 제조 방법을 설명하기 위한 도면들이다. 도 9는 표준 셀(FFC)의 레이아웃이고, 도 10은 도 9의 표준 셀(FFC)이 배치된 집적 회로(10C)의 레이아웃이다. 도 9 및 도 10은 제1 메탈 레이어(M1)의 패턴들에 대해 설명하나, 제1 메탈 레이어(M1)이외의 복수의 메탈 레이어들에도 동일한 설명이 적용될 수 있다. 9 and 10 are diagrams for explaining a method of manufacturing an integrated circuit 10C according to an exemplary embodiment of the present disclosure. FIG. 9 is a layout of the standard cell (FFC), and FIG. 10 is a layout of the integrated circuit 10C in which the standard cell (FFC) of FIG. 9 is disposed. 9 and 10 describe patterns of the first metal layer M1, but the same description may be applied to a plurality of metal layers other than the first metal layer M1.

도 9를 참조하면, 표준 셀(FFC)은 플립-플랍이 구현되는 플립-플랍 셀일 수 있다. 표준 셀(FFC)은 제1 행(R1) 및 제2 행(R2)에 배치되는 다중 높이 셀일 수 있다. 표준 셀(FFC)의 레이아웃을 정의하는 데이터는 도 6의 셀 라이브러리(D10)에 저장될 수 있다. Referring to FIG. 9 , the standard cell FFC may be a flip-flop cell in which a flip-flop is implemented. The standard cells FFC may be multi-height cells arranged in the first row R1 and the second row R2. Data defining the layout of the standard cell FFC may be stored in the cell library D10 of FIG. 6 .

표준 셀(FFC) 상에는 제1 메탈 레이어(M1)가 배치되는 제1 내지 제10 트랙(TR11~TR19, TR10)이 형성될 수 있다. 제1 행(R1)에는 제1 내지 제5 트랙(TR11~TR15)이 배치될 수 있고, 제2 행(R2)에는 제6 내지 제10 트랙(TR16~TR19, TR10)이 배치될 수 있다. First to tenth tracks TR11 to TR19 and TR10 on which the first metal layer M1 is disposed may be formed on the standard cell FFC. The first to fifth tracks TR11 to TR15 may be disposed in the first row R1 , and the sixth to tenth tracks TR16 to TR19 and TR10 may be disposed in the second row R2 .

표준 셀(FFC)은 제1 메탈 레이어(M1)에 형성되는 셀 패턴들을 포함할 수 있다. 표준 셀(FFC)은 제1 메탈 레이어(M1)의 셀 패턴들에 접하고 제1 메탈 레이어(M1)의 상부 레이어의 패턴들과 연결되는 비아(V1)들을 셀 패턴들로서 더 포함할 수 있다. 또한, 표준 셀(FFC)은 제1 메탈 레이어(M1)의 셀 패턴들의 하부에서 게이트 라인 또는 활성 영역에 셀 패턴을 연결하는 비아들을 더 포함할 수 있다. 표준 셀(FFC)에는 제1 메탈 레이어(M1)의 셀 패턴들이 절단되는 M1 컷 영역이 정의될 수 있다. The standard cell FFC may include cell patterns formed on the first metal layer M1. The standard cell FFC may further include vias V1 as cell patterns that contact cell patterns of the first metal layer M1 and are connected to patterns of an upper layer of the first metal layer M1. In addition, the standard cell FFC may further include vias connecting the cell patterns to the gate line or the active region below the cell patterns of the first metal layer M1. An M1 cut region in which cell patterns of the first metal layer M1 are cut may be defined in the standard cell FFC.

도 7 내지 도 10을 참조하면, 집적 회로(10C)에는 S21 단계에서 표준 셀(FFC)이 배치될 수 있고, 표준 셀(FFC)에 인접하게 제1 내지 제4 인접 셀(STC1~STC4)이 배치될 수 있다. 표준 셀(FFC) 및 제1 내지 제4 인접 셀(STC1~STC4)이 배치된 후, S23 단계에서 제1 메탈 레이어(M1)에 추가 패턴들이 형성될 수 있다. 또한 S23 단계에서는 제1 메탈 레이어(M1) 및 제1 메탈 레이어(M1) 상위 레이어를 연결하는 비아(V1)들이 추가적으로 형성될 수 있고, 제1 메탈 레이어(M1)의 셀 패턴들 및 추가 패턴들이 절단되는 M1 컷 영역이 추가로 정의될 수 있다. 7 to 10 , the standard cell FFC may be disposed in the integrated circuit 10C in step S21, and the first to fourth adjacent cells STC1 to STC4 may be disposed adjacent to the standard cell FFC. can be placed. After the standard cell FFC and the first to fourth adjacent cells STC1 to STC4 are disposed, additional patterns may be formed on the first metal layer M1 in step S23. Also, in step S23, vias V1 connecting the first metal layer M1 and an upper layer of the first metal layer M1 may be additionally formed, and cell patterns and additional patterns of the first metal layer M1 may be formed. An M1 cut region to be cleaved can be further defined.

예를 들어, 제1 내지 제3, 제6, 제7, 제9 및 제10 트랙(TR11~TR13, TR16, TR17, TR19, TR10)에서 표준 셀(FFC)의 셀 패턴들이 형성되지 않는 공간의 폭은 기준 값을 초과할 수 있다. S23 단계에서, 제1 내지 제3, 제6, 제7, 제9 및 제10 트랙(TR11~TR13, TR16, TR17, TR19, TR10)에는 연장 패턴 및 더미 패턴이 형성될 수 있다. 제10 트랙(TR10)에는 연장 패턴이 형성될 수 있고, 제1 내지 제3, 제6, 제7, 제9 및 제10 트랙(TR11~TR13, TR16, TR17, TR19, TR10)에는 더미 패턴이 형성될 수 있다. For example, in the first to third, sixth, seventh, ninth, and tenth tracks TR11 to TR13, TR16, TR17, TR19, and TR10, cell patterns of the standard cells FFC are not formed. The width may exceed the standard value. In step S23 , extended patterns and dummy patterns may be formed on the first to third, sixth, seventh, ninth, and tenth tracks TR11 to TR13, TR16, TR17, TR19, and TR10. An extension pattern may be formed on the tenth track TR10, and dummy patterns may be formed on the first to third, sixth, seventh, ninth, and tenth tracks TR11 to TR13, TR16, TR17, TR19, and TR10. can be formed

연장 패턴은 표준 셀(FFC)의 셀 패턴으로부터 연장되도록 형성될 수 있다. 연장 패턴 상에는 상위 레이어의 패턴과 연결하기 위한 비아(V1)가 형성될 수 있다. The extension pattern may be formed to extend from the cell pattern of the standard cell FFC. A via V1 may be formed on the extension pattern to connect to a pattern of an upper layer.

제1 더미 패턴(DP1)은 표준 셀(FFC) 및 제1 인접 셀(STC1) 상에 걸쳐서 형성될 수 있고, 제2 더미 패턴(DP2)은 표준 셀(FFC) 및 제2 인접 셀(STC2) 상에 걸쳐서 형성될 수 있다. 제1 더미 패턴(DP1)은 제1 인접 셀(STC1)의 셀 바운더리에서 끊어지지 않도록 연장될 수 있다. 즉, 제1 더미 패턴은 표준 셀(FFC) 및 제1 인접 셀(STC1)을 포함하는 적어도 3개의 표준 셀들에 걸쳐서 형성될 수 있다. The first dummy pattern DP1 may be formed over the standard cell FFC and the first neighboring cell STC1, and the second dummy pattern DP2 may be formed over the standard cell FFC and the second neighboring cell STC2. It can be formed over the phase. The first dummy pattern DP1 may extend unbroken at the cell boundary of the first adjacent cell STC1. That is, the first dummy pattern may be formed over at least three standard cells including the standard cell FFC and the first adjacent cell STC1.

도 11은 본 개시의 예시적 실시 예에 따른 집적 회로(IC)의 제조 방법을 설명하기 위한 도면이다. 11 is a diagram for explaining a method of manufacturing an integrated circuit (IC) according to an exemplary embodiment of the present disclosure.

도 11을 참조하면, 집적 회로(IC)는 특정 방향으로 연장되는 제1 패턴(101) 및 제2 패턴(102)을 포함할 수 있다. 예를 들어, 제1 패턴(101) 및 제2 패턴(102)은, 도 1에서 설명된 바와 같이 X축 방향으로 연장되는 제1 메탈 레이어(M1)의 패턴일 수도 있고, 도 3 및 도 4에서 설명된 바와 같이 Y축 방향으로 연장되는 제2 메탈 레이어(M2)의 패턴일 수도 있고, 또는 X축 방향으로 연장되는 제3 메탈 레이어(M3)의 패턴일 수도 있다.Referring to FIG. 11 , an integrated circuit (IC) may include a first pattern 101 and a second pattern 102 extending in a specific direction. For example, the first pattern 101 and the second pattern 102 may be patterns of the first metal layer M1 extending in the X-axis direction as described in FIG. 1 , and FIGS. 3 and 4 As described above, it may be a pattern of the second metal layer M2 extending in the Y-axis direction, or a pattern of the third metal layer M3 extending in the X-axis direction.

제1 패턴(101) 및 제2 패턴(102)은 서로 인접한 패턴일 수 있다. 예를 들어, 제1 패턴(101) 및 제2 패턴(102)이 도 1의 제1 메탈 레이어(M1)의 패턴들인 경우에, 제1 패턴(101)은 제1 트랙(TR11)에 배치될 수 있고, 제2 패턴(102)은 제2 트랙(TR12)에 배치될 수 있다. The first pattern 101 and the second pattern 102 may be adjacent to each other. For example, when the first pattern 101 and the second pattern 102 are patterns of the first metal layer M1 of FIG. 1 , the first pattern 101 is disposed on the first track TR11. and the second pattern 102 may be disposed on the second track TR12.

예시적인 실시 예에서, 제1 패턴(101) 및 제2 패턴(102)은 서로 다른 마스크를 이용하여 형성될 수 있다. 제1 패턴(101)은 제1 마스크(MK1)를 이용하여 형성될 수 있고, 제2 패턴(102)은 제2 마스크(MK2)를 이용하여 형성될 수 있다. 예를 들어, 제1 패턴(101) 및 제2 패턴(102)이 도 1의 제1 메탈 레이어(M1)의 패턴들인 경우에, 제1, 제3, 및 제5 트랙(TR11, TR13, TR15)의 패턴들은 제1 마스크(MK1)를 이용하여 형성될 수 있고, 제2, 및 제4 트랙(TR12, TR14)의 패턴들은 제2 마스크(MK2)를 이용하여 형성될 수 있다. 다만 본 개시에 따른 집적 회로의 제조 방법은 이에 한정되지 않으며, 3개 이상의 서로 다른 마스크를 이용하여 메탈 레이어들의 패턴들이 형성될 수도 있고, 또는 하나의 마스크를 이용하여 특정 메탈 레이어의 모든 패턴들이 형성될 수도 있다. In an exemplary embodiment, the first pattern 101 and the second pattern 102 may be formed using different masks. The first pattern 101 may be formed using the first mask MK1, and the second pattern 102 may be formed using the second mask MK2. For example, when the first pattern 101 and the second pattern 102 are patterns of the first metal layer M1 of FIG. 1 , the first, third, and fifth tracks TR11, TR13, and TR15 ) may be formed using the first mask MK1, and patterns of the second and fourth tracks TR12 and TR14 may be formed using the second mask MK2. However, the method of manufacturing an integrated circuit according to the present disclosure is not limited thereto, and patterns of metal layers may be formed using three or more different masks, or all patterns of a specific metal layer may be formed using one mask. It could be.

제1 마스크(MK1) 및 제2 마스크(MK2)는 도 6의 S40 단계에서 생성될 수 있다. 구체적으로, 제1 마스크(MK1) 및 제2 마스크(MK2)을 이용하여 웨이퍼 등과 같은 반도체 기판 상에 다양한 반도체 공정을 진행함으로써, 집적 회로(IC)의 제1 패턴(101) 및 제2 패턴(102)이 형성될 수 있다. 제1 마스크(MK1) 및 제2 마스크(MK2)를 이용한 패터닝 공정을 통해 반도체 기판이나 물질층 상에 원하는 패턴을 형성할 수 있다. The first mask MK1 and the second mask MK2 may be generated in step S40 of FIG. 6 . Specifically, by performing various semiconductor processes on a semiconductor substrate such as a wafer using the first mask MK1 and the second mask MK2, the first pattern 101 and the second pattern ( 102) can be formed. A desired pattern may be formed on a semiconductor substrate or a material layer through a patterning process using the first mask MK1 and the second mask MK2 .

도 12은 본 개시의 예시적 실시 예에 따른 집적 회로의 설계를 위한 컴퓨팅 시스템을 나타내는 블록도이다.12 is a block diagram illustrating a computing system for design of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 12을 참조하면, 집적 회로를 설계하기 위한 컴퓨팅 시스템(이하 '집적 회로 설계 시스템'이라고 지칭함)(100)은 프로세서(110), 메모리(130), 입출력 장치(150), 저장 장치(170) 및 버스(190)를 포함할 수 있다. 집적 회로 설계 시스템(100)은 도 6의 S10 단계 및 S20 단계를 포함하는 집적 회로 설계 동작을 수행할 수 있고, 도 7의 S21 단계 내지 S23 단계를 포함하고, 도 8의 S231 단계 및 S232 단계를 포함하는 집적 회로 설계 동작을 수행할 수 있다. 예시적인 실시 예에서, 집적 회로 설계 시스템(100)은 일체화된 디바이스로 구현될 수 있고, 이에 따라, 집적 회로 설계 장치라고 지칭할 수도 있다. 집적 회로 설계 시스템(100)은 반도체 장치의 집적 회로를 설계하기 위한 전용 장치로 제공될 수도 있지만, 다양한 시뮬레이션 툴이나 설계 툴들을 구동하기 위한 컴퓨터일 수도 있다. 집적 회로 설계 시스템(100)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. Referring to FIG. 12 , a computing system for designing an integrated circuit (hereinafter referred to as an 'integrated circuit design system') 100 includes a processor 110, a memory 130, an input/output device 150, and a storage device 170. and bus 190. The integrated circuit design system 100 may perform an integrated circuit design operation including steps S10 and S20 of FIG. 6 , steps S21 to S23 of FIG. 7 , and steps S231 and S232 of FIG. 8 . It can perform integrated circuit design operations including. In an exemplary embodiment, the integrated circuit design system 100 may be implemented as an integrated device, and thus may be referred to as an integrated circuit design apparatus. The integrated circuit design system 100 may be provided as a dedicated device for designing an integrated circuit of a semiconductor device, or may be a computer for driving various simulation tools or design tools. The integrated circuit design system 100 may be a fixed computing system such as a desktop computer, a workstation, or a server, or a portable computing system such as a laptop computer.

프로세서(110)는 집적 회로를 설계하기 위한 다양한 동작 중 적어도 하나를 수행하는 명령어들을 실행하도록 구성될 수 있다. 예를 들어, 프로세서(110)는 마이크로프로세서(micro-processor), AP(application processor, DSP(digital signal processor), GPU(graphic processing unit)와 같이, 임의의 명령어 세트(예를 들어, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 코어를 포함할 수 있다. 프로세서(110)는 버스(190)를 통해 메모리(130), 입출력 장치(150) 및 저장 장치(170)와 통신을 수행할 수 있다. 프로세서(110)는 메모리(130)에 로딩된 합성 모듈(131), P&R(Place and Routing) 모듈(132), 및 DRC(Design Rule Check) 모듈(133)을 구동함으로써, 집적 회로의 설계 동작을 실행할 수 있다.The processor 110 may be configured to execute instructions that perform at least one of various operations for designing an integrated circuit. For example, the processor 110 may be any instruction set (eg, IA-32 (Intel Architecture-32), 64-bit extensions IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64, etc.) The processor 110 may include a bus 190 ), it is possible to perform communication with the memory 130, the input/output device 150, and the storage device 170. The processor 110 uses the synthesis module 131 loaded in the memory 130, Place and Routing (P&R) ) module 132 and the design rule check (DRC) module 133, it is possible to execute the design operation of the integrated circuit.

메모리(130)는 합성 모듈(131), P&R 모듈(132), 및 DRC 모듈(133)을 저장할 수 있다. 합성 모듈(131), P&R 모듈(132), 및 DRC 모듈(133)은 저장 장치(170)로부터 메모리(130)로 로딩될 수 있다. 합성 모듈(131)은 예를 들어, 도 6의 S10 단계에 따른 논리 합성 동작을 수행하기 위한 복수의 명령어들을 포함하는 프로그램일 수 있다. P&R 모듈(132)은 예를 들어, 도 6의 S20 단계에 따른 레이아웃 설계 동작을 수행하기 위한 복수의 명령어들을 포함하는 프로그램일 수 있다. The memory 130 may store a synthesis module 131 , a P&R module 132 , and a DRC module 133 . The synthesis module 131 , the P&R module 132 , and the DRC module 133 may be loaded into the memory 130 from the storage device 170 . The synthesis module 131 may be, for example, a program including a plurality of instructions for performing a logic synthesis operation according to step S10 of FIG. 6 . For example, the P&R module 132 may be a program including a plurality of instructions for performing a layout design operation according to step S20 of FIG. 6 .

DRC 모듈(133)은 디자인 룰 오류가 존재하는지 판단할 수 있다. DRC 모듈(133)은 예를 들어, 도 7의 S22 단계에 따른 디자인 룰 검증 동작을 포함하는 DRC 동작을 수행하기 위한 복수의 명령어들을 포함하는 프로그램일 수 있다. 디자인 룰 위반이 존재할 경우, P&R 모듈(132)은 배치된 셀의 레이아웃을 조정할 수 있다. 디자인 룰 오류가 없으면, 집적 회로의 레이아웃 설계가 완료될 수 있다. 예시적인 실시 예에서, DRC 모듈(133)은 특정 메탈 레이어에 정의된 트랙들에 형성된 패턴들이 팁-투-팁 스페이스 요건을 만족하는지 판단할 수 있다. DRC 모듈(133)은 동일한 트랙에 형성된 인접한 패턴들 사이의 간격이 기준 값을 초과하는 경우에는 추가 패턴을 형성할 수 있다. The DRC module 133 may determine whether a design rule error exists. The DRC module 133 may be, for example, a program including a plurality of instructions for performing a DRC operation including a design rule verification operation according to step S22 of FIG. 7 . If there are design rule violations, the P&R module 132 may adjust the layout of the placed cells. If there is no design rule error, the layout design of the integrated circuit can be completed. In an exemplary embodiment, the DRC module 133 may determine whether patterns formed on tracks defined in a specific metal layer satisfy a tip-to-tip space requirement. The DRC module 133 may form an additional pattern when a distance between adjacent patterns formed on the same track exceeds a reference value.

메모리(130)는 SRAM(Static Random Access Memory)이나 DRAM(Dynamic RAM)과 같은 휘발성 메모리이거나, PRAM(Phase Change RAM), ReRAM(Resistive RAM), NFGM(Nano Floating Gate Memory), PoRAM(Polymer Random Access Memory), MRAM(Magnetic RAM), FRAM(Ferroelectric RAM), 플래시 메모리(flash memory) 등의 비휘발성 메모리일 수 있다.The memory 130 is a volatile memory such as SRAM (Static Random Access Memory) or DRAM (Dynamic RAM), PRAM (Phase Change RAM), ReRAM (Resistive RAM), NFGM (Nano Floating Gate Memory), PoRAM (Polymer Random Access Memory), magnetic RAM (MRAM), ferroelectric RAM (FRAM), and flash memory.

입출력 장치(150)는 사용자 인터페이스 장치들로부터의 사용자 입력 및 출력을 제어할 수 있다. 예를 들어, 입출력 장치(150)는 키보드, 마우스, 터치패드 등과 같은 입력 장치를 구비하여, 집적 회로를 정의하는 입력 데이터 등을 입력 받을 수 있다. 예를 들어, 입출력 장치(150)는 디스플레이, 스피커 등과 같은 출력 장치를 구비하여, 배치 결과, 라우팅 결과, 레이아웃 데이터, DRC 결과 등을 표시할 수 있다. The input/output device 150 may control user input and output from user interface devices. For example, the input/output device 150 may include an input device such as a keyboard, mouse, or touch pad to receive input data defining an integrated circuit. For example, the input/output device 150 may include an output device such as a display or a speaker to display arrangement results, routing results, layout data, DRC results, and the like.

저장 장치(170)는 합성 모듈(131), P&R 모듈(132), 및 DRC 모듈(133) 등의 프로그램을 저장할 수 있으며, 프로그램이 프로세서(110)에 의해서 실행되기 이전에 저장 장치(170)로부터 프로그램 또는 그것의 적어도 일부가 메모리(130)로 로딩될 수 있다. 저장 장치(170)는 또한 프로세서(110)에 의해서 처리될 데이터 또는 프로세서(110)에 의해 처리된 데이터를 저장할 수 있다. 예를 들어서, 저장 장치(170)는 합성 모듈(131), P&R 모듈(132), 및 DRC 모듈(133) 등의 프로그램에 의하여 처리될 데이터(예를 들어, 표준 셀 라이브러리(171), 넷리스트 데이터 등) 및 프로그램에 의해 생성되는 데이터(예를 들어, DRC 결과 데이터, 레이아웃 데이터 등)를 저장할 수 있다. 저장 장치(170)에 저장된 표준 셀 라이브러리(171)는 도 6의 표준 셀 라이브러리(D10)일 수 있다.The storage device 170 may store programs such as the synthesis module 131, the P&R module 132, and the DRC module 133, and the programs are stored in the storage device 170 before being executed by the processor 110. A program or at least a portion thereof may be loaded into memory 130 . The storage device 170 may also store data to be processed by the processor 110 or data processed by the processor 110 . For example, the storage device 170 stores data to be processed by programs such as the synthesis module 131, the P&R module 132, and the DRC module 133 (eg, the standard cell library 171, the netlist data, etc.) and data generated by a program (eg, DRC result data, layout data, etc.) may be stored. The standard cell library 171 stored in the storage device 170 may be the standard cell library D10 of FIG. 6 .

예를 들면, 저장 장치(170)는 EEPROM(Electrically Erasable Programmable Read-Only Memory), 플래시 메모리, PRAM, RRAM, MRAM, FRAM 등과 같은 비휘발성 메모리를 포함할 수도 있고, 메모리 카드(MMC, eMMC, SD, MicroSD 등), SSD(Solid State Drive), HDD(Hard Disk Drive), 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(170)는 집적 회로 설계 시스템(100)으로부터 탈착 가능할 수도 있다.For example, the storage device 170 may include non-volatile memory such as electrically erasable programmable read-only memory (EEPROM), flash memory, PRAM, RRAM, MRAM, FRAM, or the like, or a memory card (MMC, eMMC, SD). , MicroSD, etc.), a solid state drive (SSD), a hard disk drive (HDD), a magnetic tape, an optical disk, or a magnetic disk. Also, the storage device 170 may be removable from the integrated circuit design system 100 .

이상에서와 같이 도면과 명세서에서 예시적인 실시 예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시 예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시 예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.As above, exemplary embodiments have been disclosed in the drawings and specifications. Although the embodiments have been described using specific terms in this specification, they are only used for the purpose of explaining the technical idea of the present disclosure, and are not used to limit the scope of the present disclosure described in the claims. . Therefore, those of ordinary skill in the art will understand that various modifications and equivalent other embodiments are possible therefrom. Therefore, the true technical scope of protection of the present disclosure should be determined by the technical spirit of the appended claims.

Claims (20)

적층되는 복수의 메탈 레이어들을 포함하는 집적 회로를 제조하는 방법으로서,
상기 복수의 메탈 레이어들에 형성된 셀 패턴들을 각각 포함하는 복수의 표준 셀들을 배치하는 단계; 및
상기 복수의 메탈 레이어들 중 특정 메탈 레이어에 추가 패턴을 형성하는 단계를 포함하고,
상기 특정 메탈 레이어는 제1 방향으로 연장되는 패턴들이 각각 형성되고 서로 제2 방향으로 이격되는 복수의 트랙들이 정의되고,
상기 추가 패턴을 형성하는 단계는, 상기 복수의 트랙들 중 동일한 트랙에 형성된 인접한 패턴들 사이의 간격이 기준 값 초과일 때, 상기 인접한 패턴들 사이에 상기 추가 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 집적 회로의 제조 방법.
A method of manufacturing an integrated circuit comprising a plurality of stacked metal layers, comprising:
disposing a plurality of standard cells each including cell patterns formed on the plurality of metal layers; and
Forming an additional pattern on a specific metal layer among the plurality of metal layers;
In the specific metal layer, patterns extending in a first direction are respectively formed and a plurality of tracks spaced apart from each other in a second direction are defined,
The forming of the additional pattern may include forming the additional pattern between adjacent patterns when a distance between adjacent patterns formed on the same track among the plurality of tracks exceeds a reference value. A method for manufacturing an integrated circuit.
제1 항에 있어서,
상기 추가 패턴을 형성하는 단계는, 상기 복수의 표준 셀들 중 서로 인접하게 배치되는 제1 표준 셀 및 제2 표준 셀 상에 걸쳐서 형성되고 다른 패턴들과 전기적으로 분리되는 더미 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 1,
The forming of the additional pattern may include forming a dummy pattern formed over a first standard cell and a second standard cell disposed adjacent to each other among the plurality of standard cells and electrically separated from other patterns. A method of manufacturing an integrated circuit, characterized in that for doing.
제2 항에 있어서,
상기 제1 표준 셀 및 상기 제2 표준 셀은 로직 셀인 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 2,
The method of manufacturing an integrated circuit, characterized in that the first standard cell and the second standard cell are logic cells.
제1 항에 있어서,
상기 추가 패턴을 형성하는 단계는, 상기 복수의 표준 셀들 중 제1 표준 셀의 셀 패턴으로부터 연장되는 연장 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 1,
The method of manufacturing an integrated circuit according to claim 1 , wherein the forming of the additional pattern includes forming an extension pattern extending from a cell pattern of a first standard cell among the plurality of standard cells.
제4 항에 있어서,
상기 연장 패턴을 형성하는 단계는, 상기 제1 표준 셀 및 상기 제1 표준 셀과 인접한 제2 표준 셀에 걸쳐서 형성되는 연장 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 4,
The method of manufacturing an integrated circuit, characterized in that the forming of the extension pattern comprises forming an extension pattern formed over the first standard cell and a second standard cell adjacent to the first standard cell.
제4 항에 있어서,
상기 연장 패턴을 형성하는 단계 이 후에, 상기 연장 패턴과 상기 특정 메탈 레이어의 상위 레이어의 패턴을 연결하기 위해 상기 연장 패턴에 접하도록 형성된 비아를 형성하는 단계를 더 포함하는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 4,
After the step of forming the extension pattern, forming a via formed to contact the extension pattern to connect the extension pattern and a pattern of an upper layer of the specific metal layer Of the integrated circuit, characterized in that it further comprises manufacturing method.
제1 항에 있어서,
상기 복수의 트랙들 중 동일한 트랙에 형성된 인접한 패턴들은 서로 적어도 하나의 지정 값만큼 이격되는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 1,
The method of manufacturing an integrated circuit, characterized in that adjacent patterns formed on the same track among the plurality of tracks are spaced apart from each other by at least one predetermined value.
제1 항에 있어서,
상기 복수의 표준 셀들은, 상기 제1 방향으로 연장되는 복수의 파워 레일들로부터 전원을 제공받는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 1,
The method of manufacturing an integrated circuit, wherein the plurality of standard cells receives power from a plurality of power rails extending in the first direction.
제1 항에 있어서,
상기 복수의 표준 셀들은, 상기 제2 방향으로 연장되는 복수의 파워 레일들로부터 전원을 제공받는 것을 특징으로 하는 집적 회로의 제조 방법.
According to claim 1,
The method of manufacturing an integrated circuit, wherein the plurality of standard cells receive power from a plurality of power rails extending in the second direction.
적층되는 복수의 메탈 레이어들을 포함하는 집적 회로로서,
상기 복수의 메탈 레이어들에 형성된 셀 패턴들을 각각 포함하는 제1 로직 셀 및 제2 로직 셀; 및
상기 복수의 메탈 레이어들 중 특정 메탈 레이어에서 상기 제1 로직 셀 및 상기 제2 로직 셀 상에 걸쳐서 형성되고, 다른 패턴들과 전기적으로 분리되는 더미 패턴을 포함하고,
상기 특정 메탈 레이어는, 제1 방향으로 연장되는 패턴들이 각각 형성되고 서로 제2 방향으로 이격되는 복수의 제1 트랙들이 정의되고,
상기 복수의 제1 트랙들의 모든 트랙들에는 패턴들이 형성되는 것을 특징으로 하는 집적 회로.
An integrated circuit comprising a plurality of stacked metal layers,
first logic cells and second logic cells each including cell patterns formed on the plurality of metal layers; and
A dummy pattern formed over the first logic cell and the second logic cell in a specific metal layer among the plurality of metal layers and electrically separated from other patterns;
In the specific metal layer, patterns extending in a first direction are respectively formed and a plurality of first tracks spaced apart from each other in a second direction are defined,
wherein patterns are formed on all tracks of the plurality of first tracks.
제10 항에 있어서,
상기 특정 메탈 레이어는 상기 복수의 메탈 레이어들 중 최하위 레이어인 것을 특징으로 하는 집적 회로.
According to claim 10,
The integrated circuit, characterized in that the specific metal layer is the lowest layer among the plurality of metal layers.
제10 항에 있어서,
상기 제1 로직 셀 및 상기 제2 로직 셀은 상기 제1 방향으로의 셀 높이가 서로 동일한 것을 특징으로 하는 집적 회로.
According to claim 10,
wherein the first logic cell and the second logic cell have the same cell heights in the first direction.
제10 항에 있어서,
상기 제1 로직 셀 및 상기 제2 로직 셀은 상기 제1 방향으로의 셀 높이가 서로 상이하고,
상기 특정 메탈 레이어의 하부 메탈 레이어는, 상기 제2 방향으로 연장되는 패턴들이 각각 형성되고 서로 상기 제1 방향으로 이격되는 복수의 제2 트랙들이 정의되고,
상기 복수의 제2 트랙들 중 상기 제1 로직 셀의 셀 바운더리 안으로 지나가도록 형성된 제2 트랙의 수와, 상기 복수의 제2 트랙들 중 상기 제2 로직 셀의 셀 바운더리 안으로 지나가도록 형성된 제2 트랙의 수는 서로 상이한 것을 특징으로 하는 집적 회로.
According to claim 10,
The first logic cell and the second logic cell have different cell heights in the first direction;
In the lower metal layer of the specific metal layer, patterns extending in the second direction are formed and a plurality of second tracks spaced apart from each other in the first direction are defined,
The number of second tracks formed to pass into the cell boundary of the first logic cell among the plurality of second tracks, and second tracks formed to pass into the cell boundary of the second logic cell among the plurality of second tracks An integrated circuit, characterized in that the number of is different from each other.
제10 항에 있어서,
상기 복수의 제1 트랙들 중 동일한 트랙에 형성된 인접한 패턴들은 서로 적어도 하나의 지정 값만큼 이격되는 것을 특징으로 하는 집적 회로.
According to claim 10,
characterized in that adjacent patterns formed on the same track among the plurality of first tracks are spaced apart from each other by at least one predetermined value.
제10 항에 있어서,
상기 특정 메탈 레이어에 형성되고, 상기 제1 로직 셀의 셀 패턴으로부터 연장되는 연장 패턴을 더 포함하는 것을 특징으로 하는 집적 회로.
According to claim 10,
and an extension pattern formed on the specific metal layer and extending from the cell pattern of the first logic cell.
적층되는 복수의 메탈 레이어들을 포함하는 집적 회로로서,
상기 복수의 메탈 레이어들에 형성된 셀 패턴들을 각각 포함하는 제1 표준 셀 및 제2 표준 셀; 및
상기 복수의 메탈 레이어들 중 특정 메탈 레이어에서 상기 제1 표준 셀 및 상기 제2 표준 셀에 걸쳐서 형성되고, 상기 제1 표준 셀의 셀 패턴으로부터 연장되는 연장 패턴을 포함하고,
상기 특정 메탈 레이어는 제1 방향으로 연장되는 패턴들이 각각 형성되고 서로 제2 방향으로 이격되는 복수의 제1 트랙들이 정의되고,
상기 복수의 제1 트랙들 중 동일한 트랙에 형성된 인접한 패턴들은 서로 적어도 하나의 지정 값만큼 이격되는 것을 특징으로 하는 집적 회로.
An integrated circuit comprising a plurality of stacked metal layers,
first standard cells and second standard cells each including cell patterns formed on the plurality of metal layers; and
An extension pattern formed over the first standard cell and the second standard cell in a specific metal layer among the plurality of metal layers and extending from the cell pattern of the first standard cell;
In the specific metal layer, patterns extending in a first direction are respectively formed and a plurality of first tracks spaced apart from each other in a second direction are defined,
characterized in that adjacent patterns formed on the same track among the plurality of first tracks are spaced apart from each other by at least one predetermined value.
제16 항에 있어서,
상기 특정 메탈 레이어는 상기 복수의 메탈 레이어들 중 최하위 레이어인 것을 특징으로 하는 집적 회로.
According to claim 16,
The integrated circuit, characterized in that the specific metal layer is the lowest layer among the plurality of metal layers.
제16 항에 있어서,
상기 제1 표준 셀 및 상기 제2 표준 셀은 상기 제1 방향으로의 셀 높이가 서로 동일한 것을 특징으로 하는 집적 회로.
According to claim 16,
wherein the first standard cell and the second standard cell have the same cell heights in the first direction.
제16 항에 있어서,
상기 제1 표준 셀 및 상기 제2 표준 셀은 상기 제1 방향으로의 셀 높이가 서로 상이한 것을 특징으로 하는 집적 회로.
According to claim 16,
wherein the first standard cell and the second standard cell have different cell heights in the first direction.
제19 항에 있어서,
상기 제1 표준 셀 상에 형성되고, 다른 패턴과 전기적으로 분리되도록 상기 특정 메탈 레이어에 형성되는 더미 패턴을 더 포함하는 것을 특징으로 하는 집적 회로.
According to claim 19,
and a dummy pattern formed on the first standard cell and electrically separated from other patterns on the specific metal layer.
KR1020220023831A 2021-11-11 2022-02-23 Integrated circuit and method for manufacturing the same KR20230068952A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN202211355938.6A CN116110850A (en) 2021-11-11 2022-11-01 Integrated circuit and method of manufacturing an integrated circuit
TW111142725A TW202326505A (en) 2021-11-11 2022-11-09 Integrated circuit and method of manufacturing the same
US17/984,417 US20230142050A1 (en) 2021-11-11 2022-11-10 Integrated circuit and method of manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210155155 2021-11-11
KR20210155155 2021-11-11

Publications (1)

Publication Number Publication Date
KR20230068952A true KR20230068952A (en) 2023-05-18

Family

ID=86545408

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220023831A KR20230068952A (en) 2021-11-11 2022-02-23 Integrated circuit and method for manufacturing the same

Country Status (1)

Country Link
KR (1) KR20230068952A (en)

Similar Documents

Publication Publication Date Title
US10691859B2 (en) Integrated circuit and method of designing layout of integrated circuit
US10141296B2 (en) Dummy fin cell placement in an integrated circuit layout
CN112086450A (en) Semiconductor device with a plurality of transistors
TW202230195A (en) Integrated circuit including asymmetric power line and method of designing the same
US11270057B1 (en) Semiconductor device including regions for reducing density gradient effect and method of forming the same
US20220262786A1 (en) Integrated circuit including standard cells, and method of designing the integrated circuit
US20240303410A1 (en) Integrated circuit including standard cell and method of manufacturing the integrated circuit
US9436792B2 (en) Method of designing layout of integrated circuit and method of manufacturing integrated circuit
US20240203973A1 (en) Integrated circuit including standard cell and filler cell
US20220058331A1 (en) Integrated circuit and method of designing the same
US20220189944A1 (en) Semiconductor devices having improved layout designs, and methods of designing and fabricating the same
US20230077532A1 (en) Standard cell and integrated circuit including the same
US20220367439A1 (en) Integrated circuit including standard cell and method of designing the same
TW202107850A (en) Multiplexer circuit, multiplexer and method for manufacturing multiplexer
US20220253283A1 (en) Adder cell and integrated circuit including the same
KR20230068952A (en) Integrated circuit and method for manufacturing the same
US20220300693A1 (en) Integrated circuit providing increased pin access points and method of designing the same
US20240128159A1 (en) Integrated circuit including standard cell with a metal layer having a pattern and method of manufacturing the same
US20230142050A1 (en) Integrated circuit and method of manufacturing the same
TW202431601A (en) Integrated circuit including standard cell with a metal layer having a pattern and method of manufacturing the same
US20230143562A1 (en) Integrated circuit including standard cell and a method for fabricating the same
EP4404263A2 (en) Integrated circuit including backside wiring
US20230049882A1 (en) Integrated circuit including standard cell and method of designing the integrated circuit
KR20220118271A (en) Integrated circuit including standard cells, and method for designing the same
KR20240115695A (en) Integrated circuit including backside wiring and method of designing the same