KR20230047001A - Polishing composition and polishing method using the same - Google Patents

Polishing composition and polishing method using the same Download PDF

Info

Publication number
KR20230047001A
KR20230047001A KR1020220122137A KR20220122137A KR20230047001A KR 20230047001 A KR20230047001 A KR 20230047001A KR 1020220122137 A KR1020220122137 A KR 1020220122137A KR 20220122137 A KR20220122137 A KR 20220122137A KR 20230047001 A KR20230047001 A KR 20230047001A
Authority
KR
South Korea
Prior art keywords
polishing composition
polishing
molybdenum
acid
weight
Prior art date
Application number
KR1020220122137A
Other languages
Korean (ko)
Inventor
휘성 김
Original Assignee
가부시키가이샤 후지미인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 후지미인코퍼레이티드 filed Critical 가부시키가이샤 후지미인코퍼레이티드
Publication of KR20230047001A publication Critical patent/KR20230047001A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

The objective of the present invention is to provide a polishing composition which increases the polishing rate of molybdenum (high Mo removal rate (RR)/Mo static etch rate (SER) ratio) and the ratio of the polishing rate of molybdenum to the polishing rate of silicon oxide (Mo:TEOS selective polishing rate) while reducing etching of molybdenum. More specifically, the present invention provides a polishing composition which comprises an abrasive, a molybdenum (Mo) polishing rate enhancer, an organic acid, an oxidizing agent, a corrosion inhibitor, and water combined in specific amounts and has advantageous properties such as a high Mo RR/Mo SER ratio and a high Mo:TEOS selective polishing rate, thereby providing a composition suitable for polishing molybdenum surfaces.

Description

연마용 조성물 및 그것을 사용한 연마 방법{POLISHING COMPOSITION AND POLISHING METHOD USING THE SAME}Polishing composition and polishing method using the same {POLISHING COMPOSITION AND POLISHING METHOD USING THE SAME}

본 개시는 연마용 조성물 및 그것을 사용한 연마 방법을 제공한다.The present disclosure provides a polishing composition and a polishing method using the same.

CMP는 기판(반도체 웨이퍼 등)의 표면으로부터 재료를 제거하고, 연삭 등의 물리적 프로세스와 산화 또는 킬레이트화 등의 화학적 프로세스를 조합하여 표면을 연마(평탄화)하는 프로세스이다. 가장 기본적인 형태에서는, CMP는 기판 표면 또는 기판을 연마하는 연마 패드로의 슬러리의 도포를 필요로 한다. 이 프로세스에 의해, 불필요한 재료의 제거 및 기판 표면의 평탄화의 양쪽이 실현된다. 제거 또는 연마 프로세스가 순수하게 물리적 또는 순수하게 화학적인 것은 바람직하지 않고, 오히려 양쪽의 상승적인 조합을 포함하는 것이 바람직하다.CMP is a process of removing material from the surface of a substrate (such as a semiconductor wafer) and polishing (planarizing) the surface by combining a physical process such as grinding with a chemical process such as oxidation or chelation. In its most basic form, CMP requires application of a slurry to a substrate surface or a polishing pad that polishes the substrate. By this process, both removal of unnecessary material and planarization of the substrate surface are realized. It is not desirable for the removal or polishing process to be purely physical or purely chemical, but rather to include a synergistic combination of both.

CMP는 다종다양의 대상물에 사용된다. 그 예에는 층간 또는 매립 유전체의 이산화규소(SiO2); 배선층 또는 그러한 배선층에 접속하는 플러그 내의 알루미늄(Al), 구리(Cu) 및 텅스텐(W) 등의 금속; 탄탈(Ta), 질화탄탈(TaN) 및 티타늄(Ti) 등의 배리어 메탈층; 트렌치 캐패시터로서 사용하기 위한 폴리실리콘; 그리고 폭넓은 용도로 사용되는 몰리브덴(Mo)이 포함된다.CMP is used for a wide variety of objects. Examples include silicon dioxide (SiO 2 ) in interlayer or buried dielectrics; metals such as aluminum (Al), copper (Cu), and tungsten (W) in wiring layers or plugs connected to such wiring layers; barrier metal layers such as tantalum (Ta), tantalum nitride (TaN), and titanium (Ti); polysilicon for use as trench capacitors; And molybdenum (Mo), which is used for a wide range of uses, is included.

몰리브덴은 커넥터, 포토마스크 및 반도체 디바이스의 제조 등의 마이크로 일렉트로닉스 디바이스를 포함하는 다양한 산업 용도로 사용할 수 있다. 이러한 용도에 있어서, 몰리브덴은 최초, 과량으로 사용되는 경우가 자주 있다. 이 때문에, 적절한 표면 특성을 구비하는 기판을 제공하기 위해, 일부의 몰리브덴을 제거할 필요가 있다.Molybdenum has many industrial uses including connectors, photomasks and microelectronic devices such as the manufacture of semiconductor devices. In these applications, molybdenum is often used initially in excess. For this reason, in order to provide a substrate with appropriate surface properties, it is necessary to remove some of the molybdenum.

이러한 몰리브덴을 포함하는 기판에 있어서는, 몰리브덴을 높은 연마 속도로 연마하면서, 다른 재료(예를 들어, 테트라에틸오르토실리케이트(TEOS)를 원료로 하는 실리콘 산화물)에 대하여 몰리브덴을 선택적으로 연마한다는 새로운 요구가 생기고 있다.In a substrate containing such molybdenum, there is a new demand for selectively polishing molybdenum with respect to other materials (for example, silicon oxide using tetraethyl orthosilicate (TEOS) as a raw material) while polishing molybdenum at a high polishing rate. is happening

따라서, 반도체의 연마는, 실리콘 산화물 등의 다른 재료와 비교하여, 몰리브덴의 선택적인 제거를 필요로 한다. 그러나, 몰리브덴에 사용하는 현행의 연마용 조성물은 연마율이 불충분하다. 이들 제한에 의해, CMP를 사용하는 경우, 연마 프로세스가 길어져, 프로세스의 스루풋이 저하된다. 또한, 몰리브덴층 이외의 다른 재료의 층(예를 들어, 몰리브덴층의 하층에 있는 실리콘 산화물의 층)이 연마되는 것은 불이익이다. 그 때문에, 실리콘 산화물의 연마율에 대한 몰리브덴의 연마율의 비가 높은(즉, 실리콘 산화물에 대한 몰리브덴의 연마율 선택비가 높은) 것이 필요하다. 따라서, 현재 CMP에서 사용되고 있는 연마용 조성물에는 몰리브덴의 연마율의 향상이나, 실리콘 산화물에 대한 몰리브덴의 연마율 선택성의 향상 등의 과제가 있다.Therefore, polishing of semiconductors requires selective removal of molybdenum compared to other materials such as silicon oxide. However, current polishing compositions used for molybdenum have insufficient polishing rates. Due to these limitations, in the case of using CMP, the polishing process is lengthened and the throughput of the process is lowered. Also, it is disadvantageous for a layer of material other than the molybdenum layer (for example, a layer of silicon oxide under the molybdenum layer) to be polished. Therefore, it is necessary that the ratio of the removal rate of molybdenum to the removal rate of silicon oxide is high (ie, the selectivity ratio of the removal rate of molybdenum to silicon oxide is high). Therefore, polishing compositions currently used in CMP have problems such as improving the removal rate of molybdenum and improving the selectivity of the removal rate of molybdenum with respect to silicon oxide.

몰리브덴 함유 기판의 선택적 연마를 둘러싸는 과제에 비추어, 몰리브덴의 에칭을 저감하면서, 몰리브덴의 연마율을 향상시킴과 함께, 실리콘 산화물의 연마율에 대한 몰리브덴의 연마율의 비가 높은(즉, 실리콘 산화물에 대한 몰리브덴의 연마율 선택비가 높은) 연마용 조성물을 특정하는 것이 중요하다. 이들 과제 및 다른 과제는 본 명세서에 개시되는 주제에 의해 대처된다.In view of the challenges surrounding the selective polishing of molybdenum-containing substrates, while reducing the etching of molybdenum and improving the molybdenum removal rate, the ratio of the removal rate of molybdenum to the removal rate of silicon oxide is high (ie, silicon oxide It is important to specify a polishing composition that has a high removal rate selectivity of molybdenum relative to the polishing composition. These and other challenges are addressed by the subject matter disclosed herein.

미국 특허 제7,994,057호U.S. Patent No. 7,994,057 미국 특허 제9,028,572호U.S. Patent No. 9,028,572 미국 특허 제9,422,456호U.S. Patent No. 9,422,456

본 명세서에 있어서 구체화되고, 넓게 설명되는, 본 명세서에 개시되는 주제의 목적, 또는 본 발명이 해결하고자 하는 과제에 의하면, 본 발명의 목적은 CMP 사용 시의 연마 속도의 향상을 촉진하는, 몰리브덴 함유 기판 등의 기판 연마용 조성물을 제공하는 것이다. 본 발명의 다른 목적은 몰리브덴 등의 재료를 기판으로부터 선택적으로 제거하는 방법을 제공하는 것이다.According to the object of the subject matter disclosed herein, which is embodied and broadly described herein, or the problem to be solved by the present invention, an object of the present invention is to promote the improvement of the polishing rate when using CMP, containing molybdenum. A composition for polishing a substrate such as a substrate is provided. Another object of the present invention is to provide a method for selectively removing materials such as molybdenum from a substrate.

따라서, 본 명세서에서 일 양태로 개시되는 주제는 연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물에 관한 것이다. 몇 가지의 실시 형태에 있어서, 연마제는 양이온 변성 실리카이고; 몰리브덴 연마율 향상제는 철염이고; 산화제는 과산화물이고; 부식 방지제는 양하전 아미노산이고; 연마용 조성물의 pH는 약 2 내지 약 5이다.Accordingly, the subject matter disclosed herein in one aspect relates to a polishing composition comprising an abrasive, a molybdenum removal rate improver, an organic acid, an oxidizing agent, a corrosion inhibitor and water. In some embodiments, the abrasive is cationically modified silica; The molybdenum removal rate improver is an iron salt; The oxidizing agent is a peroxide; corrosion inhibitors are positively charged amino acids; The pH of the polishing composition is about 2 to about 5.

추가적인 실시 형태에 있어서, 연마용 조성물의 몰리브덴 연마율은 적어도 약 200Å/분이고, TEOS 연마율은 약 50Å/분 미만이다. 추가적인 실시 형태에 있어서, 연마용 조성물의 Mo:TEOS 연마율 선택비는 적어도 약 4.5이다.In a further embodiment, the polishing composition has a molybdenum removal rate of at least about 200 Å/min and a TEOS removal rate of less than about 50 Å/min. In a further embodiment, the Mo:TEOS polishing rate selectivity of the polishing composition is at least about 4.5.

다른 양태에 있어서, 본 명세서에 기재되는 주제는 기판을 연마하는 방법이며, 1) 청구항 1에 기재된 연마용 조성물을 준비하는 단계; 2) 몰리브덴 함유 층을 포함하는 기판을 준비하는 단계; 및 3) 연마용 조성물로 기판을 연마하여 연마된 기판을 제공하는 단계를 포함하는 방법에 관한 것이다.In another aspect, a subject matter described herein is a method of polishing a substrate, comprising: 1) preparing the polishing composition according to claim 1; 2) preparing a substrate comprising a molybdenum-containing layer; and 3) polishing the substrate with the polishing composition to provide a polished substrate.

본 발명은 이하의 본 발명의 상세한 설명 및 그것에 포함되는 실시예를 참조함으로써, 더 용이하게 이해할 수 있다.The invention may be more readily understood by reference to the following detailed description of the invention and the examples contained therein.

본 발명의 화합물, 조성물, 물품, 시스템, 디바이스 및/또는 방법이 개시 및 설명되기 전에, 그것들은, 특별히 명기하지 않는 한 특정한 합성 방법에 한정되지 않거나, 또는 특별히 명기하지 않는 한 특정한 성분에 한정되지 않는 것을 이해해야 한다. 그러한 합성 방법이나 성분은 당연히, 변경되는 경우가 있기 때문이다. 본 명세서에서 사용되는 용어는 특정한 양태만을 설명하기 위한 것이고, 한정하는 것을 의도하는 것은 아닌 것도 이해해야 한다. 본 명세서에 기재되는 것과 유사 또는 동등한 임의의 방법 및 재료를 본 발명의 실시 또는 시험에 사용할 수 있지만, 여기서, 예시적인 방법 및 재료를 기재한다.Prior to the disclosure and description of the compounds, compositions, articles, systems, devices and/or methods of the present invention, they are not limited to specific synthesis methods unless otherwise specified, or limited to specific components unless otherwise specified. You have to understand what not. This is because such synthesis methods and components are naturally subject to change. It should be understood that the terminology used herein is for the purpose of describing particular aspects only and is not intended to be limiting. Although any methods and materials similar or equivalent to those described herein can be used in the practice or testing of the present invention, exemplary methods and materials are described herein.

본 명세서에 기재되는 것은 연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물이다. 이들 연마용 조성물은 기판을 연마하는 것을 목적으로 한다. 본 발명은, (a1) 몰리브덴의 에칭을 저감하면서, 몰리브덴의 연마율이 높다; 및 (a2) 실리콘 산화물의 연마율에 대한 몰리브덴의 연마율의 비가 높다(이하, 「실리콘 산화물에 대한 몰리브덴의 연마율 선택비가 높다」);의 양쪽을 달성하는 연마용 조성물을 제공하는 것을 목적으로 한다.Described herein is a polishing composition comprising an abrasive, a molybdenum removal rate improver, an organic acid, an oxidizing agent, a corrosion inhibitor and water. These polishing compositions are intended to polish substrates. The present invention (a1) has a high removal rate of molybdenum while reducing the etching of molybdenum; and (a2) a high ratio of the removal rate of molybdenum to the removal rate of silicon oxide (hereinafter referred to as “a high selectivity ratio of the removal rate of molybdenum to silicon oxide”). do.

이하에는, 「몰리브덴의 에칭을 저감하면서, 몰리브덴의 연마율이 높다」는 것을 「Mo RR/Mo SER비가 높다」라고 칭하는 경우가 있다. Mo RR은 몰리브덴 연마율(molybdenum removal rate), Mo SER은 몰리브덴 정전 에칭 속도(molybdenum static etch rate)를 의미한다. 또한, 실리콘 산화물의 연마율에 대한 몰리브덴의 연마율의 비가 높다는 것은 실리콘 산화물에 대한 몰리브덴의 연마율 선택비가 높은 것을 의미하고, 이하에는 단순히 「몰리브덴의 연마율 선택비가 높다」 또는 「Mo:TEOS 연마율 선택비가 높다」라고 칭하는 경우가 있다.Hereinafter, "the molybdenum removal rate is high while reducing molybdenum etching" may be referred to as "the Mo RR/Mo SER ratio is high". Mo RR means molybdenum removal rate, and Mo SER means molybdenum static etch rate. In addition, a high ratio of the removal rate of molybdenum to the removal rate of silicon oxide means that the selection ratio of the removal rate of molybdenum to silicon oxide is high. There are cases where it is referred to as "the rate selection ratio is high".

(a1) Mo RR/Mo SER비가 높은 것; 및 (a2) Mo:TEOS 연마율 선택비가 높은 것의 2개의 효과는, 모두 우수한 것인 것이 바람직하다. 일 실시 형태에 의하면, (a1) Mo RR/Mo SER비가 높다는 효과가, (a2) Mo:TEOS 연마율 선택비가 높다는 효과보다도 한층 우수하다. 또한, 다른 일 실시 형태에 의하면, (a2) Mo:TEOS 연마율 선택비가 높다는 효과가, (a1) Mo RR/Mo SER비가 높다는 효과보다도 한층 우수하다.(a1) having a high Mo RR/Mo SER ratio; and (a2) that the Mo:TEOS removal rate selectivity is high, both effects are preferably excellent. According to one embodiment, (a1) the effect that the Mo RR/Mo SER ratio is high is far superior to the effect that (a2) the Mo:TEOS removal rate selectivity is high. Further, according to another embodiment, (a2) the effect of a high Mo:TEOS removal rate selectivity is far superior to the effect of (a1) a high Mo RR/Mo SER ratio.

또한, 본 발명의 다른 목적은, 1) 낮은 몰리브덴 정적 에칭 속도; 2) 높은 몰리브덴 연마율; 3) 낮은 TEOS 연마율; 4) 높은 조성물 안정성; 및 5) 높은 Mo:TEOS 연마율 선택비 등의, 적어도 하나의 이점을 나타내는 연마용 조성물을 제공하는 것이다.In addition, another object of the present invention is, 1) a low molybdenum static etch rate; 2) high molybdenum removal rate; 3) low TEOS removal rate; 4) high composition stability; and 5) a high Mo:TEOS polishing rate selectivity ratio.

여기서, 「정적 에칭 속도」란, 시험편을 에칭 용액에 침지한 경우의 시험편이 에칭되는 속도를 산출하는 것이다. 정적 에칭 속도가 높은 경우, 대상물 표면이 화학적으로 침식되어 막 두께가 얇아져 간다. 그 경우, 일반적으로 표면의 평탄성이 보증되지 않는다. 또한, 연마에 의한 연마 후 막 두께의 제어가 곤란해진다. 따라서, 연마용 조성물로서는 에칭 속도가 낮은 편이 바람직하다.Here, the "static etching rate" is to calculate the rate at which the test piece is etched when the test piece is immersed in the etching solution. When the static etching rate is high, the surface of the object is chemically eroded and the film thickness becomes thin. In that case, flatness of the surface is generally not guaranteed. In addition, control of the film thickness after polishing by polishing becomes difficult. Therefore, a lower etching rate is preferred for the polishing composition.

본 발명의 연마용 조성물의 효과는, 몰리브덴의 연마율이 높고, 또한 TEOS에 대한 몰리브덴의 연마율 선택비가 높은 것이다. 이러한 효과는, 몰리브덴의 정적 에칭 속도가 낮고, 몰리브덴의 정적 에칭 속도에 대한 몰리브덴의 연마율의 비가 높은 것에 의해 평가할 수 있다.The effect of the polishing composition of the present invention is that the removal rate of molybdenum is high and the selection ratio of the removal rate of molybdenum to TEOS is high. This effect can be evaluated by the fact that the static etching rate of molybdenum is low and the ratio of the removal rate of molybdenum to the static etching rate of molybdenum is high.

본 발명의 연마용 조성물에 의한 몰리브덴의 정적 에칭 속도는 100Å/분 이하인 것이 바람직하고, 50Å/분 이하인 것이 보다 바람직하고, 20Å/분 이하인 것이 더욱 바람직하고, 20Å/분 미만인 것이 특히 바람직하다. 몰리브덴의 정적 에칭 속도의 하한은 특별히 제한되지 않지만, 실용상, 0.1Å/분 이상이다.The static etching rate of molybdenum by the polishing composition of the present invention is preferably 100 Å/min or less, more preferably 50 Å/min or less, still more preferably 20 Å/min or less, and particularly preferably less than 20 Å/min. The lower limit of the static etching rate of molybdenum is not particularly limited, but in practice it is 0.1 Å/min or more.

본 발명의 연마용 조성물에 의한 몰리브덴의 연마율은 150Å/분 이상인 것이 바람직하고, 200Å/분 이상인 것이 보다 바람직하고, 210Å/분 이상인 것이 더욱 바람직하다. 몰리브덴의 연마율의 상한은 특별히 제한되지 않지만, 실용상, 500Å/분 이하이다.The molybdenum removal rate of the polishing composition of the present invention is preferably 150 Å/min or more, more preferably 200 Å/min or more, and still more preferably 210 Å/min or more. The upper limit of the removal rate of molybdenum is not particularly limited, but in practice, it is 500 Å/min or less.

본 발명의 연마용 조성물에 의한 TEOS의 연마율은 55Å/분 이하인 것이 바람직하고, 50Å/분 이하인 것이 보다 바람직하고, 48Å/분 이하인 것이 더욱 바람직하다. 일 실시 형태에 있어서, 연마용 조성물에 의한 TEOS의 연마율은 50Å/분 미만이다. 또한, 일 실시 형태에 있어서, 연마용 조성물에 의한 TEOS의 연마율은 40Å/분 미만이다. TEOS의 연마율의 하한은 특별히 제한되지 않지만, 실용상, 5Å/분 이상이다.The polishing rate of TEOS by the polishing composition of the present invention is preferably 55 Å/min or less, more preferably 50 Å/min or less, and still more preferably 48 Å/min or less. In one embodiment, the polishing rate of TEOS with the polishing composition is less than 50 A/min. Further, in one embodiment, the polishing rate of TEOS by the polishing composition is less than 40 Å/min. The lower limit of the removal rate of TEOS is not particularly limited, but in practice, it is 5 Å/min or more.

본 발명의 연마용 조성물에 의한 Mo RR/Mo SER비는 20 이상인 것이 바람직하고, 23 이상인 것이 보다 바람직하고, 25 이상인 것이 더욱 바람직하다. Mo RR/Mo SER비의 상한은 특별히 제한되지 않지만, 실용상, 50 이하이다.The Mo RR/Mo SER ratio of the polishing composition of the present invention is preferably 20 or more, more preferably 23 or more, and still more preferably 25 or more. The upper limit of the Mo RR/Mo SER ratio is not particularly limited, but in practice, it is 50 or less.

본 발명의 연마용 조성물에 의한 Mo:TEOS 연마율 선택비는 4 이상인 것이 바람직하고, 4.5 이상인 것이 보다 바람직하고, 6 이상인 것이 더욱 바람직하다. Mo:TEOS 연마율 선택비의 상한은 특별히 제한되지 않지만, 실용상, 30 이하이다.The Mo:TEOS polishing rate selectivity of the polishing composition of the present invention is preferably 4 or more, more preferably 4.5 or more, still more preferably 6 or more. The upper limit of the Mo:TEOS polishing rate selectivity ratio is not particularly limited, but in practice it is 30 or less.

본 명세서에 기재되는 연마용 조성물의 몰리브덴 정적 에칭 속도, 몰리브덴 연마율, TEOS 연마율, 조성물 안정성 및 Mo:TEOS 연마율 선택비는 중요한 특성이다. 이것들의 중요한 특성을 나타내는 조성물은 필요한 양의 특정한 성분을 사용함으로써 얻을 수 있다. 예를 들어, 일 실시 형태에 있어서, 연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물은 높은 Mo:TEOS 연마율 선택비를 초래하는 것을 알아 내었고, 높은 Mo 연마율 및 낮은 TEOS 연마율을 초래하기 때문에, 해당 연마용 조성물의 각 성분의 농도가 특정한 양으로 존재할 필요가 있다. 예를 들어, 연마용 조성물에 포함되는 부식 방지제가 너무 많으면, (허용할 수 없을 만큼 낮은 Mo 연마율 등) 유해한 영향이 관찰되는 경우가 있다. 성분 및 성분의 농도에 의한 이들 효과 및 다른 효과는 본 명세서에서 더 논의된다.The molybdenum static etch rate, molybdenum removal rate, TEOS removal rate, composition stability, and Mo:TEOS removal rate selectivity of the polishing composition described herein are important properties. Compositions exhibiting these important properties can be obtained by using certain components in the required amounts. For example, in one embodiment, it has been found that a polishing composition comprising an abrasive, a molybdenum removal rate enhancer, an organic acid, an oxidizing agent, a corrosion inhibitor and water results in a high Mo:TEOS removal rate selectivity ratio, and a high Mo It is necessary that the concentration of each component of the polishing composition be present in a specific amount in order to result in a polishing rate and a low TEOS polishing rate. For example, if too much corrosion inhibitor is included in the polishing composition, detrimental effects (such as an unacceptably low Mo removal rate) may be observed. These and other effects of ingredients and concentrations of ingredients are discussed further herein.

본 명세서에 기재되는 연마용 조성물은 몰리브덴 함유 기판의 CMP 등의 용도를 갖지만, 이것에 한정되지 않는다.The polishing composition described in this specification has applications such as CMP of molybdenum-containing substrates, but is not limited thereto.

A. 정의A. Definition

이하에 기재되는 것은 본 발명을 기재하기 위해 사용되는 다양한 용어의 정의이다. 이것들의 정의는 개별로, 또는 더 큰 군의 일부로서, 특정한 경우에 특별히 제한되어 있지 않는 한, 본 명세서 전체에서 사용되는 용어에 적용된다.Listed below are definitions of various terms used to describe the present invention. These definitions apply to terms used throughout this specification, either individually or as part of a larger group, unless specifically limited in a particular case.

본 명세서 및 첨부한 특허 청구의 범위에 있어서 사용될 때, 단수형 「a」, 「an」 및 「the」는 문맥으로부터 명확하게 그렇지 않은 것이 나타나 있지 않으면, 복수의 것을 포함하는 것으로 한다. 따라서, 예를 들어 「연마제」 또는 「pH 조정제」에 대한 언급은 2종 이상의 그러한 연마제 또는 pH 조정제의 혼합물을 포함한다.As used in this specification and appended claims, the singular forms "a", "an" and "the" shall include plural unless the context clearly indicates otherwise. Thus, for example, reference to "an abrasive" or "a pH adjuster" includes mixtures of two or more such abrasives or pH adjusters.

범위는, 본 명세서에서는, 「약」 어느 특정한 값으로부터, 및/또는 「약」 다른 특정한 값까지로서 나타낼 수 있다. 그러한 범위가 표현되는 경우, 다른 양태는 어느 특정한 값으로부터 및/또는 다른 특정한 값까지를 포함한다. 마찬가지로, 선행사 「약」을 사용함으로써, 값이 근사로서 표현되는 경우, 특정한 값에 의해 다른 양태가 형성되는 것이 이해될 것이다. 또한, 각 범위의 단부점은, 다른 쪽의 단부점과의 관계에서, 및 다른 쪽의 단부점과는 독립적으로, 중요하다는 것이 이해될 것이다. 또한, 본 명세서에 개시되는 값은 다수 있고, 각 값은, 값 자체에 더하여, 「약」 그 특정한 값으로서 본 명세서에 개시되는 것도 이해될 것이다. 예를 들어, 값 「10」이 개시되어 있는 경우, 「약 10」도 개시되어 있다. 또한, 2개의 특정한 구성 단위 사이에 있는 각 구성 단위도 개시되는 것도 이해될 것이다. 예를 들어, 10 및 15가 개시되어 있는 경우, 11, 12, 13 및 14도 개시되어 있다.A range can be expressed herein as "about" a certain value, and/or "about" another particular value. Where such ranges are expressed, other aspects include from any particular value and/or to any other particular value. Similarly, by using the antecedent “about,” it will be understood that when values are expressed as approximations, different aspects are formed by particular values. It will also be appreciated that the endpoints of each range are significant in relation to, and independently of, the other endpoints. It will also be understood that there are a number of values disclosed herein, and that each value is disclosed herein as “about” its specific value, in addition to the value itself. For example, when the value "10" is disclosed, "about 10" is also disclosed. It will also be appreciated that each structural unit between two specific structural units is also disclosed. For example, when 10 and 15 are disclosed, 11, 12, 13 and 14 are also disclosed.

명세서 및 결론의 청구항에 있어서의, 조성물 중의 특정한 요소 또는 성분의 중량부에 대한 참조는, 해당 요소 또는 성분과, 임의의 다른 요소 또는 성분 사이의, 중량부가 표현되는 조성물 또는 물품 중의 중량 관계를 나타낸다. 따라서, 성분 「X」 2중량부 및 성분 「Y」 5중량부를 함유하는 화합물에 있어서, 「X 및 Y」는 2:5의 중량비로 존재하고, 다른 성분이 조성물에 함유되어 있는지 여부에 관계없이, 해당 비율로 존재한다.In the claims of the specification and conclusions, references to parts by weight of a particular element or component in a composition indicate a weight relationship between that element or component and any other element or component in the composition or article for which the parts by weight are expressed. . Therefore, in a compound containing 2 parts by weight of component "X" and 5 parts by weight of component "Y", "X and Y" are present in a weight ratio of 2:5, regardless of whether other components are contained in the composition. , is present in the corresponding proportion.

성분의 중량 퍼센트(중량%)는 특별히 명기하지 않는 한 성분이 포함되어 있는 비히클 또는 조성물의 총 중량에 기초하고 있다.Weight percentages (wt%) of components are based on the total weight of the vehicle or composition in which the component is incorporated, unless otherwise specified.

본 명세서에서 사용되는 경우, 「임의의(optional)」 및 「임의로(optionally)」라고 하는 용어는 그 후에 기재되는 사상 또는 상황이 발생할 가능성이 있거나, 또는 발생할 가능성이 없는 것을 의미하고, 해당 기재에는 해당 사상 또는 상황이 발생하는 경우 및 발생하지 않는 경우가 포함된다.When used in this specification, the terms "optional" and "optionally" mean that the event or situation described thereafter may or may not occur, and the description Cases in which the event or situation occurs and cases in which it does not occur are included.

B. 연마용 조성물B. Polishing composition

CMP의 기본적인 메커니즘은, 화학 반응에 의해 표면층을 연화시키고, 이어서 연마 입자를 사용한 기계적인 힘에 의해 연화된 층을 제거하는 것이다. 단, CMP의 역할은 재료의 제거뿐만 아니라, 평탄화, 표면 평활화, 균일성 제어, 결함 저감, 기타도 있다. 따라서, 반도체의 수율의 향상은 CMP 가공의 영향을 받는다. CMP에 의해 발생할 가능성이 있는 표면의 스크래치는 반도체 제조에 있어서 매우 유해한 결함이다. 따라서, 표면에 스크래치를 생기게 하지 않고 적절한 CMP 성능을 달성하기 위해서는, 연마용 조성물의 개발이 매우 중요하다. CMP의 요건에는 평면도 15㎚ 미만의 평탄화된 표면, 표면 조도 1㎚ 미만의 거칠기가 없는 표면, 웨이퍼당 스크래치수 및 피트수가 0카운트인 결함이 없는 표면, 콘타미네이션이 없는 것, 생산성이 높은 것 및 제거하고 싶은 재료의 연마율이 높은 상태에서 평탄화되어 있는 것이 포함된다.The basic mechanism of CMP is to soften the surface layer by chemical reaction and then remove the softened layer by mechanical force using abrasive particles. However, the role of CMP is not only material removal, but also planarization, surface smoothing, uniformity control, defect reduction, and others. Therefore, the improvement of semiconductor yield is affected by CMP processing. Scratches on the surface that can be caused by CMP are very detrimental defects in semiconductor manufacturing. Therefore, in order to achieve proper CMP performance without scratching the surface, it is very important to develop a polishing composition. CMP requirements include a flattened surface with a flatness of less than 15 nm, a surface without roughness with a surface roughness of less than 1 nm, a defect-free surface with 0 counts of scratches and pits per wafer, no contamination, and high productivity. and those that are planarized in a state where the removal rate of the material to be removed is high.

몰리브덴(Mo) 및 TEOS 함유 기판에서 사용하기 위한 연마용 조성물에 있어서 하나의 중요한 성능 지표는 높은 Mo:TEOS 연마율 선택비이고, 이에 의해 TEOS 제거가 최소한으로 된다. Mo:TEOS 선택비가 높은 연마용 조성물은 이상적으로는 pH=2 내지 5로 배합된다. 이들 양태 및 다른 양태에 대해서는, 본 명세서에서 다시 논의된다.One important performance indicator for polishing compositions for use on molybdenum (Mo) and TEOS containing substrates is a high Mo:TEOS removal rate selectivity, whereby TEOS removal is minimal. A polishing composition with a high Mo:TEOS selectivity ratio is ideally formulated at a pH of 2 to 5. These and other aspects are discussed again herein.

Mo 및 TEOS 연마율의 다양한 메커니즘을 둘러싸는 복잡함에 비추어, 높은 Mo 연마율을 가능하게 함과 동시에 낮은 TEOS 연마율을 가능하게 하고, 따라서 높은 Mo:TEOS 연마율 선택비를 초래하는 조성물을 특정하는 것이 중요하다.In light of the complexities surrounding the various mechanisms of Mo and TEOS removal rates, it is necessary to characterize compositions that enable high Mo removal rates while simultaneously enabling low TEOS removal rates, and thus result in high Mo:TEOS removal rate selectivity ratios. It is important.

따라서, 본 명세서에 기재되는 연마용 조성물의 중요한 양태에는, 1) 높은 Mo 연마율(RR); 2) 낮은 Mo 정적 에칭 속도(SER); 3) 높은 Mo RR/Mo SER비; 4) 낮은 TEOS RR; 5) 높은 Mo:TEOS 연마율 선택비; 및 6) 주요 pH가 포함되지만, 이것들에 한정되지 않는다. 본 명세서에 기재된 바와 같이, 특정한 양인 특정한 성분의 조합은 이것들의 원하는 특성을 얻기 위한 키가 된다.Accordingly, important aspects of the polishing composition described herein include: 1) a high Mo removal rate (RR); 2) low Mo static etch rate (SER); 3) high Mo RR/Mo SER ratio; 4) low TEOS RR; 5) high Mo:TEOS removal rate selectivity; and 6) major pH. As described herein, specific combinations of components in specific amounts are key to obtaining their desired properties.

1. 연마제1. Abrasive

본 명세서에 기재되는 연마용 조성물은 연마제를 함유한다. 연마제는 통상, 실리카, 알루미나, 티타니아, 지르코니아, 게르마니아, 세리아 및 그것들의 혼합물로 이루어지는 군으로부터 바람직하게는 선택되는 금속 산화물 연마제이다. 몇 가지의 실시 형태에 있어서, 연마제는 실리카이다. 추가적인 실시 형태에 있어서, 연마제는 콜로이달 실리카이다.The polishing composition described herein contains an abrasive. The abrasive is usually a metal oxide abrasive preferably selected from the group consisting of silica, alumina, titania, zirconia, germania, ceria, and mixtures thereof. In some embodiments, the abrasive is silica. In a further embodiment, the abrasive is colloidal silica.

몇 가지의 실시 형태에 있어서, 연마제는 표면 수식되어 있다. 예를 들어, 표면 수식 연마제는 양이온 변성 실리카일 수 있다. 일 실시 형태에 있어서, 양이온 변성 실리카는 양의 제타 전위를 구비하는 실리카를 생성하도록, 아미노실란으로 처리함으로써 표면 수식된 실리카일 수 있다. 다른 실시 형태에 있어서, 실리카 입자의 표면은 비스(트리메톡시실릴프로필)아민, 예를 들어 SILQEST Al 170(Crompton OSi Specialties) 등의 아미노실란, 또는 유사한 반응성 아미노실란으로 처리되어 있다. 이것들의 처리에 의해, 그 양이온 변성 실리카의 표면에는 아미노기가 공유 결합되어 있다.In some embodiments, the abrasive is surface modified. For example, the surface-modified abrasive may be cationic modified silica. In one embodiment, the cationically modified silica can be a silica that has been surface modified by treatment with an aminosilane to produce a silica with a positive zeta potential. In another embodiment, the surface of the silica particles is treated with a bis(trimethoxysilylpropyl)amine, for example an aminosilane such as SILQEST Al 170 (Crompton OSi Specialties), or a similar reactive aminosilane. As a result of these treatments, an amino group is covalently bonded to the surface of the cation-modified silica.

연마용 조성물 중에서 영구적인 양전하를 갖는 양이온 변성 실리카 입자는, 예를 들어 미국 특허 제7,994,057호 및 미국 특허 제9,028,572호에 개시된 바와 같이, 입자를 적어도 1종의 아미노실란 화합물로 처리함으로써 얻을 수 있다. 혹은, 연마용 조성물 중에서 영구적인 양전하를 갖는 양이온 변성 실리카 입자는, 미국 특허 제9,422,456호에 개시된 바와 같이, 콜로이달 실리카 입자에 아미노실란 화합물 등의 화학종을 내장함으로써 얻을 수 있다.Cationically modified silica particles having a permanent positive charge in the polishing composition can be obtained by treating the particles with at least one aminosilane compound, as disclosed in, for example, U.S. Patent No. 7,994,057 and U.S. Patent No. 9,028,572. Alternatively, cation-modified silica particles having a permanent positive charge in the polishing composition can be obtained by embedding a chemical species such as an aminosilane compound into colloidal silica particles, as disclosed in U.S. Patent No. 9,422,456.

또한, 양이온 변성 실리카와 마찬가지로, 시판되는 수성 분산체를 사용할 수 있다. 그러한 시판 제품의 예에는, Snowtex AK, Snowtex AK-L(모두 닛산 가가쿠 가부시키가이샤제의 상품명), Cataloid SN(닛키 쇼쿠바이 가세이 가부시키가이샤제의 상품명) 및 Quartron PL-3-C(후소 가가쿠 고교 가부시키가이샤제의 상품명)가 포함된다.In addition, similarly to cation-modified silica, a commercially available aqueous dispersion can be used. Examples of such commercially available products include Snowtex AK, Snowtex AK-L (all trade names of Nissan Chemical Industries, Ltd.), Cataloid SN (trade names of Nikki Shokubai Kasei Co., Ltd.), and Quartron PL-3-C (Fuso A trade name manufactured by Gagaku Kogyo Co., Ltd.) is included.

일 실시 형태에 있어서, 연마제는 콜로이달 실리카이다. 추가적인 실시 형태에 있어서, 연마제는 양의 제타 전위를 갖는다. 또 다른 실시 형태에 있어서, 연마제는 연마용 조성물 중에 있을 때 양의 제타 전위를 구비하는 실리카이다. 양의 제타 전위는 약 +5㎷ 내지 약 +60㎷, 약 +10㎷ 내지 약 +55㎷, 또는 약 +20㎷ 내지 약 +50㎷의 범위일 수 있다. 또한, 양의 제타 전위는 약 +5㎷ 내지 약 +60㎷, 약 +10㎷ 내지 약 +50㎷, 또는 약 +20㎷ 내지 약 +40㎷의 범위일 수 있다. 또한, 몇 가지의 실시 형태에 있어서, 양의 제타 전위는 약 +5㎷, 약 +10㎷, 약 +15㎷, 약 +20㎷, 약 +25㎷, 약 +30㎷, 약 +35㎷, 약 +40㎷, 약 +45㎷, 약 +50㎷, 약 +55㎷, 또는 약 +60㎷이다.In one embodiment, the abrasive is colloidal silica. In a further embodiment, the abrasive has a positive zeta potential. In yet another embodiment, the abrasive is silica which has a positive zeta potential when in the polishing composition. A positive zeta potential may range from about +5 mV to about +60 mV, from about +10 mV to about +55 mV, or from about +20 mV to about +50 mV. Additionally, the positive zeta potential may range from about +5 mV to about +60 mV, from about +10 mV to about +50 mV, or from about +20 mV to about +40 mV. Also, in some embodiments, the positive zeta potential is about +5 mV, about +10 mV, about +15 mV, about +20 mV, about +25 mV, about +30 mV, about +35 mV, about +40 mV, about +45 mV, about +50 mV, about +55 mV, or about +60 mV.

연마제는 임의의 적절한 입자경을 가질 수 있다. 예를 들어, 연마제는 약 10㎚ 이상, 약 25㎚ 이상, 약 40㎚ 이상, 약 50㎚ 이상, 또는 약 60㎚ 이상의 평균 2차 입자경을 가질 수 있다. 혹은 또는 추가로, 연마제는 약 1,000㎚ 이하, 약 500㎚ 이하, 약 200㎚ 이하, 약 120㎚ 이하, 약 100㎚ 이하, 약 90㎚ 이하, 또는 약 80㎚ 이하의 평균 2차 입자경을 가질 수 있다. 예를 들어, 몇 가지의 실시 형태에 있어서, 연마제는 약 40㎚ 내지 약 120㎚, 약 50㎚ 내지 약 100㎚, 또는 약 60㎚ 내지 약 80㎚의 범위의 평균 2차 입자경을 가질 수 있다. 몇 가지의 실시 형태에 있어서, 평균 2차 입자경은 약 10㎚, 약 15㎚, 약 20㎚, 약 25㎚, 약 30㎚, 약 35㎚, 약 40㎚, 약 45㎚, 약 50㎚, 약 65㎚, 약 70㎚, 약 75㎚, 또는 약 100㎚이다. 입자경 분포 측정 장치(Horiba 입도 분포 장치)는 연마제의 평균 입자경을 측정할 수 있다.The abrasive can have any suitable particle size. For example, the abrasive can have an average secondary particle diameter of about 10 nm or greater, about 25 nm or greater, about 40 nm or greater, about 50 nm or greater, or about 60 nm or greater. Alternatively or additionally, the abrasive may have an average secondary particle size of less than about 1,000 nm, less than about 500 nm, less than about 200 nm, less than about 120 nm, less than about 100 nm, less than about 90 nm, or less than about 80 nm. there is. For example, in some embodiments, the abrasive can have an average secondary particle size ranging from about 40 nm to about 120 nm, from about 50 nm to about 100 nm, or from about 60 nm to about 80 nm. In some embodiments, the average secondary particle size is about 10 nm, about 15 nm, about 20 nm, about 25 nm, about 30 nm, about 35 nm, about 40 nm, about 45 nm, about 50 nm, about 65 nm, about 70 nm, about 75 nm, or about 100 nm. A particle size distribution measuring device (Horiba particle size distribution device) can measure the average particle size of an abrasive.

연마제는 임의의 적절한 표면적을 가질 수 있다. 예를 들어, 연마제는 약 50㎡/g 이상, 약 60㎡/g 이상, 또는 약 70㎡/g 이상의 평균 표면적을 가질 수 있다. 혹은 또는 추가로, 연마제는 약 120㎡/g 이하, 약 110㎡/g 이하, 약 100㎡/g 이하, 또는 약 90㎡/g 이하의 평균 표면적을 가질 수 있다. 몇 가지의 실시 형태에 있어서, 연마제는 약 55㎡/g, 약 65㎡/g, 약 75㎡/g, 약 80㎡/g, 약 85㎡/g, 또는 약 90㎡/g의 평균 표면적을 가질 수 있다.The abrasive can have any suitable surface area. For example, the abrasive can have an average surface area of about 50 m 2 /g or greater, about 60 m 2 /g or greater, or about 70 m 2 /g or greater. Alternatively or additionally, the abrasive may have an average surface area of about 120 m 2 /g or less, about 110 m 2 /g or less, about 100 m 2 /g or less, or about 90 m 2 /g or less. In some embodiments, the abrasive has an average surface area of about 55 m/g, about 65 m/g, about 75 m/g, about 80 m/g, about 85 m/g, or about 90 m/g. can have

몇 가지의 실시 형태에 있어서, 연마제의 양은 Mo RR, Mo SER 및 TEOS RR 등의 연마용 조성물의 특성에 영향을 미친다. 연마용 조성물의 농도는 조성물 전체에 대하여 약 0.01중량% 이상, 약 0.05중량% 이상, 약 0.1중량% 이상, 약 0.2중량% 이상, 약 0.25중량% 이상, 약 0.5중량% 이상, 약 0.75중량% 이상, 약 1중량% 이상, 약 3중량% 이상, 또는 약 5중량% 이상이다. 혹은 또는 추가로, 연마용 조성물 중의 연마제의 양은 약 5중량% 이하, 약 3중량% 이하, 약 1중량% 이하, 약 0.75중량% 이하, 약 0.5중량% 이하, 약 0.25중량% 이하, 약 0.1중량% 이하, 약 0.05중량% 이하, 약 0.01중량% 이하, 또는 약 0.05중량% 이하일 수 있다. 몇 가지의 실시 형태에 있어서, 연마용 조성물 중의 연마제의 양은 약 0.01중량% 내지 약 5중량%, 약 0.05중량% 내지 약 2.5중량%, 약 0.1중량% 내지 약 1.5중량%, 또는 약 0.5중량% 내지 약 1중량%의 범위일 수 있다. 몇 가지의 실시 형태에 있어서, 연마제의 양은 약 0.1중량%, 약 0.25중량%, 약 0.5중량%, 약 0.75중량%, 약 1중량%, 약 1.35중량%, 약 1.5중량%, 약 2중량%, 약 3중량%, 약 4중량%, 또는 약 5중량%이다. 일 실시 형태에 있어서, 연마제의 양은 약 1.0중량% 내지 약 1.5중량%이다. 일 실시 형태에 있어서, 연마제의 양은 조성물 전체에 대하여 약 1.35중량%이다. 다른 실시 형태에 있어서, 연마제의 양은 조성물 전체에 대하여 약 0.75중량%이다.In some embodiments, the amount of abrasive affects the properties of polishing compositions such as Mo RR, Mo SER and TEOS RR. The concentration of the polishing composition is about 0.01% by weight or more, about 0.05% by weight or more, about 0.1% by weight or more, about 0.2% by weight or more, about 0.25% by weight or more, about 0.5% by weight or more, about 0.75% by weight or more with respect to the total composition. or more, about 1% by weight or more, about 3% by weight or more, or about 5% by weight or more. Alternatively or additionally, the amount of abrasive in the polishing composition is about 5% or less, about 3% or less, about 1% or less, about 0.75% or less, about 0.5% or less, about 0.25% or less, about 0.1% or less. wt% or less, about 0.05 wt% or less, about 0.01 wt% or less, or about 0.05 wt% or less. In some embodiments, the amount of abrasive in the polishing composition is about 0.01% to about 5%, about 0.05% to about 2.5%, about 0.1% to about 1.5%, or about 0.5%. to about 1% by weight. In some embodiments, the amount of abrasive is about 0.1%, about 0.25%, about 0.5%, about 0.75%, about 1%, about 1.35%, about 1.5%, about 2%. , about 3%, about 4%, or about 5%. In one embodiment, the amount of abrasive is from about 1.0% to about 1.5% by weight. In one embodiment, the amount of abrasive is about 1.35% by weight of the total composition. In another embodiment, the amount of abrasive is about 0.75% by weight of the total composition.

연마제는 임의의 타당한 사이즈여도 되지만, 연마제의 사이즈는 얻어지는 마무리의 평활도에 영향을 미친다. 광학 부품, 플라스틱, 금속, 보석, 반도체 부품 등의 정밀 연마 작업 재료는 통상, 더 작은 사이즈의 연마제의 사용을 필요로 한다. 예를 들어, 정밀 연마에 관련되는 사용을 위한 조성물은 더 작은 평균 입자경을 구비하는 연마제의 현탁액을 필요로 한다.The abrasive may be of any reasonable size, but the size of the abrasive affects the smoothness of the resulting finish. Precision abrasive work materials such as optical components, plastics, metals, jewelry, and semiconductor components usually require the use of smaller abrasives. For example, a composition for use involving precision abrasive requires a suspension of an abrasive having a smaller average particle size.

연마제는 본 명세서에 개시되는 조성물에 현탁되어, 콜로이드적으로 안정된다. 콜로이드라는 용어는 액체 캐리어 중의 연마 입자의 현탁액을 가리킨다. 콜로이드 안정성이란 현탁액의 경시적인 유지를 가리킨다. 몇 가지의 실시 형태에 있어서, 연마용 조성물은 50℃에 있어서, 적어도 1, 2, 3, 4, 5, 6, 또는 7일간 안정된다. 몇 가지의 실시 형태에 있어서, 현탁액은 50℃에 있어서, 적어도 1주일, 적어도 2주일, 적어도 3주일, 또는 적어도 4주일 안정된다.The abrasive is colloidally stable when suspended in the composition disclosed herein. The term colloid refers to a suspension of abrasive particles in a liquid carrier. Colloidal stability refers to the retention of a suspension over time. In some embodiments, the polishing composition is stable at 50°C for at least 1, 2, 3, 4, 5, 6, or 7 days. In some embodiments, the suspension is stable at 50° C. for at least 1 week, at least 2 weeks, at least 3 weeks, or at least 4 weeks.

본 발명의 문맥에 있어서, 연마용 조성물은 실리카를 100mL 메스실린더에 넣고, 2시간 교반하지 않고 방치했을 때, 메스실린더의 하부 50mL에 있어서의 입자 농도([B], g/mL 단위)와, 메스실린더의 상부 50mL에 있어서의 입자 농도([T], g/mL 단위)의 차를, 연마용 조성물에 있어서의 입자의 총 농도([C], g/mL 단위)로 나눈 값이 0.5 이하인(즉, ([B]-[T])/[C]가 0.5 이하인) 경우에, 콜로이드적으로 안정된다고 간주된다. ([B]-[T])/[C]의 값은 바람직하게는 0.3 이하이고, 바람직하게는 0.1 이하이고, 보다 바람직하게는 0.05 이하이고, 더욱 바람직하게는 0.04 이하이고, 가장 바람직하게는 0.03 이하이다.In the context of the present invention, the polishing composition measures the particle concentration ([B], g/mL unit) in the lower 50 mL of the measuring cylinder when silica is placed in a 100 mL measuring cylinder and left without stirring for 2 hours, The value obtained by dividing the difference in particle concentration ([T], in g/mL units) in the upper 50 mL of the measuring cylinder by the total particle concentration ([C], in g/mL units) in the polishing composition is 0.5 or less. (ie, when ([B]-[T])/[C] is 0.5 or less), it is considered colloidally stable. The value of ([B]-[T])/[C] is preferably 0.3 or less, preferably 0.1 or less, more preferably 0.05 or less, still more preferably 0.04 or less, and most preferably It is less than 0.03.

일 실시 형태에 있어서, 연마제는 양이온 변성 실리카이다. 몇 가지의 실시 형태에 있어서, 연마제는 양이온 변성 실리카를 실질적으로 포함한다. 본 명세서에서 사용되는 경우, 「실질적으로」란 연마제를 구성하는 입자의 95중량% 이상, 바람직하게는 98중량% 이상, 보다 바람직하게는 99중량% 이상이 양이온 변성 실리카인 것을 의미하고, 이것은 입자의 100중량%가 양이온 변성 실리카인 것을 포함한다.In one embodiment, the abrasive is cationically modified silica. In some embodiments, the abrasive substantially comprises cationically modified silica. As used herein, “substantially” means that 95% by weight or more, preferably 98% by weight or more, and more preferably 99% by weight or more of the particles constituting the abrasive are cation-modified silica, which is 100% by weight of is cation-modified silica.

2. 몰리브덴 연마율 향상제2. Molybdenum polishing rate improver

일 양태에 있어서, 연마용 조성물은 1종 또는 복수의 몰리브덴(Mo) 연마율 향상제를 포함해도 된다. 연마율 향상제는 철염이고, 과산화수소 등의 산화제와 조합하면, Mo의 제거가 촉진된다. 이론에 구속되는 것은 아니지만, 이 연마율 향상은 펜톤 반응을 통해 진행된다고 생각되고 있다.In one aspect, the polishing composition may contain one or more molybdenum (Mo) removal rate improvers. The removal rate improver is an iron salt, and removal of Mo is promoted when combined with an oxidizing agent such as hydrogen peroxide. Without being bound by theory, it is believed that this removal rate improvement proceeds through the Fenton reaction.

연마용 조성물에 사용되는 철염은 2가 철의 염, 3가 철의 염, 고원자가 철의 염, 제로가 철, Fe4O3, 산화철(III), 수산화철(III), 산화철(II)일 수 있다. 철염의 비한정적인 구체예에는 황산철(II)(FeSO4), 황산철(III)(Fe2(SO4)3) 및 질산철(III)(Fe(NO3)3) 등의 2가 및 3가 철의 염이 포함된다. 일 실시 형태에 있어서, 몰리브덴 연마율 향상제는 질산철(III)(Fe(NO3)3)이다.The iron salt used in the polishing composition is a salt of divalent iron, a salt of trivalent iron, a salt of high valent iron, zero-valent iron, Fe 4 O 3 , iron(III) oxide, iron(III) hydroxide, iron(II) oxide. can Non-limiting examples of ferrous salts include divalent iron (II) sulfate (FeSO 4 ), iron (III) sulfate (Fe 2 (SO 4 ) 3 ) and iron (III) nitrate (Fe(NO 3 ) 3 ). and salts of trivalent iron. In one embodiment, the molybdenum removal rate improver is iron(III) nitrate (Fe(NO 3 ) 3 ).

몇 가지의 실시 형태에 있어서, 철염의 양은 Mo RR, Mo SER 및 TEOS RR 등의 연마용 조성물의 특성에 영향을 미친다. 몇 가지의 실시 형태에 있어서, 연마용 조성물 중에 존재하는 철염의 양은 100중량%인 연마용 조성물의 총량에 기초하여, 약 0.00001중량% 내지 약 0.001중량%, 약 0.00005중량% 내지 약 0.00075중량%, 약 0.0001중량% 내지 약 0.0007중량%, 약 0.0002중량% 내지 0.0006중량%, 또는 약 0.0002중량% 내지 약 0.0004중량%의 범위이다. 몇 가지의 실시 형태에 있어서, 철염은 약 0.0001중량% 이상, 약 0.00005중량% 이상, 약 0.0001중량% 이상, 약 0.0003중량% 이상, 또는 약 0.0005중량% 이상으로 연마용 조성물 중에 존재한다. 혹은 또는 추가로, 연마용 조성물 중의 철염의 양은 약 0.001중량% 이하, 약 0.0007중량% 이하, 약 0.0005중량% 이하, 약 0.0003중량% 이하, 또는 약 0.00001중량% 이하일 수 있다. 몇 가지의 실시 형태에 있어서, 철염의 양은 약 0.00001중량%, 약 0.00005중량%, 약 0.0001중량%, 약 0.0002중량%, 약 0.0003중량%, 약 0.0004중량%, 약 0.0005중량%, 약 0.0006중량%, 약 0.0007중량%, 또는 약 0.001중량%이다.In some embodiments, the amount of iron salt affects the properties of polishing compositions such as Mo RR, Mo SER and TEOS RR. In some embodiments, the amount of iron salt present in the polishing composition is about 0.00001% to about 0.001% by weight, about 0.00005% to about 0.00075% by weight, based on the total amount of the polishing composition being 100% by weight. from about 0.0001% to about 0.0007%, from about 0.0002% to 0.0006%, or from about 0.0002% to about 0.0004%. In some embodiments, the iron salt is present in the polishing composition at greater than about 0.0001%, greater than about 0.00005%, greater than about 0.0001%, greater than about 0.0003%, or greater than about 0.0005% by weight. Alternatively or additionally, the amount of iron salt in the polishing composition may be about 0.001 wt% or less, about 0.0007 wt% or less, about 0.0005 wt% or less, about 0.0003 wt% or less, or about 0.00001 wt% or less. In some embodiments, the amount of iron salt is about 0.00001%, about 0.00005%, about 0.0001%, about 0.0002%, about 0.0003%, about 0.0004%, about 0.0005%, about 0.0006%. , about 0.0007% by weight, or about 0.001% by weight.

3. 산화제3. Oxidizer

몰리브덴 연마율 향상제는 산화제를 수반하기 때문에, 펜톤 반응이 촉진된다. 본 발명의 연마용 조성물로 사용되는 산화제는 과산화물이다. 산화제의 비한정적인 예에는 과요오드산, 과산화수소, 요오드산칼륨, 과망간산칼륨, 과황산염(예를 들어, 과황산암모늄 및 이과황산칼륨(potassium dipersulfate)), 과요오드산염(예를 들어, 과요오드산칼륨), 몰리브덴산암모늄, 질산제2철, 질산, 질산칼륨, 그리고 그것들의 혼합물이 포함된다. 일 실시 형태에 있어서, 산화제는 과산화수소이다.Since the molybdenum removal rate improver is accompanied by an oxidizing agent, the Fenton reaction is promoted. The oxidizing agent used in the polishing composition of the present invention is a peroxide. Non-limiting examples of oxidizing agents include periodic acid, hydrogen peroxide, potassium iodate, potassium permanganate, persulfates (e.g., ammonium persulfate and potassium dipersulfate), periodates (e.g., periodic acid potassium acid), ammonium molybdate, ferric nitrate, nitric acid, potassium nitrate, and mixtures thereof. In one embodiment, the oxidizing agent is hydrogen peroxide.

몇 가지의 실시 형태에 있어서, 산화제의 양은 Mo RR, Mo SER 및 TEOS RR 등의 연마용 조성물의 특성에 영향을 미친다. 본 명세서에서 논의되는 바와 같이, 산화제는 또한, Mo의 부식에 영향을 미친다. 산화제의 양은 약 0.1% 내지 약 10%, 약 0.25% 내지 약 7.5%, 약 0.5% 내지 약 5%, 또는 약 1% 내지 약 2.5%의 범위에서 변동할 수 있다. 일 실시 형태에 있어서, 산화제는 약 0.1중량% 내지 약 2.5중량%이다. 몇 가지의 실시 형태에 있어서, 산화제는 약 0.1% 이상, 약 0.25% 이상, 약 0.5% 이상, 약 1% 이상, 약 1.5% 이상, 또는 약 3% 이상의 양으로 존재한다. 몇 가지의 실시 형태에 있어서, 산화제는 5% 이하, 약 3.5% 이하, 약 2% 이하, 약 1.5% 이하, 또는 약 1.0% 이하의 양으로 존재한다. 몇 가지의 실시 형태에 있어서, 산화제는 약 0.5%, 약 1.0%, 약 1.5%, 약 3%, 또는 약 5%의 양으로 존재한다.In some embodiments, the amount of oxidizing agent affects the properties of polishing compositions such as Mo RR, Mo SER and TEOS RR. As discussed herein, oxidizing agents also affect the corrosion of Mo. The amount of oxidizing agent can range from about 0.1% to about 10%, about 0.25% to about 7.5%, about 0.5% to about 5%, or about 1% to about 2.5%. In one embodiment, the oxidizing agent is from about 0.1% to about 2.5% by weight. In some embodiments, the oxidizer is present in an amount of about 0.1% or greater, about 0.25% or greater, about 0.5% or greater, about 1% or greater, about 1.5% or greater, or about 3% or greater. In some embodiments, the oxidizer is present in an amount of 5% or less, about 3.5% or less, about 2% or less, about 1.5% or less, or about 1.0% or less. In some embodiments, the oxidizing agent is present in an amount of about 0.5%, about 1.0%, about 1.5%, about 3%, or about 5%.

산화제의 백분율은 조성물 전체에 대하여 측정된다. 또한, 산화제의 백분율은 포인트 오브 유즈(POU) 조성물로서 측정된다. 본 명세서에서 사용되는 경우, 「포인트 오브 유즈」라는 용어는 CMP 프로세스에서 사용하기 위해 개개의 평탄화 장치에 평탄화 유체를 공급하는, 평탄화 장치의 근처에서 조제되어 사용되는 조성물을 가리킨다.The percentage of oxidizing agent is determined relative to the composition as a whole. Also, the percentage of oxidizing agent is measured as a point of use (POU) composition. As used herein, the term "point of use" refers to a composition prepared and used in the vicinity of a planarization device that supplies a planarization fluid to an individual planarization device for use in a CMP process.

4. 유기산4. Organic acids

철염 및 산화제를 포함하는 연마용 조성물의 몇 가지의 실시 형태에 있어서, 산화제의 분해가 관찰되었다. 연마용 조성물로 유기산을 첨가함으로써, 산화제가 효과적으로 안정화되어, 산화제의 분해가 저감된다.In some embodiments of polishing compositions comprising an iron salt and an oxidizing agent, decomposition of the oxidizing agent has been observed. By adding an organic acid to the polishing composition, the oxidizing agent is effectively stabilized and decomposition of the oxidizing agent is reduced.

본 명세서에서 사용되는 경우, 「유기산」이라는 용어는 2개 이상의 카르복실산 부분을 가질 수 있는 저분자량의 카르복실산을 가리킨다. 따라서, 「유기산」에는 R-가 유기기인 R-COOH형의 임의의 화합물이 포함되고, 이염기산 및 다염기산도 포함된다. 유기산은 공액 형태로 사용할 수 있고, 예를 들어 카르복실산 대신에 카르복실산염을 사용할 수 있다. 본원의 목적을 위해, 「유기산」이라는 용어는 또한, 유기산의 공액 염기도 의미한다. 예를 들어, 「말론산」이라는 용어는 중성 말론산 및 그 공액 염기를 포함한다. 유기산은 단독으로 사용할 수도 있고, 조합하여 사용할 수도 있다.As used herein, the term "organic acid" refers to low molecular weight carboxylic acids that may have two or more carboxylic acid moieties. Therefore, "organic acid" includes any compound of the R-COOH type in which R- is an organic group, as well as dibasic and polybasic acids. Organic acids can be used in conjugated form, for example, carboxylic acid salts can be used instead of carboxylic acids. For purposes herein, the term "organic acid" also refers to the conjugated base of an organic acid. For example, the term "malonic acid" includes neutral malonic acid and its conjugated bases. Organic acids may be used alone or in combination.

유기산으로 사용되는 경우, 유기기는 알킬, 아릴, 헤테로아릴 등일 수 있다.When used as an organic acid, the organic group may be an alkyl, aryl, heteroaryl, or the like.

본 명세서에서 사용되는 경우, 「알킬」이라는 용어는 1 내지 10개의 탄소 원자를 함유하는 직쇄 또는 분지쇄상 탄화수소를 가리킨다. 알킬의 대표적인 예에는 메틸, 에틸, n-프로필, 이소프로필, n-부틸, sec-부틸, 이소부틸, tert-부틸, n-펜틸, 이소펜틸, 네오펜틸, n-헥실, 3-메틸헥실, 2,2-디메틸펜틸, 2,3-디메틸펜틸, n-헵틸, n-옥틸, n-노닐, n-데실 등이 포함되지만, 이것들에 한정되지 않는다. 이들 알킬기는 다른 기로 치환할 수 있다.As used herein, the term "alkyl" refers to straight or branched chain hydrocarbons containing from 1 to 10 carbon atoms. Representative examples of alkyl include methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, isobutyl, tert-butyl, n-pentyl, isopentyl, neopentyl, n-hexyl, 3-methylhexyl, 2,2-dimethylpentyl, 2,3-dimethylpentyl, n-heptyl, n-octyl, n-nonyl, n-decyl and the like are included, but are not limited thereto. These alkyl groups may be substituted with other groups.

본 명세서에서 사용되는 경우, 「아릴」이라는 용어는 탄화수소의 단환식, 2환식 또는 3환식 방향환계를 가리킨다. 본 명세서에서 사용되는 경우, 2환식 및 3환식 방향환계는 총칭하여 「다환식」이라고 불리는 경우가 있다. 아릴기는 임의로 하나 또는 복수의 치환기로 치환할 수 있다. 아릴기의 예에는 페닐, 나프틸, 안트라세닐, 플루오레닐, 인데닐, 아줄레닐 등이 포함된다.As used herein, the term "aryl" refers to a monocyclic, bicyclic or tricyclic aromatic ring system of hydrocarbons. When used in this specification, bicyclic and tricyclic aromatic ring systems are collectively referred to as "polycyclic" in some cases. An aryl group may be optionally substituted with one or more substituents. Examples of aryl groups include phenyl, naphthyl, anthracenyl, fluorenyl, indenyl, azulenyl, and the like.

본 명세서에서 사용되는 경우, 「헤테로아릴」이라는 용어는 5원 또는 6원환의 1가 방향족 기이며, 질소, 산소, 황으로부터 독립적으로 선택되는 하나 또는 복수의 헤테로 원자를 함유하는 5 내지 20원자의 축합 환계(적어도 하나는 방향족)를 포함하는 기를 가리킨다. 헤테로아릴기의 예는 피리디닐(예를 들어, 2-히드록시피리디닐을 포함함), 이미다졸릴, 이미다조피리디닐, 피리미디닐(예를 들어, 4-히드록시피리미디닐을 포함함), 피라졸릴, 트리아졸릴(예를 들어, 3-아미노-1,2,4-트리아졸 또는 3-머캅토-1,2,4-트리아졸을 포함함), 피라지닐(예를 들어, 아미노피라진을 포함함), 테트라졸릴, 푸릴, 티에닐, 이소옥사졸릴, 티아졸릴, 옥사디아졸릴, 옥사졸릴, 이소티아졸릴, 피롤릴, 퀴놀리닐, 이소퀴놀리닐, 테트라히드로이소퀴놀리닐, 인돌릴, 벤즈이미다졸릴, 벤조푸라닐, 신놀리닐, 인다졸릴, 인돌리지닐, 프탈라지닐, 피리다지닐, 트리아지닐, 이소인돌릴, 프테리디닐, 푸리닐, 티아디아졸릴, 푸라자닐, 벤조프라자닐, 벤조티오페닐, 벤조티아졸릴, 벤조옥사졸릴, 퀴나졸리닐, 퀴녹살리닐, 나프티리디닐, 옥사졸-2(3H)-오닐 및 프로피리디닐이다. 따라서, 헤테로아릴기는 몇 가지의 실시 형태에 있어서, 단환식 또는 2환식이다. 헤테로아릴기는 임의로 치환되어 있다.As used herein, the term "heteroaryl" is a 5- or 6-membered monovalent aromatic group of 5 to 20 atoms containing one or a plurality of heteroatoms independently selected from nitrogen, oxygen, and sulfur. Refers to a group containing a condensed ring system (at least one of which is aromatic). Examples of heteroaryl groups include pyridinyl (including, for example, 2-hydroxypyridinyl), imidazolyl, imidazopyridinyl, pyrimidinyl (including, for example, 4-hydroxypyrimidinyl). ), pyrazolyl, triazolyl (including for example 3-amino-1,2,4-triazole or 3-mercapto-1,2,4-triazole), pyrazinyl (including (including aminopyrazine), tetrazolyl, furyl, thienyl, isoxazolyl, thiazolyl, oxadiazolyl, oxazolyl, isothiazolyl, pyrrolyl, quinolinyl, isoquinolinyl, tetrahydroiso quinolinyl, indolyl, benzimidazolyl, benzofuranil, sinnolinyl, indazolyl, indolizinyl, phthalazinyl, pyridazinyl, triazinyl, isoindolyl, pteridinyl, purinyl, thia diazolyl, furazanil, benzoprazanil, benzothiophenyl, benzothiazolyl, benzooxazolyl, quinazolinyl, quinoxalinyl, naphthyridinyl, oxazole-2(3H)-oneyl and propyridinyl. Thus, heteroaryl groups, in some embodiments, are monocyclic or bicyclic. Heteroaryl groups are optionally substituted.

본 명세서에서 사용되는 경우, 「치환되었다」라는 용어는 부분(알킬기 등)이며, 하나 또는 복수의 별도의 유기기에 결합되어 있는 부분을 가리킨다. 몇 가지의 실시 형태에 있어서, 치환된 부분은 1, 2, 3, 4 또는 5개의 별도의 치환기 또는 기를 포함한다. 적절한 유기 치환기에는 히드록실, 아미노, 1치환 아미노, 2치환 아미노, 머캅토, 알킬티올, 알콕시, 치환 알콕시 또는 할로알콕시기가 포함되지만, 이것들에 한정되지 않는다. 치환 부분이 2개 이상의 치환기와 결합되어 있는 경우, 해당 2개 이상의 치환기는 동일해도 되고 달라도 된다.As used herein, the term "substituted" refers to a moiety (such as an alkyl group) bonded to one or more separate organic groups. In some embodiments, the substituted moiety includes 1, 2, 3, 4 or 5 separate substituents or groups. Suitable organic substituents include, but are not limited to, hydroxyl, amino, monosubstituted amino, disubstituted amino, mercapto, alkylthiol, alkoxy, substituted alkoxy or haloalkoxy groups. When the substituted moiety is bonded to two or more substituents, the two or more substituents may be the same or different.

유기산의 비한정적인 예에는 포름산, 아세트산, 클로로아세트산, 프로피온산, 부탄산, 발레르산, 2-메틸부티르산, N-헥산산, 3,3-디메틸부탄산, 2-에틸부탄산, 4-메틸펜탄산, n-헵탄산, 2-메틸헥산산, n-옥탄산, 2-에틸헥산산, 벤조산, 글리콜산, 살리실산, 글리세린산, 옥살산, 말론산, 숙신산, 글루타르산, 아디프산, 피멜산, 말레산, 프탈산, 말산, 타르타르산, 시트르산염, 시트르산, 락트산, 디글리콜산, 2-프란카르복실산, 3-프란카르복실산, 2-테트라히드로프란카르복실산, 메톡시아세트산, 메톡시페닐아세트산 및 페녹시아세트산이 포함된다. 몇 가지의 실시 형태에 있어서, 유기산은 말론산이다.Non-limiting examples of organic acids include formic acid, acetic acid, chloroacetic acid, propionic acid, butanoic acid, valeric acid, 2-methylbutyric acid, N-hexanoic acid, 3,3-dimethylbutanoic acid, 2-ethylbutanoic acid, 4-methylphene Carbonic acid, n-heptanoic acid, 2-methylhexanoic acid, n-octanoic acid, 2-ethylhexanoic acid, benzoic acid, glycolic acid, salicylic acid, glyceric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, blood Melic acid, maleic acid, phthalic acid, malic acid, tartaric acid, citrates, citric acid, lactic acid, diglycolic acid, 2-francarboxylic acid, 3-francarboxylic acid, 2-tetrahydrofrancarboxylic acid, methoxyacetic acid, methyl Toxyphenylacetic acid and phenoxyacetic acid are included. In some embodiments, the organic acid is malonic acid.

유기산은 약 0.001중량% 내지 약 0.1중량%, 약 0.005중량% 내지 약 0.05중량%, 또는 약 0.01중량% 내지 약 0.025중량%의 범위에서 존재할 수 있다. 몇 가지의 실시 형태에 있어서, 유기산은 적어도 약 0.001중량%, 적어도 약 0.005중량%, 적어도 약 0.01중량%, 적어도 약 0.05중량%, 또는 적어도 약 0.01중량%의 양으로 존재한다. 몇 가지의 실시 형태에 있어서, 유기산은 약 0.1중량% 미만, 약 0.05중량% 미만, 약 0.01중량% 미만, 또는 약 0.005중량% 미만의 양으로 존재한다. 몇 가지의 실시 형태에 있어서, 유기산은 약 0.001중량%, 약 0.005중량%, 약 0.01중량%, 약 0.012중량%, 약 0.015중량%, 약 0.02중량%, 약 0.025중량%, 또는 약 0.05중량%의 양으로 존재한다.The organic acid may be present in a range of about 0.001% to about 0.1%, about 0.005% to about 0.05%, or about 0.01% to about 0.025% by weight. In some embodiments, the organic acid is present in an amount of at least about 0.001%, at least about 0.005%, at least about 0.01%, at least about 0.05%, or at least about 0.01%. In some embodiments, the organic acid is present in an amount of less than about 0.1%, less than about 0.05%, less than about 0.01%, or less than about 0.005%. In some embodiments, the organic acid is about 0.001%, about 0.005%, about 0.01%, about 0.012%, about 0.015%, about 0.02%, about 0.025%, or about 0.05%. is present in the amount of

5. 부식 방지제5. Corrosion Inhibitors

본 명세서에 기재되는 연마용 조성물은 부식 방지제를 함유한다. 몇 가지의 실시 형태에 있어서, 부식 방지제는 아미노산이다. 본 명세서에서 사용되는 경우, 아미노산은 각 아미노산에 특이적인 측쇄(R기)와 함께, 아미노(-NH2) 및 카르복실(-COOH) 관능기를 함유하는 유기 화합물이다. 아미노산의 일반적인, 비한정적 구조를 이하에 나타낸다.The polishing composition described herein contains a corrosion inhibitor. In some embodiments, the corrosion inhibitor is an amino acid. As used herein, an amino acid is an organic compound containing amino (-NH 2 ) and carboxyl (-COOH) functional groups, along with side chains (R groups) specific to each amino acid. A general, non-limiting structure of amino acids is shown below.

Figure pat00001
Figure pat00001

아미노산은 상기한 일반적인 구조에 한정되지 않고, 이하에 나타내는 바와 같은 다른 아미노산을 포함하지만 이것들에 한정되지 않는 아미노산을 포함한다.Amino acids are not limited to the general structure described above, but include amino acids including, but not limited to, other amino acids as shown below.

Figure pat00002
Figure pat00002

몇 가지의 실시 형태에 있어서, 부식 방지제는 양하전 아미노산이다. 본 명세서에서 사용되는 「하전 아미노산」이라는 용어는 본 명세서에 개시되는 연마용 조성물에 관련되는 pH에서 하전 측쇄를 구비하는 아미노산 잔기를 가리킨다. 「하전 아미노산」이라는 용어는 아르기닌(Arg, R), 히스티딘(His, I) 및 리신(Lys, K)에 존재하는 양하전 측쇄, 또는 아스파르트산(Asp, D) 및 글루탐산(Glu, E)에 존재하는 음하전 측쇄의 어느 것을 구비하는 아미노산을 가리키는 경우가 있다. 따라서, 「양하전 아미노산」이라는 용어는 아르기닌(Arg, R), 히스티딘(His, I) 및 리신(Lys, K) 등의 아미노산을 가리킨다.In some embodiments, the corrosion inhibitor is a positively charged amino acid. The term "charged amino acid" as used herein refers to an amino acid residue having a charged side chain at a pH relevant to the polishing composition disclosed herein. The term "charged amino acid" refers to the positively charged side chains present in arginine (Arg, R), histidine (His, I) and lysine (Lys, K), or aspartic acid (Asp, D) and glutamic acid (Glu, E). It may refer to an amino acid having any of the negatively charged side chains. Accordingly, the term "positively charged amino acid" refers to amino acids such as arginine (Arg, R), histidine (His, I) and lysine (Lys, K).

본 명세서에서 사용되는 「중성 아미노산 잔기」라는 용어는 관련되는 pH에서 하전 측쇄를 갖지 않는 다른 모든 아미노산을 가리킨다. 비한정적인 중성 아미노산에는, 세린(Ser, S), 트레오닌(Thr, T), 아스파라긴(Asn, N), 글루타민(Glu, Q), 시스테인(Cys, C), 글리신(Gly, G), 프롤린(Pro, P), 알라닌(Ala, A), 발린(Val, V), 이소류신(Ile, I), 류신(Leu, L), 메티오닌(Met, M), 페닐알라닌(Phe, F), 티로신(Tyr, Y) 및 트립토판(Trp, T)이 포함된다.As used herein, the term "neutral amino acid residue" refers to any other amino acid that does not have a charged side chain at the relevant pH. Neutral amino acids include, but are not limited to, serine (Ser, S), threonine (Thr, T), asparagine (Asn, N), glutamine (Glu, Q), cysteine (Cys, C), glycine (Gly, G), proline (Pro, P), alanine (Ala, A), valine (Val, V), isoleucine (Ile, I), leucine (Leu, L), methionine (Met, M), phenylalanine (Phe, F), tyrosine ( Tyr, Y) and tryptophan (Trp, T).

몇 가지의 실시 형태에 있어서, 부식 방지제는 양하전 아미노산이다. 추가적인 실시 형태에 있어서, 이 아미노산은 아르기닌, 히스티딘 및 리신으로 이루어지는 군으로부터 선택된다.In some embodiments, the corrosion inhibitor is a positively charged amino acid. In a further embodiment, the amino acid is selected from the group consisting of arginine, histidine and lysine.

아미노산은 연마용 조성물 중에 존재할 수 있다. 몇 가지의 실시 형태에 있어서, 연마용 조성물 중의 아미노산 양은 약 0.01중량% 내지 약 0.5중량%, 약 0.05중량% 내지 약 0.25중량%, 또는 약 0.075중량% 내지 약 0.15중량%의 범위이다. 일 실시 형태에 있어서, 아미노산의 양은 약 0.01중량% 내지 약 0.15중량%이다. 몇 가지의 실시 형태에 있어서, 아미노산은 약 0.01중량% 이상, 약 0.05중량% 이상, 약 0.1중량% 이상, 또는 약 0.2중량% 이상의 양으로 존재한다. 혹은 또는 추가로, 연마용 조성물 중에 존재하는 아미노산의 양은 약 0.5중량% 이하, 약 0.25중량% 이하, 약 0.1중량% 이하, 또는 약 0.05중량% 이하일 수 있다. 몇 가지의 실시 형태에 있어서, 아미노산의 양은 약 0.01중량%, 약 0.05중량%, 약 0.075중량%, 약 0.1중량%, 또는 약 0.2중량%의 양으로 존재한다.Amino acids may be present in the polishing composition. In some embodiments, the amount of amino acids in the polishing composition ranges from about 0.01% to about 0.5%, about 0.05% to about 0.25%, or about 0.075% to about 0.15% by weight. In one embodiment, the amount of amino acid is from about 0.01% to about 0.15% by weight. In some embodiments, the amino acid is present in an amount of about 0.01% or greater, about 0.05% or greater, about 0.1% or greater, or about 0.2% or greater. Alternatively or additionally, the amount of amino acids present in the polishing composition may be about 0.5% by weight or less, about 0.25% by weight or less, about 0.1% by weight or less, or about 0.05% by weight or less. In some embodiments, the amount of amino acid is present in an amount of about 0.01%, about 0.05%, about 0.075%, about 0.1%, or about 0.2%.

6. pH 조정제6. pH Adjuster

본 명세서에 기재되는 연마용 조성물은 또한, pH 조정제를 함유할 수 있다. pH 조정제는 특별히 한정되지 않는다. 그러나, 연마용 조성물의 pH는 연마용 조성물의 유효성에 직접 영향을 미친다.The polishing composition described herein may also contain a pH adjuster. The pH adjuster is not particularly limited. However, the pH of the polishing composition directly affects the effectiveness of the polishing composition.

몇 가지의 실시 형태에 있어서, pH 조정제는 본질적으로 산성일 수 있다. 따라서, pH 조정제는 바람직하게는 산이다. 산의 강도가 본 발명의 연마용 조성물의 pH를 저하시키는 데 충분하다면, 산의 선택은 특별히 한정되지 않는다. 예를 들어, 이것들에 한정되지 않지만, 그러한 산에는 염산, 황산, 질산, 붕산, 탄산, 차아인산, 아인산 및 인산이 포함된다. 일 실시 형태에 있어서, pH 조정제는 질산이다.In some embodiments, the pH adjusting agent may be acidic in nature. Therefore, the pH adjusting agent is preferably an acid. The selection of the acid is not particularly limited as long as the strength of the acid is sufficient to lower the pH of the polishing composition of the present invention. For example, but not limited to, such acids include hydrochloric acid, sulfuric acid, nitric acid, boric acid, carbonic acid, hypophosphorous acid, phosphorous acid, and phosphoric acid. In one embodiment, the pH adjusting agent is nitric acid.

몇 가지의 실시 형태에 있어서, 연마용 조성물의 pH는 약 2.0 내지 약 5.0, 약 2.25 내지 약 4.0, 또는 약 2.5 내지 약 3.0의 범위로 조정된다. 몇 가지의 실시 형태에 있어서, pH는 약 5.0 미만, 약 4.5 미만, 약 4.0 미만, 약 3.5 미만, 또는 약 3.0 미만이다. 몇 가지의 실시 형태에 있어서, pH는 약 2.0 초과, 약 2.5 초과, 약 3.5 초과, 약 4.0 초과 또는 약 4.5 초과이다. 몇 가지의 실시 형태에 있어서, pH는 약 2.0, 2.25, 2.5, 2.70, 2.75, 3.0, 3.25, 3.5, 3.75, 4.0, 4.25, 4.5, 4.75, 또는 5.0이다. 일 실시 형태에 있어서, pH는 약 2.7이다. 일 실시 형태에 있어서, 연마용 조성물의 pH는 약 2 내지 약 4이다.In some embodiments, the pH of the polishing composition is adjusted to a range of about 2.0 to about 5.0, about 2.25 to about 4.0, or about 2.5 to about 3.0. In some embodiments, the pH is less than about 5.0, less than about 4.5, less than about 4.0, less than about 3.5, or less than about 3.0. In some embodiments, the pH is greater than about 2.0, greater than about 2.5, greater than about 3.5, greater than about 4.0 or greater than about 4.5. In some embodiments, the pH is about 2.0, 2.25, 2.5, 2.70, 2.75, 3.0, 3.25, 3.5, 3.75, 4.0, 4.25, 4.5, 4.75, or 5.0. In one embodiment, the pH is about 2.7. In one embodiment, the polishing composition has a pH of about 2 to about 4.

pH 조정제는, pH에 관계없이, 특정한 농도 범위에서 존재할 수 있다. 예를 들어, 몇 가지의 실시 형태에 있어서, pH 조정제의 양은 약 0.01중량% 내지 약 1중량%, 약 0.02중량% 내지 약 0.5%, 또는 약 0.03중량% 내지 약 0.1중량%의 범위이다. 일 실시 형태에 있어서, pH 조정제의 양은 약 0.01중량% 내지 약 0.1중량%이다. 몇 가지의 실시 형태에 있어서, pH 조정제의 양은 적어도 약 0.01중량%, 적어도 약 0.02중량%, 적어도 약 0.03중량%, 적어도 약 0.04중량%, 적어도 약 0.05중량%, 적어도 약 0.06중량%, 또는 적어도 약 0.07중량%의 양으로 존재한다. 몇 가지의 실시 형태에 있어서, pH 조정제는 약 1중량% 미만, 약 0.5중량% 미만, 약 0.1중량% 미만, 또는 약 0.05중량% 미만의 양으로 존재한다. 몇 가지의 실시 형태에 있어서, pH 조정제는 약 0.01중량%, 약 0.02중량%, 약 0.03중량%, 약 0.04중량%, 약 0.05중량%, 약 0.06중량%, 약 0.07중량%, 약 0.08중량%, 약 0.09중량%, 약 0.1중량%, 약 0.2중량%, 약 0.3중량%, 약 0.4중량%, 또는 약 0.44중량%의 양으로 존재한다.A pH adjusting agent, regardless of pH, can be present in a particular concentration range. For example, in some embodiments, the amount of pH adjusting agent ranges from about 0.01% to about 1%, about 0.02% to about 0.5%, or about 0.03% to about 0.1% by weight. In one embodiment, the amount of pH adjusting agent is from about 0.01% to about 0.1% by weight. In some embodiments, the amount of pH adjusting agent is at least about 0.01%, at least about 0.02%, at least about 0.03%, at least about 0.04%, at least about 0.05%, at least about 0.06%, or at least It is present in an amount of about 0.07% by weight. In some embodiments, the pH adjusting agent is present in an amount of less than about 1%, less than about 0.5%, less than about 0.1%, or less than about 0.05%. In some embodiments, the pH adjusting agent is about 0.01%, about 0.02%, about 0.03%, about 0.04%, about 0.05%, about 0.06%, about 0.07%, about 0.08%. , about 0.09%, about 0.1%, about 0.2%, about 0.3%, about 0.4%, or about 0.44%.

이론에 구속되는 것은 아니지만, 펜톤 반응에 대한 영향 등의 다양한 다른 이유에 의해, 조성물의 pH가 성능에 영향을 미친다고 생각되고 있다. 예를 들어, 저pH에서는 Fe2+의 착화가 일어나, 반응종의 양이 감소하는 경우가 있다. 저pH는 또한, 과잉 H+에 의한 히드록실 라디칼(즉, OH)의 제거를 초래하고, 그 결과, 반응 속도가 저하되는 경우가 있다. 대조적으로, 고pH에서는 Fe(OH)3 등의 철염의 침전에 의해 용액 중의 Fe3+종의 농도가 저하되어, 반응이 느려지는 경우가 있다. 또한, 철종의 용해도는 용액의 pH에 의해 직접 영향을 받는다. Fe3+의 용해도는 중성에 가까운 pH에서는 Fe2+의 용해도의 약 100분의 1이다. 고pH 조건 하에서는 H2O2의 안정성도 영향을 받고, 그 결과, H2O2가 자기 분해된다. While not wishing to be bound by theory, it is believed that the pH of the composition affects performance for a variety of other reasons, such as effects on the Fenton reaction. For example, at low pH, complexation of Fe 2+ may occur and the amount of reactive species may decrease. A low pH also causes removal of hydroxyl radicals (ie, OH) by excess H + , and as a result, the reaction rate may be lowered. In contrast, at high pH, the concentration of Fe 3+ species in the solution is lowered due to precipitation of iron salts such as Fe(OH) 3 , and the reaction may be slowed down. Also, the solubility of iron species is directly affected by the pH of the solution. The solubility of Fe 3+ is about 1/100 of that of Fe 2+ at a pH close to neutral. Under high pH conditions, the stability of H 2 O 2 is also affected, resulting in self-decomposition of H 2 O 2 .

따라서, 본 명세서에 기재되는 연마용 조성물은 Mo 연마율, Mo 정적 에칭 속도, 또는 TEOS 연마율 성능에 의해 예시되는 특정한 특성을 갖는다.Accordingly, the polishing compositions described herein have specific properties exemplified by Mo removal rate, Mo static etch rate, or TEOS removal rate performance.

예를 들어, 본 명세서에 기재되는 연마용 조성물의 Mo 연마율은 적어도 약 100Å/분, 적어도 약 150Å/분, 적어도 약 180Å/분, 적어도 약 200Å/분, 적어도 약 250Å/분, 적어도 약 300Å/분, 적어도 약 400Å/분, 또는 적어도 약 500Å/분일 수 있다. 몇 가지의 실시 형태에 있어서, 재료 연마율은 약 100Å/분 내지 약 500Å/분, 약 150Å/분 내지 약 300Å/분, 또는 약 180Å/분 내지 약 250Å/분의 범위이다. 몇 가지의 실시 형태에 있어서, 재료 연마율은 약 100Å/분, 약 150Å/분, 약 180Å/분, 약 200Å/분, 약 250Å/분, 또는 약 300Å/분이다.For example, the polishing composition described herein has a Mo removal rate of at least about 100 Å/min, at least about 150 Å/min, at least about 180 Å/min, at least about 200 Å/min, at least about 250 Å/min, or at least about 300 Å/min. /min, at least about 400 Å/min, or at least about 500 Å/min. In some embodiments, the material removal rate ranges from about 100 Å/min to about 500 Å/min, from about 150 Å/min to about 300 Å/min, or from about 180 Å/min to about 250 Å/min. In some embodiments, the material removal rate is about 100 Å/min, about 150 Å/min, about 180 Å/min, about 200 Å/min, about 250 Å/min, or about 300 Å/min.

본 명세서에 기재되는 연마용 조성물의 몰리브덴(Mo) 정적 에칭 속도는 약 100 미만, 약 75 미만, 약 50 미만, 약 25 미만, 약 20 미만, 약 15 미만, 약 10 미만, 약 5 미만, 또는 약 1 미만일 수 있다. 몇 가지의 실시 형태에 있어서, 정적 에칭 속도는 약 5, 약 10, 약 15, 또는 약 20이다.The molybdenum (Mo) static etch rate of the polishing composition described herein is less than about 100, less than about 75, less than about 50, less than about 25, less than about 20, less than about 15, less than about 10, less than about 5, or may be less than about 1. In some embodiments, the static etch rate is about 5, about 10, about 15, or about 20.

본 명세서에 기재되는 연마용 조성물의 TEOS 연마율은 약 100Å/분, 90Å/분, 80Å/분, 70Å/분, 60Å/분, 50Å/분, 40Å/분, 30Å/분, 20Å/분, 10Å/분, 또는 5Å/분 미만일 수 있다. 몇 가지의 실시 형태에 있어서, 본 명세서에 기재되는 연마용 조성물의 TEOS 연마율은 약 5Å/분, 약 10Å/분, 약 20Å/분, 약 30Å/분, 약 40Å/분, 약 50Å/분, 약 60Å/분, 약 70Å/분 또는 약 80Å/분일 수 있다.The TEOS polishing rate of the polishing composition described herein is about 100 Å/min, 90 Å/min, 80 Å/min, 70 Å/min, 60 Å/min, 50 Å/min, 40 Å/min, 30 Å/min, 20 Å/min, 10 Å/min, or less than 5 Å/min. In some embodiments, the polishing composition described herein has a TEOS polishing rate of about 5 Å/min, about 10 Å/min, about 20 Å/min, about 30 Å/min, about 40 Å/min, about 50 Å/min. , about 60 Å/min, about 70 Å/min or about 80 Å/min.

본 명세서에 기재되는 연마용 조성물의, Mo 정적 에칭 속도에 대한 Mo 연마율의 비는 적어도 약 5, 적어도 약 10, 적어도 약 20, 적어도 약 25, 적어도 약 30, 적어도 약 35, 적어도 약 40, 또는 적어도 약 50일 수 있다. 몇 가지의 실시 형태에 있어서, Mo 정적 에칭 속도에 대한 Mo 연마율의 비는 약 5, 약 10, 약 15, 약 20, 약 25, 약 30, 약 35, 또는 약 40이다.The polishing composition described herein has a ratio of Mo removal rate to Mo static etch rate of at least about 5, at least about 10, at least about 20, at least about 25, at least about 30, at least about 35, at least about 40, or at least about 50. In some embodiments, the ratio of Mo removal rate to Mo static etch rate is about 5, about 10, about 15, about 20, about 25, about 30, about 35, or about 40.

본 명세서에 기재되는 연마용 조성물의, TEOS 연마율에 대한 Mo 연마율의 비는 적어도 약 2, 적어도 약 3, 적어도 약 5, 적어도 약 10, 적어도 약 15, 적어도 약 20, 적어도 약 25, 또는 적어도 약 30일 수 있다. 몇 가지의 실시 형태에 있어서, TEOS 연마율에 대한 Mo 연마율의 비는 약 2, 약 3, 약 5, 약 10, 약 15, 약 20, 또는 약 25이다.The polishing composition described herein has a ratio of Mo removal rate to TEOS removal rate of at least about 2, at least about 3, at least about 5, at least about 10, at least about 15, at least about 20, at least about 25, or It may be at least about 30. In some embodiments, the ratio of Mo removal rate to TEOS removal rate is about 2, about 3, about 5, about 10, about 15, about 20, or about 25.

상기 연마용 조성물의 Mo:TEOS 연마율 선택비가 적어도 약 5인, 청구항 1에 기재된 연마용 조성물.The polishing composition according to claim 1, wherein the Mo:TEOS polishing rate selectivity of the polishing composition is at least about 5.

7. 물7. Water

일 실시 형태에 있어서, 본 명세서에 개시되는 연마용 조성물은 캐리어, 매체, 또는 비히클을 함유한다. 일 실시 형태에 있어서, 캐리어, 매체, 또는 비히클은 물이다. 이온 교환수(탈이온수), 순수, 초순수, 증류수 등을 물로서 사용할 수 있다. 물 중에 존재하는 불필요한 성분의 양을 줄이기 위해, 이온 교환 수지에 의한 불순물 이온의 제거, 필터에 의한 오염 물질의 제거, 및/또는 증류 등의 조작에 의해, 물의 순도를 높여도 된다.In one embodiment, the polishing composition disclosed herein contains a carrier, medium, or vehicle. In one embodiment, the carrier, medium, or vehicle is water. Ion-exchanged water (deionized water), pure water, ultrapure water, distilled water and the like can be used as water. In order to reduce the amount of unnecessary components present in the water, the purity of the water may be increased by removal of impurity ions by an ion exchange resin, removal of contaminants by a filter, and/or distillation.

몇 가지의 실시 형태에 있어서, 물은 비교적 불순물을 포함하지 않는다. 몇 가지의 실시 형태에 있어서, 물은, 물의 총 중량에 기초하여 약 10%w/w, 약 9%w/w, 약 8%w/w, 약 7%w/w, 약 6%w/w, 약 5%w/w, 약 4%w/w, 약 3%w/w, 약 2%w/w, 약 1%w/w, 약 0.9%w/w, 약 0.8%w/w, 약 0.7%w/w, 약 0.6%w/w, 약 0.5%w/w, 약 0.4%w/w, 약 0.3%w/w, 미만 또는 약 0.1%w/w 미만의 불순물을 함유한다.In some embodiments, the water is relatively free of impurities. In some embodiments, the water is about 10% w/w, about 9% w/w, about 8% w/w, about 7% w/w, about 6% w/w, based on the total weight of water. w, about 5% w/w, about 4% w/w, about 3% w/w, about 2% w/w, about 1% w/w, about 0.9% w/w, about 0.8% w/w , less than about 0.7% w/w, about 0.6% w/w, about 0.5% w/w, about 0.4% w/w, about 0.3% w/w, or less than about 0.1% w/w. .

8. 추가의 성분8. Additional Ingredients

일 실시 형태에 있어서, 본 명세서에 개시되는 연마용 조성물은 킬레이트제, 살생물제, 계면 활성제, 또는 공용매 등의 추가의 성분을 함유할 수 있다. 또한 또는 혹은, 본 명세서에 개시되는 조성물은 당업자에 의해 이해되도록, 다른 첨가제를 포함해도 된다.In one embodiment, the polishing composition disclosed herein may contain additional components such as chelating agents, biocides, surfactants, or co-solvents. Additionally or alternatively, the compositions disclosed herein may contain other additives, as will be understood by those skilled in the art.

일 실시 형태에 있어서, 추가의 성분은 킬레이트제를 포함할 수 있다. 킬레이트제라는 용어는 수용액의 존재 하에서 구리 등의 금속을 킬레이트화하는 임의의 물질을 의미하는 것을 의도하고 있다. 킬레이트제의 비한정적인 예에는 무기산, 유기산, 아민 및 글리신, 알라닌 등의 아미노산, 시트르산, 말레산, 옥살산, 말론산, 프탈산, 숙신산, 니트릴로삼아세트산, 이미노이아세트산, 에틸렌디아민, 에틸렌디아민사아세트산(EDTA), 디에틸렌트리아민오아세트산(DTPA), 트리에틸렌테트라민육아세트산(TTHA), 히드록시에틸리덴디포스폰산(HEDP), 니트릴로트리스메틸포스폰산(NTMP), 포스포노부탄트리카르복실산(PBTC) 및 에틸렌디아민테트라메틸렌포스폰산(EDTMP)이 포함된다.In one embodiment, the additional component may include a chelating agent. The term chelating agent is intended to mean any substance that chelates a metal, such as copper, in the presence of an aqueous solution. Non-limiting examples of chelating agents include inorganic acids, organic acids, amines, and amino acids such as glycine and alanine, citric acid, maleic acid, oxalic acid, malonic acid, phthalic acid, succinic acid, nitrilotriacetic acid, iminodiacetic acid, ethylenediamine, and ethylenediamine. Acetic Acid (EDTA), Diethylenetriaminepentaacetic acid (DTPA), Triethylenetetraminehexaacetic acid (TTHA), Hydroxyethylidenediphosphonic acid (HEDP), Nitrilotrismethylphosphonic acid (NTMP), Phosphonobutanetricar boxylic acids (PBTC) and ethylenediaminetetramethylenephosphonic acid (EDTMP).

일 실시 형태에 있어서, 추가의 성분은 살생물제일 수 있다. 살생물제의 비한정적인 예에는 과산화수소, 제4급 암모늄 화합물 및 염소 화합물이 포함된다. 제4급 암모늄 화합물의 더 상세한 예에는 메틸이소티아졸리논, 테트라메틸암모늄클로라이드, 테트라에틸암모늄클로라이드, 테트라프로필암모늄클로라이드, 알킬쇄의 탄소 원자가 1 내지 약 20의 범위인 알킬벤질디메틸암모늄클로라이드 및 알킬벤질디메틸암모늄히드록시드가 포함되지만, 이것들에 한정되지 않는다. 염소 화합물의 더 상세한 예에는 아염소산나트륨 및 차아염소산나트륨이 포함되지만, 이것들에 한정되지 않는다. 살생물제의 추가의 예에는 비구아니드, 알데히드, 에틸렌옥시드, 이소티아졸리논, 요오드포아, DuPont의 Kordek(상표) MLX(2-메틸-4-이소티아졸린-3-온의 수성 조성물), Dow chemicals로부터 시판되고 있는 KATHON(상표) 및 NEOLENE(상표) 제품군, 그리고 Lanxess의 Preventol(상표)군이 포함된다. 일 실시 형태에 있어서, 살생물제는 Kordek(상표) MLX이다. 연마용 조성물에 사용되는 살생물제의 양은 약 0.00005중량% 내지 0.001중량% 또는 약 0.0001중량% 내지 0.0005중량%의 범위에서 변동할 수 있다. 몇 가지의 실시 형태에 있어서, 살생물제는 약 0.0001중량%, 약 0.00013중량% 또는 약 0.00015중량%의 양으로 존재한다. 일 실시 형태에 있어서, 연마용 조성물에 있어서, 살생물제는, 약 0.0001중량% 내지 0.001중량%로 존재한다.In one embodiment, the additional ingredient may be a biocide. Non-limiting examples of biocides include hydrogen peroxide, quaternary ammonium compounds and chlorine compounds. More specific examples of the quaternary ammonium compound include methylisothiazolinone, tetramethylammonium chloride, tetraethylammonium chloride, tetrapropylammonium chloride, alkylbenzyldimethylammonium chloride and alkyl having from 1 to about 20 carbon atoms in the alkyl chain. benzyldimethylammonium hydroxide, but is not limited thereto. More specific examples of chlorine compounds include, but are not limited to, sodium chlorite and sodium hypochlorite. Further examples of biocides include biguanides, aldehydes, ethylene oxide, isothiazolinones, iodophores, DuPont's Kordek® MLX (aqueous composition of 2-methyl-4-isothiazolin-3-one ), the commercially available KATHON (trademark) and NEOLENE (trademark) products from Dow Chemicals, and the Preventol (trademark) product range from Lanxess. In one embodiment, the biocide is Kordek™ MLX. The amount of biocide used in the polishing composition can vary from about 0.00005% to 0.001% by weight or from about 0.0001% to 0.0005% by weight. In some embodiments, the biocide is present in an amount of about 0.0001%, about 0.00013% or about 0.00015% by weight. In one embodiment, in the polishing composition, the biocide is present at about 0.0001% to 0.001% by weight.

다른 실시 형태에 있어서, 추가의 성분은 계면 활성제를 포함할 수 있다. 계면 활성제는 음이온성, 양이온성, 비이온성, 또는 양성 이온성일 수 있고, 비히클 또는 조성물의 윤활성을 증가시킬 수 있다. 계면 활성제의 비한정적인 예는 도데실황산염, 나트륨염 또는 칼륨염, 라우릴황산염, 제2급 알칸술폰산염, 알코올에톡실레이트, 아세틸렌디올 계면 활성제, 제4급 암모늄 베이스의 계면 활성제, 베타인 등의 양성 계면 활성제, 아미노산 유도체 베이스의 계면 활성제 및 그것들의 임의의 조합이다. 적절한 시판되고 있는 계면 활성제의 예에는 Dow Chemicals제의 TRITON(상표), TERGITOL(상표) 및 DOWFAX(상표)군의 계면 활성제가 포함된다. 적절한 계면 활성제는 또한, 에틸렌옥시드(EO) 및 프로필렌옥시드(PO)기를 포함하는 고분자를 포함할 수 있다. EO-PO 고분자의 예는 BASF Chemicals의 TETRONIC(상표) 90R4이다. 연마용 조성물에 사용되는 계면 활성제의 양은 약 0.0005중량% 내지 0.15중량%, 바람직하게는 0.001중량% 내지 0.05중량%, 보다 바람직하게는 0.0025중량% 내지 0.025중량%의 범위에서 변동할 수 있다.In other embodiments, additional ingredients may include surfactants. Surfactants can be anionic, cationic, nonionic, or zwitterionic and can increase the lubricity of a vehicle or composition. Non-limiting examples of surfactants include dodecyl sulfate, sodium or potassium salts, lauryl sulfate, secondary alkanesulfonates, alcoholethoxylates, acetylenediol surfactants, quaternary ammonium based surfactants, betaine amphoteric surfactants, amino acid derivative-based surfactants, and any combination thereof. Examples of suitable commercially available surfactants include the TRITON™, TERGITOL™ and DOWFAX™ families of surfactants from Dow Chemicals. Suitable surfactants may also include polymers containing ethylene oxide (EO) and propylene oxide (PO) groups. An example of an EO-PO polymer is TETRONIC (trademark) 90R4 from BASF Chemicals. The amount of surfactant used in the polishing composition can vary from about 0.0005% to 0.15% by weight, preferably from 0.001% to 0.05% by weight, more preferably from 0.0025% to 0.025% by weight.

다른 실시 형태에 있어서, 추가의 성분은 공용매라고 불리는 별도의 용매를 포함할 수 있다. 공용매의 비한정적인 예에는 알코올(메탄올 또는 에탄올 등), 아세트산에틸, 테트라히드로푸란, 알칸, 테트라히드로푸란, 디메틸포름아미드, 톨루엔, 케톤(아세톤 등), 알데히드 및 에스테르가 포함되지만, 이것들에 한정되지 않는다. 공용매의 다른 비한정적인 예에는 디메틸포름아미드, 디메틸술폭시드, 피리딘, 아세토니트릴, 글리콜 및 그것들의 혼합물이 포함된다. 공용매는 다양한 양으로, 바람직하게는 하한 약 0.0001, 0.001, 0.01, 0.1, 0.5, 1, 5, 또는 10%(중량%) 내지 상한 약 0.001, 0.01, 0.1, 1, 5, 10, 15, 20, 25, 또는 35%(중량%)까지 사용할 수 있다.In other embodiments, the additional component may include a separate solvent called a co-solvent. Non-limiting examples of co-solvents include, but are not limited to, alcohols (such as methanol or ethanol), ethyl acetate, tetrahydrofuran, alkanes, tetrahydrofuran, dimethylformamide, toluene, ketones (such as acetone), aldehydes, and esters. Not limited. Other non-limiting examples of cosolvents include dimethylformamide, dimethylsulfoxide, pyridine, acetonitrile, glycols and mixtures thereof. The cosolvent is in various amounts, preferably from a lower limit of about 0.0001, 0.001, 0.01, 0.1, 0.5, 1, 5, or 10% (wt %) to an upper limit of about 0.001, 0.01, 0.1, 1, 5, 10, 15, 20 , 25, or 35% (% by weight) can be used.

본 명세서에 기재된 바와 같이, 연마용 조성물은 조성물 중의 성분의 종류 및 양의 양쪽에 의해 크게 영향을 받는 특정한 특성을 갖는다. 따라서, 원하는 특성을 유지하기 위해, 특정한 재료를 조성물로부터 제외할 필요가 있는 경우가 있다.As described herein, polishing compositions have specific properties that are greatly influenced by both the type and amount of components in the composition. Therefore, in order to maintain desired properties, there are cases where it is necessary to exclude certain materials from the composition.

본 발명의 연마용 슬러리는 임의의 적절한 기술에 의해 조제할 수 있고, 그 대부분은 당업자에게 알려져 있다. 연마용 조성물은 뱃치 또는 연속 프로세스로 조제할 수 있다. 일반적으로, 연마용 조성물은 본 명세서에 개시되는 성분을 임의의 순서로 조합함으로써 조제할 수 있다. 본 명세서에서 사용되는 「성분」이라는 용어는 개개의 성분(예를 들어, 연마제, 몰리브덴 연마율 향상제, 산화제, 유기산, 부식 방지제 등) 및 성분의 임의의 조합을 포함한다. 예를 들어, 연마제를 물에 분산시킬 수 있고, 몰리브덴 연마율 향상제 및 임의의 다른 첨가제를 첨가하여, 성분을 연마용 조성물에 내장할 수 있는 임의의 방법에 의해 혼합할 수 있다. 원하는 경우, 필요에 따라 산, 염기, 또는 완충액을 첨가함으로써, 임의의 적절한 시간에 pH를 더 조정할 수 있다.The polishing slurry of the present invention can be prepared by any suitable technique, many of which are known to those skilled in the art. The polishing composition may be prepared in a batch or continuous process. In general, the polishing composition can be prepared by combining the components disclosed herein in any order. The term "component" used herein includes individual components (eg, abrasives, molybdenum removal rate improvers, oxidizing agents, organic acids, corrosion inhibitors, etc.) and any combination of components. For example, the abrasive can be dispersed in water, the molybdenum removal rate improver and any other additives can be added, and the components can be mixed by any method that can be incorporated into the polishing composition. If desired, the pH can be further adjusted at any appropriate time by adding an acid, base, or buffer as needed.

몇 가지의 실시 형태에 있어서, 연마용 조성물은 50℃에 있어서, 적어도 1, 2, 3, 4, 5, 6, 또는 7일간 안정된다. 몇 가지의 실시 형태에 있어서, 연마용 조성물은 50℃에 있어서, 적어도 1주일, 적어도 2주일, 적어도 3주일, 또는 적어도 4주일 안정된다. 여기서, 연마용 조성물의 안정성은 상술한 ([B]-[T])/[C]를 산출함으로써 평가할 수 있다. 예를 들어, 연마용 조성물은 ([B]-[T])/[C]가 0.5 이하인 것이 바람직하고, 보다 바람직하게는 0.3 이하이고, 더욱 바람직하게는 0.1 이하이고, 보다 바람직하게는 0.05 이하이고, 더욱 바람직하게는 0.04이고, 가장 바람직하게는 0.03 이하이다.In some embodiments, the polishing composition is stable at 50°C for at least 1, 2, 3, 4, 5, 6, or 7 days. In some embodiments, the polishing composition is stable at 50°C for at least 1 week, at least 2 weeks, at least 3 weeks, or at least 4 weeks. Here, the stability of the polishing composition can be evaluated by calculating the above-mentioned ([B]-[T])/[C]. For example, in the polishing composition, ([B]-[T])/[C] is preferably 0.5 or less, more preferably 0.3 or less, even more preferably 0.1 or less, still more preferably 0.05 or less. , more preferably 0.04, and most preferably 0.03 or less.

따라서, 본 명세서에 기재된 바와 같이, 몇 가지의 실시 형태에서는 연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물이며, 연마제는 양이온 변성 실리카이고; 몰리브덴 연마율 향상제는 철염이고; 산화제는 과산화물이고; 부식 방지제는 양하전 아미노산이고; 연마용 조성물의 pH는 약 2 내지 약 5인 연마용 조성물이다.Accordingly, as described herein, in some embodiments is a polishing composition comprising an abrasive, a molybdenum removal rate improver, an organic acid, an oxidizing agent, a corrosion inhibitor and water, wherein the abrasive is cationically modified silica; The molybdenum removal rate improver is an iron salt; The oxidizing agent is a peroxide; corrosion inhibitors are positively charged amino acids; The polishing composition has a pH of about 2 to about 5.

상기한 임의의 실시 형태와 같이, 양이온 변성 실리카가 약 0.1중량% 내지 약 1.5중량%의 농도로 존재하는 연마용 조성물.As in any embodiment described above, a polishing composition wherein the cationically modified silica is present in a concentration of about 0.1% to about 1.5% by weight.

상기한 임의의 실시 형태와 같이, 철염이 약 0.0001중량% 내지 약 0.0007중량%의 농도로 존재하는 연마용 조성물.As in any of the embodiments described above, the polishing composition wherein the iron salt is present in a concentration of about 0.0001% to about 0.0007% by weight.

상기한 임의의 실시 형태와 같이, 과산화물이 약 0.1중량% 내지 약 2.5중량%의 농도로 존재하는 연마용 조성물.As in any of the embodiments described above, the polishing composition wherein the peroxide is present in a concentration of about 0.1% to about 2.5% by weight.

상기한 임의의 실시 형태와 같이, 양하전 아미노산이 약 0.01중량% 내지 약 0.15중량%의 농도로 존재하는 연마용 조성물.As in any of the embodiments described above, the polishing composition wherein the positively charged amino acid is present at a concentration of about 0.01% to about 0.15% by weight.

상기한 임의의 실시 형태와 같이, 양이온 변성 실리카의 표면에 아미노기가 공유 결합되어 있는 연마용 조성물.As in any one of the above embodiments, a polishing composition in which an amino group is covalently bonded to the surface of the cation-modified silica.

상기한 임의의 실시 형태와 같이, 철염이, 질산철(III), 황산철(II) 및 황산철(III)로 이루어지는 군으로부터 선택되는 연마용 조성물.As in any one of the embodiments described above, the polishing composition wherein the iron salt is selected from the group consisting of iron (III) nitrate, iron (II) sulfate and iron (III) sulfate.

상기한 임의의 실시 형태와 같이, 유기산이 말론산인 연마용 조성물.As in any embodiment described above, the polishing composition wherein the organic acid is malonic acid.

상기한 임의의 실시 형태와 같이, 과산화물이 과산화수소인 연마용 조성물.As in any embodiment described above, the polishing composition wherein the peroxide is hydrogen peroxide.

상기한 임의의 실시 형태와 같이, 양하전 아미노산이, 리신, 히스티딘 및 아르기닌으로 이루어지는 군으로부터 선택되는 연마용 조성물.As in any one of the above embodiments, the polishing composition wherein the positively charged amino acid is selected from the group consisting of lysine, histidine and arginine.

상기한 임의의 실시 형태와 같이, pH 조정제를 더 포함하는 연마용 조성물. 추가적인 실시 형태에 있어서, pH 조정제가, 약 0.01중량% 내지 약 0.10중량%의 농도로 존재하는 산인 연마용 조성물. 추가적인 실시 형태에 있어서, 산은 질산이다.As in any embodiment described above, the polishing composition further comprises a pH adjuster. In a further embodiment, the polishing composition wherein the pH adjuster is an acid present at a concentration of about 0.01% to about 0.10% by weight. In a further embodiment, the acid is nitric acid.

상기한 임의의 실시 형태와 같이, pH가 약 2 내지 약 4인 연마용 조성물.As in any of the embodiments described above, the polishing composition has a pH of about 2 to about 4.

상기한 임의의 실시 형태와 같이, 약 0.0001중량% 내지 약 0.001중량%의 농도로 존재하는 살생물제를 더 포함하는 연마용 조성물.As in any of the embodiments described above, the polishing composition further comprises a biocide present at a concentration of about 0.0001% to about 0.001% by weight.

상기한 임의의 실시 형태와 같이, 연마제가 약 +10㎷ 내지 약 +50㎷의 범위인 양의 제타 전위를 갖는 연마용 조성물.As in any of the embodiments described above, the polishing composition wherein the abrasive has a positive zeta potential ranging from about +10 mV to about +50 mV.

상기한 임의의 실시 형태와 같이, 연마용 조성물의 몰리브덴 정적 에칭 속도가 약 20 미만인 연마용 조성물.As in any of the embodiments described above, the polishing composition has a molybdenum static etch rate of less than about 20.

상기한 임의의 실시 형태와 같이, 연마용 조성물의 몰리브덴 연마율이 적어도 약 200Å/분인 연마용 조성물.As in any of the embodiments described above, the polishing composition has a molybdenum removal rate of at least about 200 A/min.

상기한 임의의 실시 형태와 같이, 연마용 조성물의 TEOS 연마율이 약 50Å/분 미만인 연마용 조성물.As in any of the embodiments described above, the polishing composition has a TEOS polishing rate of less than about 50 A/min.

상기한 임의의 실시 형태와 같이, 연마용 조성물의, 몰리브덴 정적 에칭 속도에 대한 몰리브덴 연마율의 비가, 적어도 약 20인 연마용 조성물.As in any embodiment described above, the polishing composition wherein the ratio of the molybdenum removal rate to the molybdenum static etch rate of the polishing composition is at least about 20.

상기한 임의의 실시 형태와 같이, 연마용 조성물의 Mo:TEOS 연마율 선택비가, 적어도 약 4.5인 연마용 조성물.As in any embodiment described above, the polishing composition has a Mo:TEOS polishing rate selectivity ratio of at least about 4.5.

상기한 임의의 실시 형태와 같이, 연마용 조성물이 적어도 1주일 안정되는 연마용 조성물. 일 실시 형태에 있어서, 조성물의 pH는 적어도 1주일 후에 있어서 변화되지 않은 상태이다. 다른 실시 형태에 있어서, 조성물의 전기 전도도(EC)는 적어도 1주일 후에 있어서 변화되지 않은 상태이다. 몇 가지의 실시 형태에 있어서, 전기 전도도는 0 초과 내지 약 1.0mS/㎝, 0 초과 내지 약 0.50mS/㎝, 0 초과 내지 약 0.25mS/㎝, 0 초과 내지 약 0.15mS/㎝, 0 초과 내지 약 0.10mS/㎝, 0 초과 내지 약 0.05mS/㎝, 또는 0 초과 내지 약 0.03mS/㎝이다. 몇 가지의 실시 형태에 있어서, 전기 전도도는 약 0.01mS/㎝ 내지 약 1.0mS/㎝, 약 0.02mS/㎝ 내지 약 0.50mS/㎝, 또는 약 0.03mS/㎝ 내지 약 0.1mS/㎝이다. 몇 가지의 실시 형태에 있어서, 전기 전도도는 약 0.02, 0.03, 0.04, 0.05, 0.06, 0.07, 0.08, 0.09, 0.10, 0.11, 0.12, 0.13, 0.14, 또는 0.15mS/㎝이다. 몇 가지의 실시 형태에 있어서, 전기 전도도의 상한은 약 0.25mS/㎝, 약 0.20mS/㎝, 약 0.18mS/㎝, 약 0.16mS/㎝, 약 0.14mS/㎝, 약 0.12mS/㎝, 약 0.10mS/㎝, 약 0.08mS/㎝, 약 0.07mS/㎝, 약 0.06mS/㎝, 약 0.05mS/㎝, 약 0.04mS/㎝, 또는 약 0.03mS/㎝이다. 추가적인 실시 형태에 있어서, 전기 전도도는 약 0.2mS/㎝ 내지 약 1.0mS/㎝의 범위이다.As in any embodiment described above, the polishing composition is stable for at least one week. In one embodiment, the pH of the composition remains unchanged after at least one week. In another embodiment, the electrical conductivity (EC) of the composition remains unchanged after at least one week. In some embodiments, the electrical conductivity is greater than zero to about 1.0 mS/cm, greater than zero to about 0.50 mS/cm, greater than zero to about 0.25 mS/cm, greater than zero to about 0.15 mS/cm, greater than zero to about 0.10 mS/cm, greater than zero to about 0.05 mS/cm, or greater than zero to about 0.03 mS/cm. In some embodiments, the electrical conductivity is between about 0.01 mS/cm and about 1.0 mS/cm, between about 0.02 mS/cm and about 0.50 mS/cm, or between about 0.03 mS/cm and about 0.1 mS/cm. In some embodiments, the electrical conductivity is about 0.02, 0.03, 0.04, 0.05, 0.06, 0.07, 0.08, 0.09, 0.10, 0.11, 0.12, 0.13, 0.14, or 0.15 mS/cm. In some embodiments, the upper limit of electrical conductivity is about 0.25 mS/cm, about 0.20 mS/cm, about 0.18 mS/cm, about 0.16 mS/cm, about 0.14 mS/cm, about 0.12 mS/cm, about 0.10 mS/cm, about 0.08 mS/cm, about 0.07 mS/cm, about 0.06 mS/cm, about 0.05 mS/cm, about 0.04 mS/cm, or about 0.03 mS/cm. In a further embodiment, the electrical conductivity ranges from about 0.2 mS/cm to about 1.0 mS/cm.

상기한 임의의 실시 형태와 같이, 양이온 변성 실리카의 표면에 아미노기가 공유 결합되어 있고, 몰리브덴 연마율 향상제가 질산철(II)이고, 산화제가 과산화수소이고, 유기산이 말론산이고, 부식 방지제가 아르기닌인, 연마용 조성물.As in any embodiment described above, an amino group is covalently bonded to the surface of the cation-modified silica, the molybdenum removal rate improver is iron (II) nitrate, the oxidizing agent is hydrogen peroxide, the organic acid is malonic acid, and the corrosion inhibitor is arginine. , a polishing composition.

또한, 몇 가지의 실시 형태에서는, 연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물이며, 연마제는 약 0.1중량% 내지 약 1.5중량%의 농도로 존재하는 양이온 변성 실리카이고; 몰리브덴 연마율 향상제는 약 0.0001중량% 내지 약 0.0007중량%의 농도로 존재하는 철염이고; 산화제는 약 0.1중량% 내지 약 2.5중량%의 농도로 존재하는 과산화물이고; 부식 방지제는 약 0.01중량% 내지 약 0.15중량%의 농도로 존재하는 양하전 아미노산이고; 연마용 조성물의 pH는 약 2 내지 약 4인, 연마용 조성물이다.Further, in some embodiments, a polishing composition comprising an abrasive, a molybdenum removal rate improver, an organic acid, an oxidizing agent, a corrosion inhibitor, and water, wherein the abrasive is cation-modified present at a concentration of about 0.1% by weight to about 1.5% by weight. silica; The molybdenum removal rate improver is an iron salt present in a concentration of about 0.0001% to about 0.0007% by weight; The oxidizing agent is a peroxide present in a concentration of about 0.1% to about 2.5% by weight; The corrosion inhibitor is a positively charged amino acid present in a concentration of about 0.01% to about 0.15% by weight; The polishing composition has a pH of about 2 to about 4.

상기한 임의의 실시 형태와 같이, 연마용 조성물이며, 철염은 질산철(III), 황산철(II) 및 황산철(III)로 이루어지는 군으로부터 선택되고; 유기산은 말론산이고; 과산화물은 과산화수소이고; 양하전 아미노산은 리신, 히스티딘 및 아르기닌으로 이루어지는 군으로부터 선택되는, 연마용 조성물.As in any embodiment described above, the polishing composition wherein the iron salt is selected from the group consisting of iron(III) nitrate, iron(II) sulfate and iron(III) sulfate; organic acid is malonic acid; peroxide is hydrogen peroxide; A polishing composition, wherein the positively charged amino acid is selected from the group consisting of lysine, histidine and arginine.

상기한 임의의 실시 형태와 같이, 약 0.01중량% 내지 약 0.10중량%의 농도로 존재하는 pH 조정제를 더 포함하는 연마용 조성물.As in any of the embodiments described above, the polishing composition further comprises a pH adjusting agent present at a concentration of about 0.01% to about 0.10% by weight.

상기한 임의의 실시 형태와 같이, pH 조정제가 질산인 연마용 조성물.As in any embodiment described above, the polishing composition wherein the pH adjuster is nitric acid.

C. 연마용 조성물을 사용하는 방법C. Methods of Using Polishing Compositions

본 명세서에 기재되는 연마용 조성물은 임의의 적절한 기판을 연마하는 데 유용하다. 일 실시 형태에 있어서, 연마되는 기판은 적어도 하나의 몰리브덴층을 포함하는 임의의 적절한 기판일 수 있다. 적절한 기판에는 플랫 패널 디스플레이, 집적 회로, 메모리 또는 강성 디스크, 금속, 층간 절연막(ILD) 디바이스, 반도체, 미소 전기 기계 시스템, 강유전체 및 자기 헤드가 포함되지만, 이것들에 한정되지 않는다.The polishing compositions described herein are useful for polishing any suitable substrate. In one embodiment, the substrate being polished may be any suitable substrate comprising at least one molybdenum layer. Suitable substrates include, but are not limited to, flat panel displays, integrated circuits, memories or rigid disks, metals, interlayer dielectric (ILD) devices, semiconductors, microelectromechanical systems, ferroelectrics, and magnetic heads.

다른 예로서, 연마용 조성물을 사용하여, 실리콘 산화물 층을 포함하는 기판을 연마할 수 있다. 다른 실시 형태에 있어서, 연마용 조성물을 사용하여, 실리콘층을 포함하는 기판을 연마할 수 있다. 적절한 기판에는, 플랫 패널 디스플레이, 집적 회로, 메모리 또는 강성 디스크, 금속, 반도체, ILD 디바이스, 미소 전기 기계 시스템(MEMS), 강유전체 및 자기 헤드가 포함되지만, 이것들에 한정되지 않는다.As another example, a substrate including a silicon oxide layer may be polished using a polishing composition. In another embodiment, a substrate including a silicon layer may be polished using a polishing composition. Suitable substrates include, but are not limited to, flat panel displays, integrated circuits, memory or rigid disks, metals, semiconductors, ILD devices, microelectromechanical systems (MEMS), ferroelectrics, and magnetic heads.

기판은, 적어도 하나의 다른 층, 예를 들어 절연층을 더 포함할 수 있다. 절연층은, 금속 산화물, 다공질 금속 산화물, 유리, 유기 고분자, 불소화 유기 고분자, 또는 임의의 다른 적절한 high-K 또는 low-K 절연층일 수 있다. 절연층은 실리콘 산화물, SiN, 혹은 그것들의 조합을 포함하거나, 본질적으로 그것들로 이루어지거나, 또는 그것들로 이루어질 수 있다. 실리콘 산화물 층은 임의의 적절한 실리콘 산화물을 포함하거나, 본질적으로 그것으로 이루어지거나, 또는 그것으로 이루어질 수 있고, 임의의 적절한 실리콘 산화물의 대부분은 당기술 분야에 있어서 기지이다. 예를 들어, 실리콘 산화물 층은 테트라에톡시실란(TEOS), 고밀도 플라스마(HDP) 산화물, 붕인규산 유리(BPSG), 고애스펙트비 프로세스(HARP) 산화물, 스핀온 절연막(SOD) 산화물, 화학 증착(CVD) 산화물, 플라스마 여기 오르토규산테트라에틸(PETEOS), 열산화물, 또는 언도프 실리케이트 유리를 포함할 수 있다. 특정한 실시 형태에 있어서, 실리콘 산화물 층은 테트라에톡시실란(TEOS)이다. 기판은, 금속층을 더 포함할 수 있다. 특정한 실시 형태에 있어서, 금속층은 몰리브덴이다.The substrate may further include at least one other layer, for example an insulating layer. The insulating layer can be a metal oxide, porous metal oxide, glass, organic polymer, fluorinated organic polymer, or any other suitable high-K or low-K insulating layer. The insulating layer may include, consist essentially of, or consist of silicon oxide, SiN, or a combination thereof. The silicon oxide layer can include, consist essentially of, or consist of any suitable silicon oxide, many of which are known in the art. For example, the silicon oxide layer may be tetraethoxysilane (TEOS), high density plasma (HDP) oxide, borosilicate glass (BPSG), high aspect ratio process (HARP) oxide, spin-on dielectric (SOD) oxide, chemical vapor deposition ( CVD) oxide, plasma excited tetraethyl orthosilicate (PETEOS), thermal oxide, or undoped silicate glass. In certain embodiments, the silicon oxide layer is tetraethoxysilane (TEOS). The substrate may further include a metal layer. In certain embodiments, the metal layer is molybdenum.

따라서, 본 명세서에 기재된 바와 같이, 몇 가지의 실시 형태에서는 연마용 조성물을 사용하는 방법이며, a) 본 명세서에 기재되는, 청구항 1에 기재된 연마용 조성물을 준비하는 단계; b) 몰리브덴 함유 층을 포함하는 기판을 준비하는 단계; 및 c) 연마용 조성물로 기판을 연마하여 연마된 기판을 제공하는 단계를 포함하는 방법이다.Accordingly, as described herein, in some embodiments there is a method of using the polishing composition comprising the steps of a) preparing the polishing composition according to claim 1 described herein; b) preparing a substrate comprising a molybdenum-containing layer; and c) polishing the substrate with the polishing composition to provide a polished substrate.

상기한 임의의 실시 형태와 같이, 기판이 반도체인 방법.As in any of the embodiments described above, the method wherein the substrate is a semiconductor.

상기한 임의의 실시 형태와 같이, 기판이 실리콘 산화물 층을 더 포함하는 방법.As in any of the embodiments described above, the method further comprises a silicon oxide layer.

상기한 임의의 실시 형태와 같이, 약 5보다 큰 Mo:TEOS 연마율 선택비를 초래하는 방법.As with any of the embodiments described above, a method that results in a Mo:TEOS removal rate selectivity greater than about 5.

상기한 임의의 실시 형태와 같이, 약 20 미만의 Mo 정적 에칭 속도를 초래하는 방법.As with any of the embodiments described above, a method that results in a Mo static etch rate of less than about 20.

D. 실시예D. Examples

이하의 조제 및 실시예는, 당업자가 본 발명을 더 명확하게 이해하여, 실시하는 것을 가능하게 하기 위해 부여되어 있다. 이것들은 본 발명의 범위를 한정하는 것으로서 간주되어서는 안되고, 단순히 예시적이고 또한 대표적인 것으로서 간주되어야 한다.The following preparations and examples are provided to enable those skilled in the art to more clearly understand and practice the present invention. They should not be regarded as limiting the scope of the present invention, but merely as illustrative and representative.

일 양태에 있어서, 연마용 조성물을 제작하는 방법이 개시되어 있다. 다른 양태에 있어서, 재료의 연마에 연마용 조성물을 사용하는 방법이 개시되어 있다.In one aspect, a method of making a polishing composition is disclosed. In another aspect, a method of using a polishing composition for polishing a material is disclosed.

[실시예 1: 연마 조건][Example 1: Polishing conditions]

사용한 재료 및 장치:Materials and devices used:

<연마 조건><Polishing conditions>

· 연마 장치: ALLIED 정밀 연마 장치 MultiPrep 시스템(Allied High Tech Products, Inc.)Polishing device: ALLIED precision polishing device MultiPrep system (Allied High Tech Products, Inc.)

· 연마 정반 회전 속도: 200rpmRotational speed of grinding wheel: 200 rpm

· 헤드 회전 속도: 60pm· Head rotation speed: 60pm

· 유량: 15mL/분· Flow rate: 15mL/min

· 다운 포스: 1.5psiDownforce: 1.5psi

· 연마 시간: 60초Grinding time: 60 seconds

· 패드: 듀퐁사제 비전 패드 6000Pad: Dupont vision pad 6000

· 희석 계수: 6배· Dilution factor: 6 times

<정적 에칭 속도의 조건><Conditions of static etching rate>

· 25℃에서 1분간1 minute at 25℃

· Mo 시험편: 2kA Mo 웨이퍼(Advantiv사제)(세로 1.5인치×가로 1.5인치×두께 약 780㎛의 Si 기판 위에, 두께 2000Å로 몰리브덴막이 형성된 것)Mo test piece: 2 kA Mo wafer (manufactured by Advantiv) (1.5 inches long × 1.5 inches wide × a molybdenum film formed on a Si substrate with a thickness of about 780 μm and a thickness of 2000 Å)

[실시예 2: 연마 실험][Example 2: Polishing Experiment]

표 1A 내지 5A에 기재된 슬러리를 사용하여, 상기 연마 조건에 따라, 하기의 TEOS 기판 및 Mo 기판을 연마했다.Using the slurries described in Tables 1A to 5A, the following TEOS substrates and Mo substrates were polished according to the above polishing conditions.

· TEOS 기판: 10kA TEOS 웨이퍼(Advantiv사제)(세로 1.5인치×가로 1.5인치×두께 약 780㎛의 Si 기판 위에, 두께 10000Å로 TEOS막이 형성된 것)TEOS substrate: 10 kA TEOS wafer (manufactured by Advantiv) (1.5 inches long × 1.5 inches wide × a TEOS film formed on a Si substrate with a thickness of about 780 μm to a thickness of 10000 Å)

· Mo 기판: 2kA Mo 웨이퍼(Advantiv사제)(세로 1.5인치×가로 1.5인치×두께 약 780㎛의 Si 기판 위에, 두께 2000Å로 몰리브덴층이 형성된 것)Mo substrate: 2 kA Mo wafer (manufactured by Advantiv) (1.5 inches long × 1.5 inches wide × a molybdenum layer formed on a Si substrate with a thickness of about 780 μm and a thickness of 2000 Å)

[연마 속도][Polishing speed]

연마 속도는 Resmap(Creative Design Engineering, Inc제)을 사용하여, 각 기판의 면 내 17점의 막 두께의 값의 평균을 연마 전후에 측정함으로써 연마된 막 두께(Å)를 산출하고, 연마된 막 두께(Å)를 연마 시간(분)으로 제산함으로써 산출했다.As for the polishing rate, using Resmap (manufactured by Creative Design Engineering, Inc.), the polished film thickness (Å) was calculated by measuring the average of the film thickness values of 17 points in the surface of each substrate before and after polishing, and the polished film It was calculated by dividing the thickness (Å) by the polishing time (minutes).

[정적 에칭 속도][Static Etch Rate]

표 1A 내지 5A에 기재된 슬러리를 사용하여, 상기 정적 에칭 속도의 조건에 따라, Mo 시험편을 사용하여 에칭을 행하였다. 정적 에칭 속도는 Resmap(Creative Design Engineering, Inc제)을 사용하여 에칭 전후의 막 두께를 측정함으로써 에칭된 막 두께(Å)를 산출하고, 에칭된 막 두께(Å)를 에칭 시간(분)으로 제산함으로써 산출되었다.Using the slurry described in Tables 1A to 5A, etching was performed using Mo test pieces according to the above static etching rate conditions. The static etching rate is calculated by measuring the film thickness before and after etching using Resmap (manufactured by Creative Design Engineering, Inc.) to calculate the etched film thickness (Å), and dividing the etched film thickness (Å) by the etching time (minutes). was calculated by doing

[슬러리 A 내지 V의 조제][Preparation of slurries A to V]

표 1A 내지 5A에 기재된 슬러리 A 내지 V를 조제했다. 슬러리 전체를 100중량부로 하여, 연마제로서 표면이 아미노실란으로 수식된 양하전 고순도 콜로이달 실리카(제품명 PL-3C(후소 가가쿠 가부시키가이샤제), 2차 입자경 70㎚, 표면적 80㎡/g)와, 몰리브덴 연마율 향상제로서 질산제2철과, 부식 방지제로서 표 1A 내지 5A에 기재된 화합물과, 유기산으로서 말론산과, 산화제로서 과산화수소와, 살생물제로서 1,2-벤조티아졸린-3-온과, pH 조정제로서 질산과, 분산매로서 물(탈이온수)을 혼합하여, 슬러리 A 내지 V를 조제했다. 또한, 각 성분의 첨가량(함유량)은 표 1A 내지 5A에 기재된 양(슬러리의 전체 중량에 대한 중량%)이고, pH 조정제의 첨가량(함유량)은 슬러리 A 내지 V의 pH가 2.7(액온: 25℃)이 되는 양으로 했다. 슬러리 A 내지 V에 있어서의 연마제의 제타 전위는 +20 내지 +50㎷였다. 또한, 슬러리 A 내지 S는, 표 1A 내지 4A에 기재하지는 않지만, 과산화수소수를 1.53중량%로 함유하고 있다. 또한, 표 1A 내지 5A의 각 성분의 양은 슬러리의 전체 중량(100중량%)에 대한 중량%를 의미하고, 표 중, 단순히 「%」라고 표기한다.Slurries A to V described in Tables 1A to 5A were prepared. 100 parts by weight of the entire slurry, positively charged high-purity colloidal silica (product name PL-3C (manufactured by Fuso Chemical Co., Ltd.), secondary particle diameter 70 nm, surface area 80 m / g) whose surface was modified with aminosilane as an abrasive , ferric nitrate as a molybdenum removal rate improver, the compounds listed in Tables 1A to 5A as a corrosion inhibitor, malonic acid as an organic acid, hydrogen peroxide as an oxidizing agent, and 1,2-benzothiazolin-3-one as a biocide , Slurries A to V were prepared by mixing nitric acid as a pH adjuster and water (deionized water) as a dispersion medium. In addition, the addition amount (content) of each component is the amount (weight% with respect to the total weight of the slurry) shown in Tables 1A to 5A, and the addition amount (content) of the pH adjuster is such that the pH of the slurries A to V is 2.7 (liquid temperature: 25 ° C.) ) was set as the amount of The zeta potentials of the abrasives in the slurries A to V were +20 to +50 mV. In addition, slurries A to S, although not described in Tables 1A to 4A, contain hydrogen peroxide water at 1.53% by weight. In addition, the amount of each component in Tables 1A to 5A means weight% with respect to the total weight (100% by weight) of the slurry, and is simply expressed as "%" in the table.

[평가 결과][Evaluation results]

표 1A, 1B에 나타내는 바와 같이, 아미노산을 Mo의 부식 방지제로서 스크리닝했다. Mo 정적 에칭 속도(SER)에 대한 Mo RR의 비(「Mo SER/Mo RR비」)를 슬러리 배합물의 성능을 판단하기 위한 파라미터로서 사용했다. Mo SER/Mo RR비의 값이 높을수록, SER이 더 낮고, Mo RR이 더 높기 때문에, 배합물은 보다 바람직하다. 아르기닌, 히스티딘 및 리신 등의 양하전 아미노산은 Mo의 우수한 부식 방지제라고 판단되었다. 예를 들어, 슬러리 C, D 및 E에서 관찰된 Mo RR/Mo SER비는 다른 슬러리보다도 높았다. 또한, 슬러리 C 및 D는 표 1B에서 평가된 슬러리 중에서 가장 낮은 Mo SER을 초래했다. 글리신, 시스테인, 세린, 프롤린, 발린, 또는 알라닌 등의 중성 아미노산을 함유하는 슬러리는 모두 높은 Mo SER을 초래했다.As shown in Tables 1A and 1B, amino acids were screened as Mo corrosion inhibitors. The ratio of Mo RR to Mo static etch rate (SER) ("Mo SER/Mo RR ratio") was used as a parameter to judge the performance of the slurry formulation. The higher the value of the Mo SER/Mo RR ratio, the lower the SER and the higher the Mo RR, so the blend is more desirable. Positively charged amino acids such as arginine, histidine and lysine were judged to be excellent corrosion inhibitors of Mo. For example, the Mo RR/Mo SER ratios observed in slurries C, D and E were higher than those of other slurries. In addition, slurries C and D resulted in the lowest Mo SER among the slurries evaluated in Table 1B. Slurries containing neutral amino acids such as glycine, cysteine, serine, proline, valine, or alanine all resulted in high Mo SERs.

더 주목해야 할 것은, 말론산을 첨가함으로써, Mo SER이 94Å/분으로부터 154Å/분(각각 슬러리 A 및 B)으로 증가한 것이다. 표 1A의 슬러리는 모두 연마제로서 양하전 고순도 콜로이달 실리카 PL-3C를 함유하고 있다. 모든 농도는 POU 배합물에 기초하고 있다. 모든 슬러리에는 POU에서 1.53중량%의 농도의 과산화수소가 함유되어 있다.More notably, by adding malonic acid, the Mo SER increased from 94 Å/min to 154 Å/min (slurries A and B, respectively). All of the slurries in Table 1A contain positively charged high purity colloidal silica PL-3C as an abrasive. All concentrations are based on POU formulations. All slurries contained hydrogen peroxide at a concentration of 1.53% by weight in POU.

[표 1A][Table 1A]

Figure pat00003
Figure pat00003

[표 1B][Table 1B]

Figure pat00004
Figure pat00004

표 2A, 2B는 베이스 배합물로서의 슬러리 C에 의한 입자 농도의 영향을 나타낸다. 슬러리에 있어서의 입자 농도는 연마제 농도를 의미한다. 표 2A의 슬러리는 모두 연마제로서 양하전 고순도 콜로이달 실리카 PL-3C(후소 가가쿠(주))를 함유하고 있다. 표 2B에 보여지는 바와 같이, 입자 농도의 증가에 수반하여 TEOS RR 및 Mo SER은 증가한다. 모든 농도는 POU 배합물에 기초하고 있다. 모든 슬러리에는, POU에서 1.53중량%의 농도의 과산화수소가 함유되어 있다.Tables 2A and 2B show the effect of particle concentration by Slurry C as a base formulation. The particle concentration in the slurry refers to the abrasive concentration. All of the slurries in Table 2A contained positively charged high-purity colloidal silica PL-3C (Fuso Chemical Co., Ltd.) as an abrasive. As shown in Table 2B, TEOS RR and Mo SER increase with increasing particle concentration. All concentrations are based on POU formulations. All slurries contained hydrogen peroxide at a concentration of 1.53% by weight in POU.

[표 2A][Table 2A]

Figure pat00005
Figure pat00005

[표 2B][Table 2B]

Figure pat00006
Figure pat00006

표 3A, 3B는 질산제2철 농도의 영향을 나타낸다. 표 3A의 슬러리는 모두 연마제로서 양하전 고순도 콜로이달 실리카 PL-3C(후소 가가쿠(주))를 함유하고 있다. 표 3B에 나타내는 바와 같이, 질산제2철 농도가 증가하면 Mo SER이 저하되었다. 슬러리 C는, 주로 Mo SER이 낮기 때문에, Mo RR/Mo SER비가 가장 높았다. 이 결과는 질산제2철 농도가 증가하면 에칭 성능이 향상되는 것을 나타낸다. 질산제2철 농도를 2배 이상 증가시켜도(슬러리 O), 그 이상 Mo SER이 저하되는 일은 없었다. 모든 농도는 POU 배합물에 기초하고 있다. 모든 슬러리에는 POU에서 1.53중량%의 농도의 과산화수소가 함유되어 있다.Tables 3A, 3B show the effect of ferric nitrate concentration. All of the slurries in Table 3A contained positively charged high-purity colloidal silica PL-3C (Fuso Chemical Co., Ltd.) as an abrasive. As shown in Table 3B, Mo SER decreased as the ferric nitrate concentration increased. Slurry C had the highest Mo RR/Mo SER ratio, mainly because Mo SER was low. This result indicates that the etching performance improves as the ferric nitrate concentration increases. Even when the ferric nitrate concentration was increased by more than two times (slurry O), the Mo SER did not decrease any more. All concentrations are based on POU formulations. All slurries contained hydrogen peroxide at a concentration of 1.53% by weight in POU.

[표 3A][Table 3A]

Figure pat00007
Figure pat00007

[표 3B][Table 3B]

Figure pat00008
Figure pat00008

표 4A, 4B는 아르기닌 농도의 영향을 나타낸다. 표 4A의 슬러리는 모두 연마제로서 양하전 고순도 콜로이달 실리카 PL-3C를 함유하고 있다. 아르기닌 농도가 증가하면, Mo RR, Mo SER 및 TEOS RR은 저하된다. 슬러리 C에 의한 Mo RR/Mo SER비는 표 4A에 나타나는 슬러리 중에서 가장 높다. 슬러리 R에 의한 Mo RR/Mo SER비는 슬러리 C보다도 낮고, 이 효과는(이론에 구속되는 것은 아니지만) 부식 방지제 농도의 증가에 기인하는 Mo RR의 저하에 의한다고 생각된다. 모든 농도는 POU 배합물에 기초하고 있다. 모든 슬러리에는, POU에서 1.53중량%의 농도의 과산화수소가 함유되어 있다.Tables 4A, 4B show the effect of arginine concentration. All of the slurries in Table 4A contain positively charged high purity colloidal silica PL-3C as an abrasive. When arginine concentration increases, Mo RR, Mo SER and TEOS RR decrease. The Mo RR/Mo SER ratio by slurry C is the highest among the slurries shown in Table 4A. The Mo RR/Mo SER ratio by slurry R is lower than that of slurry C, and it is believed (but not bound by theory) that this effect is due to a decrease in Mo RR due to an increase in the corrosion inhibitor concentration. All concentrations are based on POU formulations. All slurries contained hydrogen peroxide at a concentration of 1.53% by weight in POU.

[표 4A][Table 4A]

Figure pat00009
Figure pat00009

[표 4B][Table 4B]

Figure pat00010
Figure pat00010

표 5A, 5B는 앞서 조제한 슬러리 C에 대한 산화제(과산화수소 등)의 농도의 영향을 나타낸다. 표 5A의 슬러리는 모두 연마제로서 양하전 고순도 콜로이달 실리카 PL-3C를 함유하고 있다. 산화제 농도가 증가하면, Mo SER 및 Mo RR의 양쪽이 증가한다. 1.53중량%의 산화제 농도에 의해, 가장 높은 Mo RR/Mo SER비가 초래되었다. 모든 농도는 POU 배합물에 기초하고 있다.Tables 5A and 5B show the effect of the concentration of the oxidizing agent (such as hydrogen peroxide) on the previously prepared slurry C. All of the slurries in Table 5A contain positively charged high purity colloidal silica PL-3C as an abrasive. As the oxidizer concentration increases, both Mo SER and Mo RR increase. An oxidant concentration of 1.53 wt% resulted in the highest Mo RR/Mo SER ratio. All concentrations are based on POU formulations.

[표 5A] 과산화수소의 영향[Table 5A] Effect of hydrogen peroxide

Figure pat00011
Figure pat00011

[표 5B][Table 5B]

Figure pat00012
Figure pat00012

따라서, 본 명세서에 개시된 바와 같이, 양하전 콜로이달 실리카를 사용함으로써, pH2.7에서 부식 방지제로서 양하전 아미노산을 구비하는 배합물의 실시 형태이다. 몇 가지의 실시 형태에 있어서, 히스티딘, 아르기닌 및 리신이 Mo의 부식 방지제로서 특정되었다.Thus, as disclosed herein, the use of positively charged colloidal silica is an embodiment of a formulation with positively charged amino acids as corrosion inhibitors at pH 2.7. In some embodiments, histidine, arginine and lysine have been characterized as corrosion inhibitors for Mo.

[안정성 평가][Stability evaluation]

슬러리 C 내지 E, L, N, O 내지 R, T 내지 V로부터 각각 100mL를 샘플링하고, 100mL 메스실린더에 넣었다. 그 후, 2시간 교반하지 않고 방치했을 때, 메스실린더의 하부 50mL에 있어서의 입자 농도([B], g/mL 단위)와, 메스실린더의 상부 50mL에 있어서의 입자 농도([T], g/mL 단위)의 차를, 연마제 조성물 중에 있어서의 입자의 총 농도([C], g/mL 단위)로 제산함으로써, ([B]-[T])/[C]의 값을 산출했다. 슬러리 C 및 D의 ([B]-[T])/[C]의 값은 (0.00136-0.00134)/0.0135=0.0148이었다. 또한, 슬러리 E, L, N, O 내지 R, T 내지 V의 ([B]-[T])/[C]의 값은 0.03 이하였다.100 mL each of the slurries C to E, L, N, O to R, and T to V was sampled and placed in a 100 mL measuring cylinder. After that, when left without stirring for 2 hours, the particle concentration in the lower 50 mL of the measuring cylinder ([B], in g/mL units) and the particle concentration in the upper 50 mL of the measuring cylinder ([T], g The value of ([B] - [T]) / [C] was calculated by dividing the difference in /mL unit) by the total concentration of particles in the abrasive composition ([C], g/mL unit). The value of ([B]-[T])/[C] for slurries C and D was (0.00136-0.00134)/0.0135=0.0148. In addition, the value of ([B]-[T])/[C] of the slurries E, L, N, O to R, T to V was 0.03 or less.

또한, 슬러리 C 내지 E, L, N, O 내지 R, T 내지 V 약 1㎏을 50℃에서 정치한 후, 1주일 후, 눈으로 보아 슬러리의 상태를 확인했다. 그 결과, 슬러리 A 내지 W에 있어서 연마제는 침강해 있지 않고, 균일한 용액인 것이 확인되었다. 따라서, 슬러리 C 내지 E, L, N, O 내지 R, T 내지 V는 50℃에서 1주일 안정되는 것을 확인했다.In addition, after about 1 kg of slurries C to E, L, N, O to R, and T to V were left still at 50°C, the state of the slurry was visually observed one week later. As a result, it was confirmed that the abrasive did not settle in the slurries A to W and were uniform solutions. Therefore, it was confirmed that the slurries C to E, L, N, O to R, and T to V were stable at 50°C for one week.

본 발명의 범위 또는 정신으로부터 일탈하지 않고, 본 발명에 있어서 여러가지 수정 및 변형을 행할 수 있는 것은, 당업자에게는 명확할 것이다. 본 발명의 다른 양태는 여기에 개시되는 본 발명의 명세서 및 실시를 고려하는 점에서 당업자에게는 명확할 것이다. 본 명세서 및 실시예는 예시로서만 고려되고, 본 발명의 실제의 범위 및 정신은 이하의 특허 청구의 범위에 의해 나타나는 것이 의도되어 있다.It will be clear to those skilled in the art that various modifications and variations can be made in the present invention without departing from the scope or spirit of the present invention. Other aspects of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that this specification and examples be considered as examples only, and that the actual scope and spirit of the present invention be indicated by the scope of the following claims.

본 출원은 2021년 9월 30일에 출원된 미국 가출원 번호 제63/250,837호에 기초하고 있고, 이것들의 개시 내용은, 그 전체가 참조에 의해 본 명세서에 포함된다.This application is based on US Provisional Application No. 63/250,837 filed on September 30, 2021, the disclosures of which are incorporated herein by reference in their entirety.

Claims (17)

연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물이며,
상기 연마제가 양이온 변성 실리카이고;
상기 몰리브덴 연마율 향상제가 철염이고;
상기 산화제가 과산화물이고;
상기 부식 방지제가 양하전 아미노산이고;
상기 연마용 조성물의 pH가 약 2 내지 약 5인, 연마용 조성물.
A polishing composition containing an abrasive, a molybdenum polishing rate improver, an organic acid, an oxidizing agent, a corrosion inhibitor and water,
the abrasive is cationically modified silica;
the molybdenum removal rate improver is an iron salt;
the oxidizing agent is a peroxide;
the corrosion inhibitor is a positively charged amino acid;
The polishing composition, wherein the polishing composition has a pH of about 2 to about 5.
제1항에 있어서, 상기 양이온 변성 실리카의 표면에는 아미노기가 공유 결합되어 있는, 연마용 조성물.The polishing composition according to claim 1, wherein an amino group is covalently bonded to the surface of the cation-modified silica. 제1항에 있어서, 상기 철염이 질산철(III), 황산철(II) 및 황산철(III)로 이루어지는 군으로부터 선택되는, 연마용 조성물.The polishing composition according to claim 1, wherein the iron salt is selected from the group consisting of iron(III) nitrate, iron(II) sulfate and iron(III) sulfate. 제1항에 있어서, 상기 과산화물이 과산화수소인, 연마용 조성물.The polishing composition according to claim 1, wherein the peroxide is hydrogen peroxide. 제1항에 있어서, 상기 양하전 아미노산이 리신, 히스티딘 및 아르기닌으로 이루어지는 군으로부터 선택되는, 연마용 조성물.The polishing composition according to claim 1, wherein the positively charged amino acid is selected from the group consisting of lysine, histidine and arginine. 제1항에 있어서, pH 조정제를 더 포함하는, 연마용 조성물.The polishing composition according to claim 1, further comprising a pH adjuster. 제1항에 있어서, 질산을 더 포함하는, 연마용 조성물.The polishing composition according to claim 1, further comprising nitric acid. 제1항에 있어서, 상기 pH가 약 2 내지 약 4인, 연마용 조성물.The polishing composition according to claim 1, wherein the pH is from about 2 to about 4. 제1항에 있어서, 상기 연마제가 약 +10㎷ 내지 약 +50㎷의 범위인 양의 제타 전위를 갖는, 연마용 조성물.The polishing composition of claim 1 , wherein the abrasive has a positive zeta potential ranging from about +10 mV to about +50 mV. 제1항에 있어서, 상기 양이온 변성 실리카의 표면에는 아미노기가 공유 결합되어 있고,
상기 몰리브덴 연마율 향상제가 질산철(II)이고,
상기 산화제가 과산화수소이고,
상기 유기산이 말론산이고,
상기 부식 방지제가 아르기닌인, 연마용 조성물.
The method of claim 1, wherein an amino group is covalently bonded to the surface of the cation-modified silica,
The molybdenum removal rate improver is iron (II) nitrate,
The oxidizing agent is hydrogen peroxide,
The organic acid is malonic acid,
The polishing composition, wherein the corrosion inhibitor is arginine.
연마제, 몰리브덴 연마율 향상제, 유기산, 산화제, 부식 방지제 및 물을 포함하는 연마용 조성물이며,
상기 연마제가, 약 0.1중량% 내지 약 1.5중량%의 농도로 존재하는 양이온 변성 실리카이고;
상기 몰리브덴 연마율 향상제가, 약 0.0001중량% 내지 약 0.0007중량%의 농도로 존재하는 철염이고;
상기 산화제가, 약 0.1중량% 내지 약 2.5중량%의 농도로 존재하는 과산화물이고;
상기 부식 방지제가, 약 0.01중량% 내지 약 0.15중량%의 농도로 존재하는 양하전 아미노산이고;
상기 연마용 조성물의 pH가 약 2 내지 약 4인, 연마용 조성물.
A polishing composition containing an abrasive, a molybdenum polishing rate improver, an organic acid, an oxidizing agent, a corrosion inhibitor and water,
the abrasive is cationically modified silica present in a concentration of about 0.1% to about 1.5% by weight;
the molybdenum removal rate improver is an iron salt present in a concentration of about 0.0001% to about 0.0007% by weight;
the oxidizing agent is a peroxide present in a concentration of about 0.1% to about 2.5% by weight;
the corrosion inhibitor is a positively charged amino acid present in a concentration of about 0.01% to about 0.15% by weight;
The polishing composition, wherein the polishing composition has a pH of about 2 to about 4.
제1항에 있어서, 상기 철염이 질산철(III), 황산철(II) 및 황산철(III)로 이루어지는 군으로부터 선택되고;
상기 유기산이 말론산이고;
상기 과산화물이 과산화수소이고;
상기 양하전 아미노산이 리신, 히스티딘 및 아르기닌으로 이루어지는 군으로부터 선택되는, 연마용 조성물.
The method according to claim 1, wherein the iron salt is selected from the group consisting of iron(III) nitrate, iron(II) sulfate and iron(III) sulfate;
the organic acid is malonic acid;
the peroxide is hydrogen peroxide;
The polishing composition, wherein the positively charged amino acid is selected from the group consisting of lysine, histidine and arginine.
제12항에 있어서, 약 0.01중량% 내지 약 0.10중량%의 농도로 존재하는 pH 조정제를 더 포함하는, 연마용 조성물.13. The polishing composition of claim 12, further comprising a pH adjusting agent present at a concentration of about 0.01% to about 0.10% by weight. 제13항에 있어서, 상기 pH 조정제가 질산인, 연마용 조성물.The polishing composition according to claim 13, wherein the pH adjuster is nitric acid. 기판을 연마하는 방법이며,
a) 제1항에 기재된 연마용 조성물을 준비하는 단계;
b) 몰리브덴 함유 층을 포함하는 기판을 준비하는 단계; 및
c) 상기 연마용 조성물로 상기 기판을 연마하여 연마된 기판을 제공하는 단계를 포함하는, 방법.
A method of polishing a substrate,
a) preparing the polishing composition according to claim 1;
b) preparing a substrate comprising a molybdenum-containing layer; and
c) polishing the substrate with the polishing composition to provide a polished substrate.
제15항에 있어서, 상기 기판이 반도체인, 방법.16. The method of claim 15, wherein the substrate is a semiconductor. 제16항에 있어서, 상기 기판이 실리콘 산화물 층을 더 포함하는, 방법.17. The method of claim 16, wherein the substrate further comprises a silicon oxide layer.
KR1020220122137A 2021-09-30 2022-09-27 Polishing composition and polishing method using the same KR20230047001A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163250837P 2021-09-30 2021-09-30
US63/250,837 2021-09-30

Publications (1)

Publication Number Publication Date
KR20230047001A true KR20230047001A (en) 2023-04-06

Family

ID=85918023

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220122137A KR20230047001A (en) 2021-09-30 2022-09-27 Polishing composition and polishing method using the same

Country Status (2)

Country Link
KR (1) KR20230047001A (en)
TW (1) TW202334371A (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7994057B2 (en) 2007-09-21 2011-08-09 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
US9028572B2 (en) 2007-09-21 2015-05-12 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
US9422456B2 (en) 2014-06-25 2016-08-23 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing composition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7994057B2 (en) 2007-09-21 2011-08-09 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
US9028572B2 (en) 2007-09-21 2015-05-12 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
US9422456B2 (en) 2014-06-25 2016-08-23 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing composition

Also Published As

Publication number Publication date
TW202334371A (en) 2023-09-01

Similar Documents

Publication Publication Date Title
KR100594561B1 (en) Chemical Mechanical Polishing Slurry Useful for Copper Substrates
US6063306A (en) Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6039891A (en) Multi-oxidizer precursor for chemical mechanical polishing
KR100491061B1 (en) Chemical Mechanical Polishing Slurry Useful for Copper/Tantalum Substrates
US8163049B2 (en) Fluoride-modified silica sols for chemical mechanical planarization
US5783489A (en) Multi-oxidizer slurry for chemical mechanical polishing
EP0896042B1 (en) A polishing composition including an inhibitor of tungsten etching
US6033596A (en) Multi-oxidizer slurry for chemical mechanical polishing
US7514363B2 (en) Chemical-mechanical planarization composition having benzenesulfonic acid and per-compound oxidizing agents, and associated method for use
JP2002519471A5 (en)
EP3891236B1 (en) Composition and method for metal cmp
WO2006132905A2 (en) Polishing composition and method for defect improvement by reduced particle stiction on copper surface
JP4156137B2 (en) Metal film abrasive
KR20190057084A (en) Chemical mechanical polishing method for tungsten
KR20230047001A (en) Polishing composition and polishing method using the same
US20240124744A1 (en) Polishing composition and polishing method using the same
JP7557532B2 (en) Shallow trench isolation chemical mechanical planarization compositions having high oxide removal rates - Patents.com
WO2023195338A1 (en) Composition for chemical-mechanical polishing and method for using composition
JP7499908B2 (en) Composition for semiconductor processing and method for polishing semiconductor elements using the same
JP2024124355A (en) Silicon oxynitride removal promoter and method of use thereof
JPH10279928A (en) Compound for controlling polishing speed
KR20240134753A (en) Silicon oxynitride removal enhancers and methods of use thereof
US20230295465A1 (en) Chemical mechanical polishing compositions and methods of use thereof
JP2022553105A (en) Shallow Trench Isolation Chemical Mechanical Planarization Compositions with High Oxide Removal Rates
KR20100080095A (en) Cmp slurry composition for polishing metal wiring